Blame crypto/sha/asm/sha1-ia64.pl

Packit c4476c
#! /usr/bin/env perl
Packit c4476c
# Copyright 2004-2016 The OpenSSL Project Authors. All Rights Reserved.
Packit c4476c
#
Packit c4476c
# Licensed under the OpenSSL license (the "License").  You may not use
Packit c4476c
# this file except in compliance with the License.  You can obtain a copy
Packit c4476c
# in the file LICENSE in the source distribution or at
Packit c4476c
# https://www.openssl.org/source/license.html
Packit c4476c
Packit c4476c
#
Packit c4476c
# ====================================================================
Packit c4476c
# Written by Andy Polyakov <appro@openssl.org> for the OpenSSL
Packit c4476c
# project. The module is, however, dual licensed under OpenSSL and
Packit c4476c
# CRYPTOGAMS licenses depending on where you obtain it. For further
Packit c4476c
# details see http://www.openssl.org/~appro/cryptogams/.
Packit c4476c
# ====================================================================
Packit c4476c
#
Packit c4476c
# Eternal question is what's wrong with compiler generated code? The
Packit c4476c
# trick is that it's possible to reduce the number of shifts required
Packit c4476c
# to perform rotations by maintaining copy of 32-bit value in upper
Packit c4476c
# bits of 64-bit register. Just follow mux2 and shrp instructions...
Packit c4476c
# Performance under big-endian OS such as HP-UX is 179MBps*1GHz, which
Packit c4476c
# is >50% better than HP C and >2x better than gcc.
Packit c4476c
Packit c4476c
$output = pop;
Packit c4476c
Packit c4476c
$code=<<___;
Packit c4476c
.ident  \"sha1-ia64.s, version 1.3\"
Packit c4476c
.ident  \"IA-64 ISA artwork by Andy Polyakov <appro\@fy.chalmers.se>\"
Packit c4476c
.explicit
Packit c4476c
Packit c4476c
___
Packit c4476c
Packit c4476c
Packit c4476c
if ($^O eq "hpux") {
Packit c4476c
    $ADDP="addp4";
Packit c4476c
    for (@ARGV) { $ADDP="add" if (/[\+DD|\-mlp]64/); }
Packit c4476c
} else { $ADDP="add"; }
Packit c4476c
Packit c4476c
#$human=1;
Packit c4476c
if ($human) {	# useful for visual code auditing...
Packit c4476c
	($A,$B,$C,$D,$E)   = ("A","B","C","D","E");
Packit c4476c
	($h0,$h1,$h2,$h3,$h4) = ("h0","h1","h2","h3","h4");
Packit c4476c
	($K_00_19, $K_20_39, $K_40_59, $K_60_79) =
Packit c4476c
	    (	"K_00_19","K_20_39","K_40_59","K_60_79"	);
Packit c4476c
	@X= (	"X0", "X1", "X2", "X3", "X4", "X5", "X6", "X7",
Packit c4476c
		"X8", "X9","X10","X11","X12","X13","X14","X15"	);
Packit c4476c
}
Packit c4476c
else {
Packit c4476c
	($A,$B,$C,$D,$E)   =    ("loc0","loc1","loc2","loc3","loc4");
Packit c4476c
	($h0,$h1,$h2,$h3,$h4) = ("loc5","loc6","loc7","loc8","loc9");
Packit c4476c
	($K_00_19, $K_20_39, $K_40_59, $K_60_79) =
Packit c4476c
	    (	"r14", "r15", "loc10", "loc11"	);
Packit c4476c
	@X= (	"r16", "r17", "r18", "r19", "r20", "r21", "r22", "r23",
Packit c4476c
		"r24", "r25", "r26", "r27", "r28", "r29", "r30", "r31"	);
Packit c4476c
}
Packit c4476c
Packit c4476c
sub BODY_00_15 {
Packit c4476c
local	*code=shift;
Packit c4476c
my	($i,$a,$b,$c,$d,$e)=@_;
Packit c4476c
my	$j=$i+1;
Packit c4476c
my	$Xn=@X[$j%16];
Packit c4476c
Packit c4476c
$code.=<<___ if ($i==0);
Packit c4476c
{ .mmi;	ld1	$X[$i]=[inp],2		    // MSB
Packit c4476c
	ld1	tmp2=[tmp3],2		};;
Packit c4476c
{ .mmi;	ld1	tmp0=[inp],2
Packit c4476c
	ld1	tmp4=[tmp3],2		    // LSB
Packit c4476c
	dep	$X[$i]=$X[$i],tmp2,8,8	};;
Packit c4476c
___
Packit c4476c
if ($i<15) {
Packit c4476c
	$code.=<<___;
Packit c4476c
{ .mmi;	ld1	$Xn=[inp],2		    // forward Xload
Packit c4476c
	nop.m	0x0
Packit c4476c
	dep	tmp1=tmp0,tmp4,8,8	};;
Packit c4476c
{ .mmi;	ld1	tmp2=[tmp3],2		    // forward Xload
Packit c4476c
	and	tmp4=$c,$b
Packit c4476c
	dep	$X[$i]=$X[$i],tmp1,16,16} //;;
Packit c4476c
{ .mmi;	add	$e=$e,$K_00_19		    // e+=K_00_19
Packit c4476c
	andcm	tmp1=$d,$b
Packit c4476c
	dep.z	tmp5=$a,5,27		};; // a<<5
Packit c4476c
{ .mmi;	add	$e=$e,$X[$i]		    // e+=Xload
Packit c4476c
	or	tmp4=tmp4,tmp1		    // F_00_19(b,c,d)=(b&c)|(~b&d)
Packit c4476c
	extr.u	tmp1=$a,27,5		};; // a>>27
Packit c4476c
{ .mmi;	ld1	tmp0=[inp],2		    // forward Xload
Packit c4476c
	add	$e=$e,tmp4		    // e+=F_00_19(b,c,d)
Packit c4476c
	shrp	$b=tmp6,tmp6,2		}   // b=ROTATE(b,30)
Packit c4476c
{ .mmi;	ld1	tmp4=[tmp3],2		    // forward Xload
Packit c4476c
	or	tmp5=tmp1,tmp5		    // ROTATE(a,5)
Packit c4476c
	mux2	tmp6=$a,0x44		};; // see b in next iteration
Packit c4476c
{ .mii;	add	$e=$e,tmp5		    // e+=ROTATE(a,5)
Packit c4476c
	dep	$Xn=$Xn,tmp2,8,8	    // forward Xload
Packit c4476c
	mux2	$X[$i]=$X[$i],0x44	} //;;
Packit c4476c
Packit c4476c
___
Packit c4476c
	}
Packit c4476c
else	{
Packit c4476c
	$code.=<<___;
Packit c4476c
{ .mii;	and	tmp3=$c,$b
Packit c4476c
	dep	tmp1=tmp0,tmp4,8,8;;
Packit c4476c
	dep	$X[$i]=$X[$i],tmp1,16,16} //;;
Packit c4476c
{ .mmi;	add	$e=$e,$K_00_19		    // e+=K_00_19
Packit c4476c
	andcm	tmp1=$d,$b
Packit c4476c
	dep.z	tmp5=$a,5,27		};; // a<<5
Packit c4476c
{ .mmi;	add	$e=$e,$X[$i]		    // e+=Xupdate
Packit c4476c
	or	tmp4=tmp3,tmp1		    // F_00_19(b,c,d)=(b&c)|(~b&d)
Packit c4476c
	extr.u	tmp1=$a,27,5		}   // a>>27
Packit c4476c
{ .mmi;	xor	$Xn=$Xn,$X[($j+2)%16]	    // forward Xupdate
Packit c4476c
	xor	tmp3=$X[($j+8)%16],$X[($j+13)%16] // forward Xupdate
Packit c4476c
	nop.i	0			};;
Packit c4476c
{ .mmi;	add	$e=$e,tmp4		    // e+=F_00_19(b,c,d)
Packit c4476c
	xor	$Xn=$Xn,tmp3		    // forward Xupdate
Packit c4476c
	shrp	$b=tmp6,tmp6,2		}   // b=ROTATE(b,30)
Packit c4476c
{ .mmi; or	tmp1=tmp1,tmp5		    // ROTATE(a,5)
Packit c4476c
	mux2	tmp6=$a,0x44		};; // see b in next iteration
Packit c4476c
{ .mii;	add	$e=$e,tmp1		    // e+=ROTATE(a,5)
Packit c4476c
	shrp	$Xn=$Xn,$Xn,31		    // ROTATE(x[0]^x[2]^x[8]^x[13],1)
Packit c4476c
	mux2	$X[$i]=$X[$i],0x44	};;
Packit c4476c
Packit c4476c
___
Packit c4476c
	}
Packit c4476c
}
Packit c4476c
Packit c4476c
sub BODY_16_19 {
Packit c4476c
local	*code=shift;
Packit c4476c
my	($i,$a,$b,$c,$d,$e)=@_;
Packit c4476c
my	$j=$i+1;
Packit c4476c
my	$Xn=@X[$j%16];
Packit c4476c
Packit c4476c
$code.=<<___;
Packit c4476c
{ .mib;	add	$e=$e,$K_00_19		    // e+=K_00_19
Packit c4476c
	dep.z	tmp5=$a,5,27		}   // a<<5
Packit c4476c
{ .mib;	andcm	tmp1=$d,$b
Packit c4476c
	and	tmp0=$c,$b		};;
Packit c4476c
{ .mmi;	add	$e=$e,$X[$i%16]		    // e+=Xupdate
Packit c4476c
	or	tmp0=tmp0,tmp1		    // F_00_19(b,c,d)=(b&c)|(~b&d)
Packit c4476c
	extr.u	tmp1=$a,27,5		}   // a>>27
Packit c4476c
{ .mmi;	xor	$Xn=$Xn,$X[($j+2)%16]	    // forward Xupdate
Packit c4476c
	xor	tmp3=$X[($j+8)%16],$X[($j+13)%16]	// forward Xupdate
Packit c4476c
	nop.i	0			};;
Packit c4476c
{ .mmi;	add	$e=$e,tmp0		    // f+=F_00_19(b,c,d)
Packit c4476c
	xor	$Xn=$Xn,tmp3		    // forward Xupdate
Packit c4476c
	shrp	$b=tmp6,tmp6,2		}   // b=ROTATE(b,30)
Packit c4476c
{ .mmi;	or	tmp1=tmp1,tmp5		    // ROTATE(a,5)
Packit c4476c
	mux2	tmp6=$a,0x44		};; // see b in next iteration
Packit c4476c
{ .mii;	add	$e=$e,tmp1		    // e+=ROTATE(a,5)
Packit c4476c
	shrp	$Xn=$Xn,$Xn,31		    // ROTATE(x[0]^x[2]^x[8]^x[13],1)
Packit c4476c
	nop.i	0			};;
Packit c4476c
Packit c4476c
___
Packit c4476c
}
Packit c4476c
Packit c4476c
sub BODY_20_39 {
Packit c4476c
local	*code=shift;
Packit c4476c
my	($i,$a,$b,$c,$d,$e,$Konst)=@_;
Packit c4476c
	$Konst = $K_20_39 if (!defined($Konst));
Packit c4476c
my	$j=$i+1;
Packit c4476c
my	$Xn=@X[$j%16];
Packit c4476c
Packit c4476c
if ($i<79) {
Packit c4476c
$code.=<<___;
Packit c4476c
{ .mib;	add	$e=$e,$Konst		    // e+=K_XX_XX
Packit c4476c
	dep.z	tmp5=$a,5,27		}   // a<<5
Packit c4476c
{ .mib;	xor	tmp0=$c,$b
Packit c4476c
	xor	$Xn=$Xn,$X[($j+2)%16]	};; // forward Xupdate
Packit c4476c
{ .mib;	add	$e=$e,$X[$i%16]		    // e+=Xupdate
Packit c4476c
	extr.u	tmp1=$a,27,5		}   // a>>27
Packit c4476c
{ .mib;	xor	tmp0=tmp0,$d		    // F_20_39(b,c,d)=b^c^d
Packit c4476c
	xor	$Xn=$Xn,$X[($j+8)%16]	};; // forward Xupdate
Packit c4476c
{ .mmi;	add	$e=$e,tmp0		    // e+=F_20_39(b,c,d)
Packit c4476c
	xor	$Xn=$Xn,$X[($j+13)%16]	    // forward Xupdate
Packit c4476c
	shrp	$b=tmp6,tmp6,2		}   // b=ROTATE(b,30)
Packit c4476c
{ .mmi;	or	tmp1=tmp1,tmp5		    // ROTATE(a,5)
Packit c4476c
	mux2	tmp6=$a,0x44		};; // see b in next iteration
Packit c4476c
{ .mii;	add	$e=$e,tmp1		    // e+=ROTATE(a,5)
Packit c4476c
	shrp	$Xn=$Xn,$Xn,31		    // ROTATE(x[0]^x[2]^x[8]^x[13],1)
Packit c4476c
	nop.i	0			};;
Packit c4476c
Packit c4476c
___
Packit c4476c
}
Packit c4476c
else {
Packit c4476c
$code.=<<___;
Packit c4476c
{ .mib;	add	$e=$e,$Konst		    // e+=K_60_79
Packit c4476c
	dep.z	tmp5=$a,5,27		}   // a<<5
Packit c4476c
{ .mib;	xor	tmp0=$c,$b
Packit c4476c
	add	$h1=$h1,$a		};; // wrap up
Packit c4476c
{ .mib;	add	$e=$e,$X[$i%16]		    // e+=Xupdate
Packit c4476c
	extr.u	tmp1=$a,27,5		}   // a>>27
Packit c4476c
{ .mib;	xor	tmp0=tmp0,$d		    // F_20_39(b,c,d)=b^c^d
Packit c4476c
	add	$h3=$h3,$c		};; // wrap up
Packit c4476c
{ .mmi;	add	$e=$e,tmp0		    // e+=F_20_39(b,c,d)
Packit c4476c
	or	tmp1=tmp1,tmp5		    // ROTATE(a,5)
Packit c4476c
	shrp	$b=tmp6,tmp6,2		};; // b=ROTATE(b,30) ;;?
Packit c4476c
{ .mmi;	add	$e=$e,tmp1		    // e+=ROTATE(a,5)
Packit c4476c
	add	tmp3=1,inp		    // used in unaligned codepath
Packit c4476c
	add	$h4=$h4,$d		};; // wrap up
Packit c4476c
Packit c4476c
___
Packit c4476c
}
Packit c4476c
}
Packit c4476c
Packit c4476c
sub BODY_40_59 {
Packit c4476c
local	*code=shift;
Packit c4476c
my	($i,$a,$b,$c,$d,$e)=@_;
Packit c4476c
my	$j=$i+1;
Packit c4476c
my	$Xn=@X[$j%16];
Packit c4476c
Packit c4476c
$code.=<<___;
Packit c4476c
{ .mib;	add	$e=$e,$K_40_59		    // e+=K_40_59
Packit c4476c
	dep.z	tmp5=$a,5,27		}   // a<<5
Packit c4476c
{ .mib;	and	tmp1=$c,$d
Packit c4476c
	xor	tmp0=$c,$d		};;
Packit c4476c
{ .mmi;	add	$e=$e,$X[$i%16]		    // e+=Xupdate
Packit c4476c
	add	tmp5=tmp5,tmp1		    // a<<5+(c&d)
Packit c4476c
	extr.u	tmp1=$a,27,5		}   // a>>27
Packit c4476c
{ .mmi;	and	tmp0=tmp0,$b
Packit c4476c
	xor	$Xn=$Xn,$X[($j+2)%16]	    // forward Xupdate
Packit c4476c
	xor	tmp3=$X[($j+8)%16],$X[($j+13)%16] };;	// forward Xupdate
Packit c4476c
{ .mmi;	add	$e=$e,tmp0		    // e+=b&(c^d)
Packit c4476c
	add	tmp5=tmp5,tmp1		    // ROTATE(a,5)+(c&d)
Packit c4476c
	shrp	$b=tmp6,tmp6,2		}   // b=ROTATE(b,30)
Packit c4476c
{ .mmi;	xor	$Xn=$Xn,tmp3
Packit c4476c
	mux2	tmp6=$a,0x44		};; // see b in next iteration
Packit c4476c
{ .mii;	add	$e=$e,tmp5		    // e+=ROTATE(a,5)+(c&d)
Packit c4476c
	shrp	$Xn=$Xn,$Xn,31		    // ROTATE(x[0]^x[2]^x[8]^x[13],1)
Packit c4476c
	nop.i	0x0			};;
Packit c4476c
Packit c4476c
___
Packit c4476c
}
Packit c4476c
sub BODY_60_79	{ &BODY_20_39(@_,$K_60_79); }
Packit c4476c
Packit c4476c
$code.=<<___;
Packit c4476c
.text
Packit c4476c
Packit c4476c
tmp0=r8;
Packit c4476c
tmp1=r9;
Packit c4476c
tmp2=r10;
Packit c4476c
tmp3=r11;
Packit c4476c
ctx=r32;	// in0
Packit c4476c
inp=r33;	// in1
Packit c4476c
Packit c4476c
// void sha1_block_data_order(SHA_CTX *c,const void *p,size_t num);
Packit c4476c
.global	sha1_block_data_order#
Packit c4476c
.proc	sha1_block_data_order#
Packit c4476c
.align	32
Packit c4476c
sha1_block_data_order:
Packit c4476c
	.prologue
Packit c4476c
{ .mmi;	alloc	tmp1=ar.pfs,3,14,0,0
Packit c4476c
	$ADDP	tmp0=4,ctx
Packit c4476c
	.save	ar.lc,r3
Packit c4476c
	mov	r3=ar.lc		}
Packit c4476c
{ .mmi;	$ADDP	ctx=0,ctx
Packit c4476c
	$ADDP	inp=0,inp
Packit c4476c
	mov	r2=pr			};;
Packit c4476c
tmp4=in2;
Packit c4476c
tmp5=loc12;
Packit c4476c
tmp6=loc13;
Packit c4476c
	.body
Packit c4476c
{ .mlx;	ld4	$h0=[ctx],8
Packit c4476c
	movl	$K_00_19=0x5a827999	}
Packit c4476c
{ .mlx;	ld4	$h1=[tmp0],8
Packit c4476c
	movl	$K_20_39=0x6ed9eba1	};;
Packit c4476c
{ .mlx;	ld4	$h2=[ctx],8
Packit c4476c
	movl	$K_40_59=0x8f1bbcdc	}
Packit c4476c
{ .mlx;	ld4	$h3=[tmp0]
Packit c4476c
	movl	$K_60_79=0xca62c1d6	};;
Packit c4476c
{ .mmi;	ld4	$h4=[ctx],-16
Packit c4476c
	add	in2=-1,in2		    // adjust num for ar.lc
Packit c4476c
	mov	ar.ec=1			};;
Packit c4476c
{ .mmi;	nop.m	0
Packit c4476c
	add	tmp3=1,inp
Packit c4476c
	mov	ar.lc=in2		};; // brp.loop.imp: too far
Packit c4476c
Packit c4476c
.Ldtop:
Packit c4476c
{ .mmi;	mov	$A=$h0
Packit c4476c
	mov	$B=$h1
Packit c4476c
	mux2	tmp6=$h1,0x44		}
Packit c4476c
{ .mmi;	mov	$C=$h2
Packit c4476c
	mov	$D=$h3
Packit c4476c
	mov	$E=$h4			};;
Packit c4476c
Packit c4476c
___
Packit c4476c
Packit c4476c
{ my $i;
Packit c4476c
  my @V=($A,$B,$C,$D,$E);
Packit c4476c
Packit c4476c
	for($i=0;$i<16;$i++)	{ &BODY_00_15(\$code,$i,@V); unshift(@V,pop(@V)); }
Packit c4476c
	for(;$i<20;$i++)	{ &BODY_16_19(\$code,$i,@V); unshift(@V,pop(@V)); }
Packit c4476c
	for(;$i<40;$i++)	{ &BODY_20_39(\$code,$i,@V); unshift(@V,pop(@V)); }
Packit c4476c
	for(;$i<60;$i++)	{ &BODY_40_59(\$code,$i,@V); unshift(@V,pop(@V)); }
Packit c4476c
	for(;$i<80;$i++)	{ &BODY_60_79(\$code,$i,@V); unshift(@V,pop(@V)); }
Packit c4476c
Packit c4476c
	(($V[0] eq $A) and ($V[4] eq $E)) or die;	# double-check
Packit c4476c
}
Packit c4476c
Packit c4476c
$code.=<<___;
Packit c4476c
{ .mmb;	add	$h0=$h0,$A
Packit c4476c
	add	$h2=$h2,$C
Packit c4476c
	br.ctop.dptk.many	.Ldtop	};;
Packit c4476c
.Ldend:
Packit c4476c
{ .mmi;	add	tmp0=4,ctx
Packit c4476c
	mov	ar.lc=r3		};;
Packit c4476c
{ .mmi;	st4	[ctx]=$h0,8
Packit c4476c
	st4	[tmp0]=$h1,8		};;
Packit c4476c
{ .mmi;	st4	[ctx]=$h2,8
Packit c4476c
	st4	[tmp0]=$h3		};;
Packit c4476c
{ .mib;	st4	[ctx]=$h4,-16
Packit c4476c
	mov	pr=r2,0x1ffff
Packit c4476c
	br.ret.sptk.many	b0	};;
Packit c4476c
.endp	sha1_block_data_order#
Packit c4476c
stringz	"SHA1 block transform for IA64, CRYPTOGAMS by <appro\@openssl.org>"
Packit c4476c
___
Packit c4476c
Packit c4476c
open STDOUT,">$output" if $output;
Packit c4476c
print $code;