Blame crypto/perlasm/cbc.pl

Packit c4476c
#! /usr/bin/env perl
Packit c4476c
# Copyright 1995-2016 The OpenSSL Project Authors. All Rights Reserved.
Packit c4476c
#
Packit c4476c
# Licensed under the OpenSSL license (the "License").  You may not use
Packit c4476c
# this file except in compliance with the License.  You can obtain a copy
Packit c4476c
# in the file LICENSE in the source distribution or at
Packit c4476c
# https://www.openssl.org/source/license.html
Packit c4476c
Packit c4476c
Packit c4476c
# void des_ncbc_encrypt(input, output, length, schedule, ivec, enc)
Packit c4476c
# des_cblock (*input);
Packit c4476c
# des_cblock (*output);
Packit c4476c
# long length;
Packit c4476c
# des_key_schedule schedule;
Packit c4476c
# des_cblock (*ivec);
Packit c4476c
# int enc;
Packit c4476c
#
Packit c4476c
# calls
Packit c4476c
# des_encrypt((DES_LONG *)tin,schedule,DES_ENCRYPT);
Packit c4476c
#
Packit c4476c
Packit c4476c
#&cbc("des_ncbc_encrypt","des_encrypt",0);
Packit c4476c
#&cbc("BF_cbc_encrypt","BF_encrypt","BF_encrypt",
Packit c4476c
#	1,4,5,3,5,-1);
Packit c4476c
#&cbc("des_ncbc_encrypt","des_encrypt","des_encrypt",
Packit c4476c
#	0,4,5,3,5,-1);
Packit c4476c
#&cbc("des_ede3_cbc_encrypt","des_encrypt3","des_decrypt3",
Packit c4476c
#	0,6,7,3,4,5);
Packit c4476c
#
Packit c4476c
# When doing a cipher that needs bigendian order,
Packit c4476c
# for encrypt, the iv is kept in bigendian form,
Packit c4476c
# while for decrypt, it is kept in little endian.
Packit c4476c
sub cbc
Packit c4476c
	{
Packit c4476c
	local($name,$enc_func,$dec_func,$swap,$iv_off,$enc_off,$p1,$p2,$p3)=@_;
Packit c4476c
	# name is the function name
Packit c4476c
	# enc_func and dec_func and the functions to call for encrypt/decrypt
Packit c4476c
	# swap is true if byte order needs to be reversed
Packit c4476c
	# iv_off is parameter number for the iv
Packit c4476c
	# enc_off is parameter number for the encrypt/decrypt flag
Packit c4476c
	# p1,p2,p3 are the offsets for parameters to be passed to the
Packit c4476c
	# underlying calls.
Packit c4476c
Packit c4476c
	&function_begin_B($name,"");
Packit c4476c
	&comment("");
Packit c4476c
Packit c4476c
	$in="esi";
Packit c4476c
	$out="edi";
Packit c4476c
	$count="ebp";
Packit c4476c
Packit c4476c
	&push("ebp");
Packit c4476c
	&push("ebx");
Packit c4476c
	&push("esi");
Packit c4476c
	&push("edi");
Packit c4476c
Packit c4476c
	$data_off=4;
Packit c4476c
	$data_off+=4 if ($p1 > 0);
Packit c4476c
	$data_off+=4 if ($p2 > 0);
Packit c4476c
	$data_off+=4 if ($p3 > 0);
Packit c4476c
Packit c4476c
	&mov($count,	&wparam(2));	# length
Packit c4476c
Packit c4476c
	&comment("getting iv ptr from parameter $iv_off");
Packit c4476c
	&mov("ebx",	&wparam($iv_off));	# Get iv ptr
Packit c4476c
Packit c4476c
	&mov($in,	&DWP(0,"ebx","",0));#	iv[0]
Packit c4476c
	&mov($out,	&DWP(4,"ebx","",0));#	iv[1]
Packit c4476c
Packit c4476c
	&push($out);
Packit c4476c
	&push($in);
Packit c4476c
	&push($out);	# used in decrypt for iv[1]
Packit c4476c
	&push($in);	# used in decrypt for iv[0]
Packit c4476c
Packit c4476c
	&mov("ebx",	"esp");		# This is the address of tin[2]
Packit c4476c
Packit c4476c
	&mov($in,	&wparam(0));	# in
Packit c4476c
	&mov($out,	&wparam(1));	# out
Packit c4476c
Packit c4476c
	# We have loaded them all, how lets push things
Packit c4476c
	&comment("getting encrypt flag from parameter $enc_off");
Packit c4476c
	&mov("ecx",	&wparam($enc_off));	# Get enc flag
Packit c4476c
	if ($p3 > 0)
Packit c4476c
		{
Packit c4476c
		&comment("get and push parameter $p3");
Packit c4476c
		if ($enc_off != $p3)
Packit c4476c
			{ &mov("eax",	&wparam($p3)); &push("eax"); }
Packit c4476c
		else	{ &push("ecx"); }
Packit c4476c
		}
Packit c4476c
	if ($p2 > 0)
Packit c4476c
		{
Packit c4476c
		&comment("get and push parameter $p2");
Packit c4476c
		if ($enc_off != $p2)
Packit c4476c
			{ &mov("eax",	&wparam($p2)); &push("eax"); }
Packit c4476c
		else	{ &push("ecx"); }
Packit c4476c
		}
Packit c4476c
	if ($p1 > 0)
Packit c4476c
		{
Packit c4476c
		&comment("get and push parameter $p1");
Packit c4476c
		if ($enc_off != $p1)
Packit c4476c
			{ &mov("eax",	&wparam($p1)); &push("eax"); }
Packit c4476c
		else	{ &push("ecx"); }
Packit c4476c
		}
Packit c4476c
	&push("ebx");		# push data/iv
Packit c4476c
Packit c4476c
	&cmp("ecx",0);
Packit c4476c
	&jz(&label("decrypt"));
Packit c4476c
Packit c4476c
	&and($count,0xfffffff8);
Packit c4476c
	&mov("eax",	&DWP($data_off,"esp","",0));	# load iv[0]
Packit c4476c
	&mov("ebx",	&DWP($data_off+4,"esp","",0));	# load iv[1]
Packit c4476c
Packit c4476c
	&jz(&label("encrypt_finish"));
Packit c4476c
Packit c4476c
	#############################################################
Packit c4476c
Packit c4476c
	&set_label("encrypt_loop");
Packit c4476c
	# encrypt start
Packit c4476c
	# "eax" and "ebx" hold iv (or the last cipher text)
Packit c4476c
Packit c4476c
	&mov("ecx",	&DWP(0,$in,"",0));	# load first 4 bytes
Packit c4476c
	&mov("edx",	&DWP(4,$in,"",0));	# second 4 bytes
Packit c4476c
Packit c4476c
	&xor("eax",	"ecx");
Packit c4476c
	&xor("ebx",	"edx");
Packit c4476c
Packit c4476c
	&bswap("eax")	if $swap;
Packit c4476c
	&bswap("ebx")	if $swap;
Packit c4476c
Packit c4476c
	&mov(&DWP($data_off,"esp","",0),	"eax");	# put in array for call
Packit c4476c
	&mov(&DWP($data_off+4,"esp","",0),	"ebx");	#
Packit c4476c
Packit c4476c
	&call($enc_func);
Packit c4476c
Packit c4476c
	&mov("eax",	&DWP($data_off,"esp","",0));
Packit c4476c
	&mov("ebx",	&DWP($data_off+4,"esp","",0));
Packit c4476c
Packit c4476c
	&bswap("eax")	if $swap;
Packit c4476c
	&bswap("ebx")	if $swap;
Packit c4476c
Packit c4476c
	&mov(&DWP(0,$out,"",0),"eax");
Packit c4476c
	&mov(&DWP(4,$out,"",0),"ebx");
Packit c4476c
Packit c4476c
	# eax and ebx are the next iv.
Packit c4476c
Packit c4476c
	&add($in,	8);
Packit c4476c
	&add($out,	8);
Packit c4476c
Packit c4476c
	&sub($count,	8);
Packit c4476c
	&jnz(&label("encrypt_loop"));
Packit c4476c
Packit c4476c
###################################################################3
Packit c4476c
	&set_label("encrypt_finish");
Packit c4476c
	&mov($count,	&wparam(2));	# length
Packit c4476c
	&and($count,	7);
Packit c4476c
	&jz(&label("finish"));
Packit c4476c
	&call(&label("PIC_point"));
Packit c4476c
&set_label("PIC_point");
Packit c4476c
	&blindpop("edx");
Packit c4476c
	&lea("ecx",&DWP(&label("cbc_enc_jmp_table")."-".&label("PIC_point"),"edx"));
Packit c4476c
	&mov($count,&DWP(0,"ecx",$count,4));
Packit c4476c
	&add($count,"edx");
Packit c4476c
	&xor("ecx","ecx");
Packit c4476c
	&xor("edx","edx");
Packit c4476c
	#&mov($count,&DWP(&label("cbc_enc_jmp_table"),"",$count,4));
Packit c4476c
	&jmp_ptr($count);
Packit c4476c
Packit c4476c
&set_label("ej7");
Packit c4476c
	&endbranch()
Packit c4476c
	&movb(&HB("edx"),	&BP(6,$in,"",0));
Packit c4476c
	&shl("edx",8);
Packit c4476c
&set_label("ej6");
Packit c4476c
	&endbranch()
Packit c4476c
	&movb(&HB("edx"),	&BP(5,$in,"",0));
Packit c4476c
&set_label("ej5");
Packit c4476c
	&endbranch()
Packit c4476c
	&movb(&LB("edx"),	&BP(4,$in,"",0));
Packit c4476c
&set_label("ej4");
Packit c4476c
	&endbranch()
Packit c4476c
	&mov("ecx",		&DWP(0,$in,"",0));
Packit c4476c
	&jmp(&label("ejend"));
Packit c4476c
&set_label("ej3");
Packit c4476c
	&endbranch()
Packit c4476c
	&movb(&HB("ecx"),	&BP(2,$in,"",0));
Packit c4476c
	&shl("ecx",8);
Packit c4476c
&set_label("ej2");
Packit c4476c
	&endbranch()
Packit c4476c
	&movb(&HB("ecx"),	&BP(1,$in,"",0));
Packit c4476c
&set_label("ej1");
Packit c4476c
	&endbranch()
Packit c4476c
	&movb(&LB("ecx"),	&BP(0,$in,"",0));
Packit c4476c
&set_label("ejend");
Packit c4476c
Packit c4476c
	&xor("eax",	"ecx");
Packit c4476c
	&xor("ebx",	"edx");
Packit c4476c
Packit c4476c
	&bswap("eax")	if $swap;
Packit c4476c
	&bswap("ebx")	if $swap;
Packit c4476c
Packit c4476c
	&mov(&DWP($data_off,"esp","",0),	"eax");	# put in array for call
Packit c4476c
	&mov(&DWP($data_off+4,"esp","",0),	"ebx");	#
Packit c4476c
Packit c4476c
	&call($enc_func);
Packit c4476c
Packit c4476c
	&mov("eax",	&DWP($data_off,"esp","",0));
Packit c4476c
	&mov("ebx",	&DWP($data_off+4,"esp","",0));
Packit c4476c
Packit c4476c
	&bswap("eax")	if $swap;
Packit c4476c
	&bswap("ebx")	if $swap;
Packit c4476c
Packit c4476c
	&mov(&DWP(0,$out,"",0),"eax");
Packit c4476c
	&mov(&DWP(4,$out,"",0),"ebx");
Packit c4476c
Packit c4476c
	&jmp(&label("finish"));
Packit c4476c
Packit c4476c
	#############################################################
Packit c4476c
	#############################################################
Packit c4476c
	&set_label("decrypt",1);
Packit c4476c
	# decrypt start
Packit c4476c
	&and($count,0xfffffff8);
Packit c4476c
	# The next 2 instructions are only for if the jz is taken
Packit c4476c
	&mov("eax",	&DWP($data_off+8,"esp","",0));	# get iv[0]
Packit c4476c
	&mov("ebx",	&DWP($data_off+12,"esp","",0));	# get iv[1]
Packit c4476c
	&jz(&label("decrypt_finish"));
Packit c4476c
Packit c4476c
	&set_label("decrypt_loop");
Packit c4476c
	&mov("eax",	&DWP(0,$in,"",0));	# load first 4 bytes
Packit c4476c
	&mov("ebx",	&DWP(4,$in,"",0));	# second 4 bytes
Packit c4476c
Packit c4476c
	&bswap("eax")	if $swap;
Packit c4476c
	&bswap("ebx")	if $swap;
Packit c4476c
Packit c4476c
	&mov(&DWP($data_off,"esp","",0),	"eax");	# put back
Packit c4476c
	&mov(&DWP($data_off+4,"esp","",0),	"ebx");	#
Packit c4476c
Packit c4476c
	&call($dec_func);
Packit c4476c
Packit c4476c
	&mov("eax",	&DWP($data_off,"esp","",0));	# get return
Packit c4476c
	&mov("ebx",	&DWP($data_off+4,"esp","",0));	#
Packit c4476c
Packit c4476c
	&bswap("eax")	if $swap;
Packit c4476c
	&bswap("ebx")	if $swap;
Packit c4476c
Packit c4476c
	&mov("ecx",	&DWP($data_off+8,"esp","",0));	# get iv[0]
Packit c4476c
	&mov("edx",	&DWP($data_off+12,"esp","",0));	# get iv[1]
Packit c4476c
Packit c4476c
	&xor("ecx",	"eax");
Packit c4476c
	&xor("edx",	"ebx");
Packit c4476c
Packit c4476c
	&mov("eax",	&DWP(0,$in,"",0));	# get old cipher text,
Packit c4476c
	&mov("ebx",	&DWP(4,$in,"",0));	# next iv actually
Packit c4476c
Packit c4476c
	&mov(&DWP(0,$out,"",0),"ecx");
Packit c4476c
	&mov(&DWP(4,$out,"",0),"edx");
Packit c4476c
Packit c4476c
	&mov(&DWP($data_off+8,"esp","",0),	"eax");	# save iv
Packit c4476c
	&mov(&DWP($data_off+12,"esp","",0),	"ebx");	#
Packit c4476c
Packit c4476c
	&add($in,	8);
Packit c4476c
	&add($out,	8);
Packit c4476c
Packit c4476c
	&sub($count,	8);
Packit c4476c
	&jnz(&label("decrypt_loop"));
Packit c4476c
############################ ENDIT #######################3
Packit c4476c
	&set_label("decrypt_finish");
Packit c4476c
	&mov($count,	&wparam(2));	# length
Packit c4476c
	&and($count,	7);
Packit c4476c
	&jz(&label("finish"));
Packit c4476c
Packit c4476c
	&mov("eax",	&DWP(0,$in,"",0));	# load first 4 bytes
Packit c4476c
	&mov("ebx",	&DWP(4,$in,"",0));	# second 4 bytes
Packit c4476c
Packit c4476c
	&bswap("eax")	if $swap;
Packit c4476c
	&bswap("ebx")	if $swap;
Packit c4476c
Packit c4476c
	&mov(&DWP($data_off,"esp","",0),	"eax");	# put back
Packit c4476c
	&mov(&DWP($data_off+4,"esp","",0),	"ebx");	#
Packit c4476c
Packit c4476c
	&call($dec_func);
Packit c4476c
Packit c4476c
	&mov("eax",	&DWP($data_off,"esp","",0));	# get return
Packit c4476c
	&mov("ebx",	&DWP($data_off+4,"esp","",0));	#
Packit c4476c
Packit c4476c
	&bswap("eax")	if $swap;
Packit c4476c
	&bswap("ebx")	if $swap;
Packit c4476c
Packit c4476c
	&mov("ecx",	&DWP($data_off+8,"esp","",0));	# get iv[0]
Packit c4476c
	&mov("edx",	&DWP($data_off+12,"esp","",0));	# get iv[1]
Packit c4476c
Packit c4476c
	&xor("ecx",	"eax");
Packit c4476c
	&xor("edx",	"ebx");
Packit c4476c
Packit c4476c
	# this is for when we exit
Packit c4476c
	&mov("eax",	&DWP(0,$in,"",0));	# get old cipher text,
Packit c4476c
	&mov("ebx",	&DWP(4,$in,"",0));	# next iv actually
Packit c4476c
Packit c4476c
&set_label("dj7");
Packit c4476c
	&rotr("edx",	16);
Packit c4476c
	&movb(&BP(6,$out,"",0),	&LB("edx"));
Packit c4476c
	&shr("edx",16);
Packit c4476c
&set_label("dj6");
Packit c4476c
	&movb(&BP(5,$out,"",0),	&HB("edx"));
Packit c4476c
&set_label("dj5");
Packit c4476c
	&movb(&BP(4,$out,"",0),	&LB("edx"));
Packit c4476c
&set_label("dj4");
Packit c4476c
	&mov(&DWP(0,$out,"",0),	"ecx");
Packit c4476c
	&jmp(&label("djend"));
Packit c4476c
&set_label("dj3");
Packit c4476c
	&rotr("ecx",	16);
Packit c4476c
	&movb(&BP(2,$out,"",0),	&LB("ecx"));
Packit c4476c
	&shl("ecx",16);
Packit c4476c
&set_label("dj2");
Packit c4476c
	&movb(&BP(1,$in,"",0),	&HB("ecx"));
Packit c4476c
&set_label("dj1");
Packit c4476c
	&movb(&BP(0,$in,"",0),	&LB("ecx"));
Packit c4476c
&set_label("djend");
Packit c4476c
Packit c4476c
	# final iv is still in eax:ebx
Packit c4476c
	&jmp(&label("finish"));
Packit c4476c
Packit c4476c
Packit c4476c
############################ FINISH #######################3
Packit c4476c
	&set_label("finish",1);
Packit c4476c
	&mov("ecx",	&wparam($iv_off));	# Get iv ptr
Packit c4476c
Packit c4476c
	#################################################
Packit c4476c
	$total=16+4;
Packit c4476c
	$total+=4 if ($p1 > 0);
Packit c4476c
	$total+=4 if ($p2 > 0);
Packit c4476c
	$total+=4 if ($p3 > 0);
Packit c4476c
	&add("esp",$total);
Packit c4476c
Packit c4476c
	&mov(&DWP(0,"ecx","",0),	"eax");	# save iv
Packit c4476c
	&mov(&DWP(4,"ecx","",0),	"ebx");	# save iv
Packit c4476c
Packit c4476c
	&function_end_A($name);
Packit c4476c
Packit c4476c
	&align(64);
Packit c4476c
	&set_label("cbc_enc_jmp_table");
Packit c4476c
	&data_word("0");
Packit c4476c
	&data_word(&label("ej1")."-".&label("PIC_point"));
Packit c4476c
	&data_word(&label("ej2")."-".&label("PIC_point"));
Packit c4476c
	&data_word(&label("ej3")."-".&label("PIC_point"));
Packit c4476c
	&data_word(&label("ej4")."-".&label("PIC_point"));
Packit c4476c
	&data_word(&label("ej5")."-".&label("PIC_point"));
Packit c4476c
	&data_word(&label("ej6")."-".&label("PIC_point"));
Packit c4476c
	&data_word(&label("ej7")."-".&label("PIC_point"));
Packit c4476c
	# not used
Packit c4476c
	#&set_label("cbc_dec_jmp_table",1);
Packit c4476c
	#&data_word("0");
Packit c4476c
	#&data_word(&label("dj1")."-".&label("PIC_point"));
Packit c4476c
	#&data_word(&label("dj2")."-".&label("PIC_point"));
Packit c4476c
	#&data_word(&label("dj3")."-".&label("PIC_point"));
Packit c4476c
	#&data_word(&label("dj4")."-".&label("PIC_point"));
Packit c4476c
	#&data_word(&label("dj5")."-".&label("PIC_point"));
Packit c4476c
	#&data_word(&label("dj6")."-".&label("PIC_point"));
Packit c4476c
	#&data_word(&label("dj7")."-".&label("PIC_point"));
Packit c4476c
	&align(64);
Packit c4476c
Packit c4476c
	&function_end_B($name);
Packit c4476c
Packit c4476c
	}
Packit c4476c
Packit c4476c
1;