Blame crypto/chacha/asm/chacha-ppc.pl

Packit c4476c
#! /usr/bin/env perl
Packit c4476c
# Copyright 2016-2020 The OpenSSL Project Authors. All Rights Reserved.
Packit c4476c
#
Packit c4476c
# Licensed under the OpenSSL license (the "License").  You may not use
Packit c4476c
# this file except in compliance with the License.  You can obtain a copy
Packit c4476c
# in the file LICENSE in the source distribution or at
Packit c4476c
# https://www.openssl.org/source/license.html
Packit c4476c
Packit c4476c
#
Packit c4476c
# ====================================================================
Packit c4476c
# Written by Andy Polyakov <appro@openssl.org> for the OpenSSL
Packit c4476c
# project. The module is, however, dual licensed under OpenSSL and
Packit c4476c
# CRYPTOGAMS licenses depending on where you obtain it. For further
Packit c4476c
# details see http://www.openssl.org/~appro/cryptogams/.
Packit c4476c
# ====================================================================
Packit c4476c
#
Packit c4476c
# October 2015
Packit c4476c
#
Packit c4476c
# ChaCha20 for PowerPC/AltiVec.
Packit c4476c
#
Packit c4476c
# June 2018
Packit c4476c
#
Packit c4476c
# Add VSX 2.07 code path. Original 3xAltiVec+1xIALU is well-suited for
Packit c4476c
# processors that can't issue more than one vector instruction per
Packit c4476c
# cycle. But POWER8 (and POWER9) can issue a pair, and vector-only 4x
Packit c4476c
# interleave would perform better. Incidentally PowerISA 2.07 (first
Packit c4476c
# implemented by POWER8) defined new usable instructions, hence 4xVSX
Packit c4476c
# code path...
Packit c4476c
#
Packit c4476c
# Performance in cycles per byte out of large buffer.
Packit c4476c
#
Packit c4476c
#			IALU/gcc-4.x    3xAltiVec+1xIALU	4xVSX
Packit c4476c
#
Packit c4476c
# Freescale e300	13.6/+115%	-			-
Packit c4476c
# PPC74x0/G4e		6.81/+310%	3.81			-
Packit c4476c
# PPC970/G5		9.29/+160%	?			-
Packit c4476c
# POWER7		8.62/+61%	3.35			-
Packit c4476c
# POWER8		8.70/+51%	2.91			2.09
Packit c4476c
# POWER9		8.80/+29%	4.44(*)			2.45(**)
Packit c4476c
#
Packit c4476c
# (*)	this is trade-off result, it's possible to improve it, but
Packit c4476c
#	then it would negatively affect all others;
Packit c4476c
# (**)	POWER9 seems to be "allergic" to mixing vector and integer
Packit c4476c
#	instructions, which is why switch to vector-only code pays
Packit c4476c
#	off that much;
Packit c4476c
Packit c4476c
$flavour = shift;
Packit c4476c
Packit c4476c
if ($flavour =~ /64/) {
Packit c4476c
	$SIZE_T	=8;
Packit c4476c
	$LRSAVE	=2*$SIZE_T;
Packit c4476c
	$STU	="stdu";
Packit c4476c
	$POP	="ld";
Packit c4476c
	$PUSH	="std";
Packit c4476c
	$UCMP	="cmpld";
Packit c4476c
} elsif ($flavour =~ /32/) {
Packit c4476c
	$SIZE_T	=4;
Packit c4476c
	$LRSAVE	=$SIZE_T;
Packit c4476c
	$STU	="stwu";
Packit c4476c
	$POP	="lwz";
Packit c4476c
	$PUSH	="stw";
Packit c4476c
	$UCMP	="cmplw";
Packit c4476c
} else { die "nonsense $flavour"; }
Packit c4476c
Packit c4476c
$LITTLE_ENDIAN = ($flavour=~/le$/) ? 1 : 0;
Packit c4476c
Packit c4476c
$0 =~ m/(.*[\/\\])[^\/\\]+$/; $dir=$1;
Packit c4476c
( $xlate="${dir}ppc-xlate.pl" and -f $xlate ) or
Packit c4476c
( $xlate="${dir}../../perlasm/ppc-xlate.pl" and -f $xlate) or
Packit c4476c
die "can't locate ppc-xlate.pl";
Packit c4476c
Packit c4476c
open STDOUT,"| $^X $xlate $flavour ".shift || die "can't call $xlate: $!";
Packit c4476c
Packit c4476c
$LOCALS=6*$SIZE_T;
Packit c4476c
$FRAME=$LOCALS+64+18*$SIZE_T;	# 64 is for local variables
Packit c4476c
Packit c4476c
sub AUTOLOAD()		# thunk [simplified] x86-style perlasm
Packit c4476c
{ my $opcode = $AUTOLOAD; $opcode =~ s/.*:://; $opcode =~ s/_/\./;
Packit c4476c
    $code .= "\t$opcode\t".join(',',@_)."\n";
Packit c4476c
}
Packit c4476c
Packit c4476c
my $sp = "r1";
Packit c4476c
Packit c4476c
my ($out,$inp,$len,$key,$ctr) = map("r$_",(3..7));
Packit c4476c
Packit c4476c
my @x=map("r$_",(16..31));
Packit c4476c
my @d=map("r$_",(11,12,14,15));
Packit c4476c
my @t=map("r$_",(7..10));
Packit c4476c
Packit c4476c
sub ROUND {
Packit c4476c
my ($a0,$b0,$c0,$d0)=@_;
Packit c4476c
my ($a1,$b1,$c1,$d1)=map(($_&~3)+(($_+1)&3),($a0,$b0,$c0,$d0));
Packit c4476c
my ($a2,$b2,$c2,$d2)=map(($_&~3)+(($_+1)&3),($a1,$b1,$c1,$d1));
Packit c4476c
my ($a3,$b3,$c3,$d3)=map(($_&~3)+(($_+1)&3),($a2,$b2,$c2,$d2));
Packit c4476c
Packit c4476c
    (
Packit c4476c
	"&add		(@x[$a0],@x[$a0],@x[$b0])",
Packit c4476c
	 "&add		(@x[$a1],@x[$a1],@x[$b1])",
Packit c4476c
	  "&add		(@x[$a2],@x[$a2],@x[$b2])",
Packit c4476c
	   "&add	(@x[$a3],@x[$a3],@x[$b3])",
Packit c4476c
	"&xor		(@x[$d0],@x[$d0],@x[$a0])",
Packit c4476c
	 "&xor		(@x[$d1],@x[$d1],@x[$a1])",
Packit c4476c
	  "&xor		(@x[$d2],@x[$d2],@x[$a2])",
Packit c4476c
	   "&xor	(@x[$d3],@x[$d3],@x[$a3])",
Packit c4476c
	"&rotlwi	(@x[$d0],@x[$d0],16)",
Packit c4476c
	 "&rotlwi	(@x[$d1],@x[$d1],16)",
Packit c4476c
	  "&rotlwi	(@x[$d2],@x[$d2],16)",
Packit c4476c
	   "&rotlwi	(@x[$d3],@x[$d3],16)",
Packit c4476c
Packit c4476c
	"&add		(@x[$c0],@x[$c0],@x[$d0])",
Packit c4476c
	 "&add		(@x[$c1],@x[$c1],@x[$d1])",
Packit c4476c
	  "&add		(@x[$c2],@x[$c2],@x[$d2])",
Packit c4476c
	   "&add	(@x[$c3],@x[$c3],@x[$d3])",
Packit c4476c
	"&xor		(@x[$b0],@x[$b0],@x[$c0])",
Packit c4476c
	 "&xor		(@x[$b1],@x[$b1],@x[$c1])",
Packit c4476c
	  "&xor		(@x[$b2],@x[$b2],@x[$c2])",
Packit c4476c
	   "&xor	(@x[$b3],@x[$b3],@x[$c3])",
Packit c4476c
	"&rotlwi	(@x[$b0],@x[$b0],12)",
Packit c4476c
	 "&rotlwi	(@x[$b1],@x[$b1],12)",
Packit c4476c
	  "&rotlwi	(@x[$b2],@x[$b2],12)",
Packit c4476c
	   "&rotlwi	(@x[$b3],@x[$b3],12)",
Packit c4476c
Packit c4476c
	"&add		(@x[$a0],@x[$a0],@x[$b0])",
Packit c4476c
	 "&add		(@x[$a1],@x[$a1],@x[$b1])",
Packit c4476c
	  "&add		(@x[$a2],@x[$a2],@x[$b2])",
Packit c4476c
	   "&add	(@x[$a3],@x[$a3],@x[$b3])",
Packit c4476c
	"&xor		(@x[$d0],@x[$d0],@x[$a0])",
Packit c4476c
	 "&xor		(@x[$d1],@x[$d1],@x[$a1])",
Packit c4476c
	  "&xor		(@x[$d2],@x[$d2],@x[$a2])",
Packit c4476c
	   "&xor	(@x[$d3],@x[$d3],@x[$a3])",
Packit c4476c
	"&rotlwi	(@x[$d0],@x[$d0],8)",
Packit c4476c
	 "&rotlwi	(@x[$d1],@x[$d1],8)",
Packit c4476c
	  "&rotlwi	(@x[$d2],@x[$d2],8)",
Packit c4476c
	   "&rotlwi	(@x[$d3],@x[$d3],8)",
Packit c4476c
Packit c4476c
	"&add		(@x[$c0],@x[$c0],@x[$d0])",
Packit c4476c
	 "&add		(@x[$c1],@x[$c1],@x[$d1])",
Packit c4476c
	  "&add		(@x[$c2],@x[$c2],@x[$d2])",
Packit c4476c
	   "&add	(@x[$c3],@x[$c3],@x[$d3])",
Packit c4476c
	"&xor		(@x[$b0],@x[$b0],@x[$c0])",
Packit c4476c
	 "&xor		(@x[$b1],@x[$b1],@x[$c1])",
Packit c4476c
	  "&xor		(@x[$b2],@x[$b2],@x[$c2])",
Packit c4476c
	   "&xor	(@x[$b3],@x[$b3],@x[$c3])",
Packit c4476c
	"&rotlwi	(@x[$b0],@x[$b0],7)",
Packit c4476c
	 "&rotlwi	(@x[$b1],@x[$b1],7)",
Packit c4476c
	  "&rotlwi	(@x[$b2],@x[$b2],7)",
Packit c4476c
	   "&rotlwi	(@x[$b3],@x[$b3],7)"
Packit c4476c
    );
Packit c4476c
}
Packit c4476c
Packit c4476c
$code.=<<___;
Packit c4476c
.machine	"any"
Packit c4476c
.text
Packit c4476c
Packit c4476c
.globl	.ChaCha20_ctr32_int
Packit c4476c
.align	5
Packit c4476c
.ChaCha20_ctr32_int:
Packit c4476c
__ChaCha20_ctr32_int:
Packit c4476c
	${UCMP}i $len,0
Packit c4476c
	beqlr-
Packit c4476c
Packit c4476c
	$STU	$sp,-$FRAME($sp)
Packit c4476c
	mflr	r0
Packit c4476c
Packit c4476c
	$PUSH	r14,`$FRAME-$SIZE_T*18`($sp)
Packit c4476c
	$PUSH	r15,`$FRAME-$SIZE_T*17`($sp)
Packit c4476c
	$PUSH	r16,`$FRAME-$SIZE_T*16`($sp)
Packit c4476c
	$PUSH	r17,`$FRAME-$SIZE_T*15`($sp)
Packit c4476c
	$PUSH	r18,`$FRAME-$SIZE_T*14`($sp)
Packit c4476c
	$PUSH	r19,`$FRAME-$SIZE_T*13`($sp)
Packit c4476c
	$PUSH	r20,`$FRAME-$SIZE_T*12`($sp)
Packit c4476c
	$PUSH	r21,`$FRAME-$SIZE_T*11`($sp)
Packit c4476c
	$PUSH	r22,`$FRAME-$SIZE_T*10`($sp)
Packit c4476c
	$PUSH	r23,`$FRAME-$SIZE_T*9`($sp)
Packit c4476c
	$PUSH	r24,`$FRAME-$SIZE_T*8`($sp)
Packit c4476c
	$PUSH	r25,`$FRAME-$SIZE_T*7`($sp)
Packit c4476c
	$PUSH	r26,`$FRAME-$SIZE_T*6`($sp)
Packit c4476c
	$PUSH	r27,`$FRAME-$SIZE_T*5`($sp)
Packit c4476c
	$PUSH	r28,`$FRAME-$SIZE_T*4`($sp)
Packit c4476c
	$PUSH	r29,`$FRAME-$SIZE_T*3`($sp)
Packit c4476c
	$PUSH	r30,`$FRAME-$SIZE_T*2`($sp)
Packit c4476c
	$PUSH	r31,`$FRAME-$SIZE_T*1`($sp)
Packit c4476c
	$PUSH	r0,`$FRAME+$LRSAVE`($sp)
Packit c4476c
Packit c4476c
	lwz	@d[0],0($ctr)			# load counter
Packit c4476c
	lwz	@d[1],4($ctr)
Packit c4476c
	lwz	@d[2],8($ctr)
Packit c4476c
	lwz	@d[3],12($ctr)
Packit c4476c
Packit c4476c
	bl	__ChaCha20_1x
Packit c4476c
Packit c4476c
	$POP	r0,`$FRAME+$LRSAVE`($sp)
Packit c4476c
	$POP	r14,`$FRAME-$SIZE_T*18`($sp)
Packit c4476c
	$POP	r15,`$FRAME-$SIZE_T*17`($sp)
Packit c4476c
	$POP	r16,`$FRAME-$SIZE_T*16`($sp)
Packit c4476c
	$POP	r17,`$FRAME-$SIZE_T*15`($sp)
Packit c4476c
	$POP	r18,`$FRAME-$SIZE_T*14`($sp)
Packit c4476c
	$POP	r19,`$FRAME-$SIZE_T*13`($sp)
Packit c4476c
	$POP	r20,`$FRAME-$SIZE_T*12`($sp)
Packit c4476c
	$POP	r21,`$FRAME-$SIZE_T*11`($sp)
Packit c4476c
	$POP	r22,`$FRAME-$SIZE_T*10`($sp)
Packit c4476c
	$POP	r23,`$FRAME-$SIZE_T*9`($sp)
Packit c4476c
	$POP	r24,`$FRAME-$SIZE_T*8`($sp)
Packit c4476c
	$POP	r25,`$FRAME-$SIZE_T*7`($sp)
Packit c4476c
	$POP	r26,`$FRAME-$SIZE_T*6`($sp)
Packit c4476c
	$POP	r27,`$FRAME-$SIZE_T*5`($sp)
Packit c4476c
	$POP	r28,`$FRAME-$SIZE_T*4`($sp)
Packit c4476c
	$POP	r29,`$FRAME-$SIZE_T*3`($sp)
Packit c4476c
	$POP	r30,`$FRAME-$SIZE_T*2`($sp)
Packit c4476c
	$POP	r31,`$FRAME-$SIZE_T*1`($sp)
Packit c4476c
	mtlr	r0
Packit c4476c
	addi	$sp,$sp,$FRAME
Packit c4476c
	blr
Packit c4476c
	.long	0
Packit c4476c
	.byte	0,12,4,1,0x80,18,5,0
Packit c4476c
	.long	0
Packit c4476c
.size	.ChaCha20_ctr32_int,.-.ChaCha20_ctr32_int
Packit c4476c
Packit c4476c
.align	5
Packit c4476c
__ChaCha20_1x:
Packit c4476c
Loop_outer:
Packit c4476c
	lis	@x[0],0x6170			# synthesize sigma
Packit c4476c
	lis	@x[1],0x3320
Packit c4476c
	lis	@x[2],0x7962
Packit c4476c
	lis	@x[3],0x6b20
Packit c4476c
	ori	@x[0],@x[0],0x7865
Packit c4476c
	ori	@x[1],@x[1],0x646e
Packit c4476c
	ori	@x[2],@x[2],0x2d32
Packit c4476c
	ori	@x[3],@x[3],0x6574
Packit c4476c
Packit c4476c
	li	r0,10				# inner loop counter
Packit c4476c
	lwz	@x[4],0($key)			# load key
Packit c4476c
	lwz	@x[5],4($key)
Packit c4476c
	lwz	@x[6],8($key)
Packit c4476c
	lwz	@x[7],12($key)
Packit c4476c
	lwz	@x[8],16($key)
Packit c4476c
	mr	@x[12],@d[0]			# copy counter
Packit c4476c
	lwz	@x[9],20($key)
Packit c4476c
	mr	@x[13],@d[1]
Packit c4476c
	lwz	@x[10],24($key)
Packit c4476c
	mr	@x[14],@d[2]
Packit c4476c
	lwz	@x[11],28($key)
Packit c4476c
	mr	@x[15],@d[3]
Packit c4476c
Packit c4476c
	mr	@t[0],@x[4]
Packit c4476c
	mr	@t[1],@x[5]
Packit c4476c
	mr	@t[2],@x[6]
Packit c4476c
	mr	@t[3],@x[7]
Packit c4476c
Packit c4476c
	mtctr	r0
Packit c4476c
Loop:
Packit c4476c
___
Packit c4476c
	foreach (&ROUND(0, 4, 8,12)) { eval; }
Packit c4476c
	foreach (&ROUND(0, 5,10,15)) { eval; }
Packit c4476c
$code.=<<___;
Packit c4476c
	bdnz	Loop
Packit c4476c
Packit c4476c
	subic	$len,$len,64			# $len-=64
Packit c4476c
	addi	@x[0],@x[0],0x7865		# accumulate key block
Packit c4476c
	addi	@x[1],@x[1],0x646e
Packit c4476c
	addi	@x[2],@x[2],0x2d32
Packit c4476c
	addi	@x[3],@x[3],0x6574
Packit c4476c
	addis	@x[0],@x[0],0x6170
Packit c4476c
	addis	@x[1],@x[1],0x3320
Packit c4476c
	addis	@x[2],@x[2],0x7962
Packit c4476c
	addis	@x[3],@x[3],0x6b20
Packit c4476c
Packit c4476c
	subfe.	r0,r0,r0			# borrow?-1:0
Packit c4476c
	add	@x[4],@x[4],@t[0]
Packit c4476c
	lwz	@t[0],16($key)
Packit c4476c
	add	@x[5],@x[5],@t[1]
Packit c4476c
	lwz	@t[1],20($key)
Packit c4476c
	add	@x[6],@x[6],@t[2]
Packit c4476c
	lwz	@t[2],24($key)
Packit c4476c
	add	@x[7],@x[7],@t[3]
Packit c4476c
	lwz	@t[3],28($key)
Packit c4476c
	add	@x[8],@x[8],@t[0]
Packit c4476c
	add	@x[9],@x[9],@t[1]
Packit c4476c
	add	@x[10],@x[10],@t[2]
Packit c4476c
	add	@x[11],@x[11],@t[3]
Packit c4476c
Packit c4476c
	add	@x[12],@x[12],@d[0]
Packit c4476c
	add	@x[13],@x[13],@d[1]
Packit c4476c
	add	@x[14],@x[14],@d[2]
Packit c4476c
	add	@x[15],@x[15],@d[3]
Packit c4476c
	addi	@d[0],@d[0],1			# increment counter
Packit c4476c
___
Packit c4476c
if (!$LITTLE_ENDIAN) { for($i=0;$i<16;$i++) {	# flip byte order
Packit c4476c
$code.=<<___;
Packit c4476c
	mr	@t[$i&3],@x[$i]
Packit c4476c
	rotlwi	@x[$i],@x[$i],8
Packit c4476c
	rlwimi	@x[$i],@t[$i&3],24,0,7
Packit c4476c
	rlwimi	@x[$i],@t[$i&3],24,16,23
Packit c4476c
___
Packit c4476c
} }
Packit c4476c
$code.=<<___;
Packit c4476c
	bne	Ltail				# $len-=64 borrowed
Packit c4476c
Packit c4476c
	lwz	@t[0],0($inp)			# load input, aligned or not
Packit c4476c
	lwz	@t[1],4($inp)
Packit c4476c
	${UCMP}i $len,0				# done already?
Packit c4476c
	lwz	@t[2],8($inp)
Packit c4476c
	lwz	@t[3],12($inp)
Packit c4476c
	xor	@x[0],@x[0],@t[0]		# xor with input
Packit c4476c
	lwz	@t[0],16($inp)
Packit c4476c
	xor	@x[1],@x[1],@t[1]
Packit c4476c
	lwz	@t[1],20($inp)
Packit c4476c
	xor	@x[2],@x[2],@t[2]
Packit c4476c
	lwz	@t[2],24($inp)
Packit c4476c
	xor	@x[3],@x[3],@t[3]
Packit c4476c
	lwz	@t[3],28($inp)
Packit c4476c
	xor	@x[4],@x[4],@t[0]
Packit c4476c
	lwz	@t[0],32($inp)
Packit c4476c
	xor	@x[5],@x[5],@t[1]
Packit c4476c
	lwz	@t[1],36($inp)
Packit c4476c
	xor	@x[6],@x[6],@t[2]
Packit c4476c
	lwz	@t[2],40($inp)
Packit c4476c
	xor	@x[7],@x[7],@t[3]
Packit c4476c
	lwz	@t[3],44($inp)
Packit c4476c
	xor	@x[8],@x[8],@t[0]
Packit c4476c
	lwz	@t[0],48($inp)
Packit c4476c
	xor	@x[9],@x[9],@t[1]
Packit c4476c
	lwz	@t[1],52($inp)
Packit c4476c
	xor	@x[10],@x[10],@t[2]
Packit c4476c
	lwz	@t[2],56($inp)
Packit c4476c
	xor	@x[11],@x[11],@t[3]
Packit c4476c
	lwz	@t[3],60($inp)
Packit c4476c
	xor	@x[12],@x[12],@t[0]
Packit c4476c
	stw	@x[0],0($out)			# store output, aligned or not
Packit c4476c
	xor	@x[13],@x[13],@t[1]
Packit c4476c
	stw	@x[1],4($out)
Packit c4476c
	xor	@x[14],@x[14],@t[2]
Packit c4476c
	stw	@x[2],8($out)
Packit c4476c
	xor	@x[15],@x[15],@t[3]
Packit c4476c
	stw	@x[3],12($out)
Packit c4476c
	stw	@x[4],16($out)
Packit c4476c
	stw	@x[5],20($out)
Packit c4476c
	stw	@x[6],24($out)
Packit c4476c
	stw	@x[7],28($out)
Packit c4476c
	stw	@x[8],32($out)
Packit c4476c
	stw	@x[9],36($out)
Packit c4476c
	stw	@x[10],40($out)
Packit c4476c
	stw	@x[11],44($out)
Packit c4476c
	stw	@x[12],48($out)
Packit c4476c
	stw	@x[13],52($out)
Packit c4476c
	stw	@x[14],56($out)
Packit c4476c
	addi	$inp,$inp,64
Packit c4476c
	stw	@x[15],60($out)
Packit c4476c
	addi	$out,$out,64
Packit c4476c
Packit c4476c
	bne	Loop_outer
Packit c4476c
Packit c4476c
	blr
Packit c4476c
Packit c4476c
.align	4
Packit c4476c
Ltail:
Packit c4476c
	addi	$len,$len,64			# restore tail length
Packit c4476c
	subi	$inp,$inp,1			# prepare for *++ptr
Packit c4476c
	subi	$out,$out,1
Packit c4476c
	addi	@t[0],$sp,$LOCALS-1
Packit c4476c
	mtctr	$len
Packit c4476c
Packit c4476c
	stw	@x[0],`$LOCALS+0`($sp)		# save whole block to stack
Packit c4476c
	stw	@x[1],`$LOCALS+4`($sp)
Packit c4476c
	stw	@x[2],`$LOCALS+8`($sp)
Packit c4476c
	stw	@x[3],`$LOCALS+12`($sp)
Packit c4476c
	stw	@x[4],`$LOCALS+16`($sp)
Packit c4476c
	stw	@x[5],`$LOCALS+20`($sp)
Packit c4476c
	stw	@x[6],`$LOCALS+24`($sp)
Packit c4476c
	stw	@x[7],`$LOCALS+28`($sp)
Packit c4476c
	stw	@x[8],`$LOCALS+32`($sp)
Packit c4476c
	stw	@x[9],`$LOCALS+36`($sp)
Packit c4476c
	stw	@x[10],`$LOCALS+40`($sp)
Packit c4476c
	stw	@x[11],`$LOCALS+44`($sp)
Packit c4476c
	stw	@x[12],`$LOCALS+48`($sp)
Packit c4476c
	stw	@x[13],`$LOCALS+52`($sp)
Packit c4476c
	stw	@x[14],`$LOCALS+56`($sp)
Packit c4476c
	stw	@x[15],`$LOCALS+60`($sp)
Packit c4476c
Packit c4476c
Loop_tail:					# byte-by-byte loop
Packit c4476c
	lbzu	@d[0],1($inp)
Packit c4476c
	lbzu	@x[0],1(@t[0])
Packit c4476c
	xor	@d[1],@d[0],@x[0]
Packit c4476c
	stbu	@d[1],1($out)
Packit c4476c
	bdnz	Loop_tail
Packit c4476c
Packit c4476c
	stw	$sp,`$LOCALS+0`($sp)		# wipe block on stack
Packit c4476c
	stw	$sp,`$LOCALS+4`($sp)
Packit c4476c
	stw	$sp,`$LOCALS+8`($sp)
Packit c4476c
	stw	$sp,`$LOCALS+12`($sp)
Packit c4476c
	stw	$sp,`$LOCALS+16`($sp)
Packit c4476c
	stw	$sp,`$LOCALS+20`($sp)
Packit c4476c
	stw	$sp,`$LOCALS+24`($sp)
Packit c4476c
	stw	$sp,`$LOCALS+28`($sp)
Packit c4476c
	stw	$sp,`$LOCALS+32`($sp)
Packit c4476c
	stw	$sp,`$LOCALS+36`($sp)
Packit c4476c
	stw	$sp,`$LOCALS+40`($sp)
Packit c4476c
	stw	$sp,`$LOCALS+44`($sp)
Packit c4476c
	stw	$sp,`$LOCALS+48`($sp)
Packit c4476c
	stw	$sp,`$LOCALS+52`($sp)
Packit c4476c
	stw	$sp,`$LOCALS+56`($sp)
Packit c4476c
	stw	$sp,`$LOCALS+60`($sp)
Packit c4476c
Packit c4476c
	blr
Packit c4476c
	.long	0
Packit c4476c
	.byte	0,12,0x14,0,0,0,0,0
Packit c4476c
___
Packit c4476c
Packit c4476c
{{{
Packit c4476c
my ($A0,$B0,$C0,$D0,$A1,$B1,$C1,$D1,$A2,$B2,$C2,$D2)
Packit c4476c
				= map("v$_",(0..11));
Packit c4476c
my @K				= map("v$_",(12..17));
Packit c4476c
my ($FOUR,$sixteen,$twenty4)	= map("v$_",(18..19,23));
Packit c4476c
my ($inpperm,$outperm,$outmask)	= map("v$_",(24..26));
Packit c4476c
my @D				= map("v$_",(27..31));
Packit c4476c
my ($twelve,$seven,$T0,$T1) = @D;
Packit c4476c
Packit c4476c
my $FRAME=$LOCALS+64+10*16+18*$SIZE_T;	# 10*16 is for v23-v31 offload
Packit c4476c
Packit c4476c
sub VMXROUND {
Packit c4476c
my $odd = pop;
Packit c4476c
my ($a,$b,$c,$d)=@_;
Packit c4476c
Packit c4476c
	(
Packit c4476c
	"&vadduwm	('$a','$a','$b')",
Packit c4476c
	"&vxor		('$d','$d','$a')",
Packit c4476c
	"&vperm		('$d','$d','$d','$sixteen')",
Packit c4476c
Packit c4476c
	"&vadduwm	('$c','$c','$d')",
Packit c4476c
	"&vxor		('$b','$b','$c')",
Packit c4476c
	"&vrlw		('$b','$b','$twelve')",
Packit c4476c
Packit c4476c
	"&vadduwm	('$a','$a','$b')",
Packit c4476c
	"&vxor		('$d','$d','$a')",
Packit c4476c
	"&vperm		('$d','$d','$d','$twenty4')",
Packit c4476c
Packit c4476c
	"&vadduwm	('$c','$c','$d')",
Packit c4476c
	"&vxor		('$b','$b','$c')",
Packit c4476c
	"&vrlw		('$b','$b','$seven')",
Packit c4476c
Packit c4476c
	"&vrldoi	('$c','$c',8)",
Packit c4476c
	"&vrldoi	('$b','$b',$odd?4:12)",
Packit c4476c
	"&vrldoi	('$d','$d',$odd?12:4)"
Packit c4476c
	);
Packit c4476c
}
Packit c4476c
Packit c4476c
$code.=<<___;
Packit c4476c
Packit c4476c
.globl	.ChaCha20_ctr32_vmx
Packit c4476c
.align	5
Packit c4476c
.ChaCha20_ctr32_vmx:
Packit c4476c
	${UCMP}i $len,256
Packit c4476c
	blt	__ChaCha20_ctr32_int
Packit c4476c
Packit c4476c
	$STU	$sp,-$FRAME($sp)
Packit c4476c
	mflr	r0
Packit c4476c
	li	r10,`15+$LOCALS+64`
Packit c4476c
	li	r11,`31+$LOCALS+64`
Packit c4476c
	mfspr	r12,256
Packit c4476c
	stvx	v23,r10,$sp
Packit c4476c
	addi	r10,r10,32
Packit c4476c
	stvx	v24,r11,$sp
Packit c4476c
	addi	r11,r11,32
Packit c4476c
	stvx	v25,r10,$sp
Packit c4476c
	addi	r10,r10,32
Packit c4476c
	stvx	v26,r11,$sp
Packit c4476c
	addi	r11,r11,32
Packit c4476c
	stvx	v27,r10,$sp
Packit c4476c
	addi	r10,r10,32
Packit c4476c
	stvx	v28,r11,$sp
Packit c4476c
	addi	r11,r11,32
Packit c4476c
	stvx	v29,r10,$sp
Packit c4476c
	addi	r10,r10,32
Packit c4476c
	stvx	v30,r11,$sp
Packit c4476c
	stvx	v31,r10,$sp
Packit c4476c
	stw	r12,`$FRAME-$SIZE_T*18-4`($sp)	# save vrsave
Packit c4476c
	$PUSH	r14,`$FRAME-$SIZE_T*18`($sp)
Packit c4476c
	$PUSH	r15,`$FRAME-$SIZE_T*17`($sp)
Packit c4476c
	$PUSH	r16,`$FRAME-$SIZE_T*16`($sp)
Packit c4476c
	$PUSH	r17,`$FRAME-$SIZE_T*15`($sp)
Packit c4476c
	$PUSH	r18,`$FRAME-$SIZE_T*14`($sp)
Packit c4476c
	$PUSH	r19,`$FRAME-$SIZE_T*13`($sp)
Packit c4476c
	$PUSH	r20,`$FRAME-$SIZE_T*12`($sp)
Packit c4476c
	$PUSH	r21,`$FRAME-$SIZE_T*11`($sp)
Packit c4476c
	$PUSH	r22,`$FRAME-$SIZE_T*10`($sp)
Packit c4476c
	$PUSH	r23,`$FRAME-$SIZE_T*9`($sp)
Packit c4476c
	$PUSH	r24,`$FRAME-$SIZE_T*8`($sp)
Packit c4476c
	$PUSH	r25,`$FRAME-$SIZE_T*7`($sp)
Packit c4476c
	$PUSH	r26,`$FRAME-$SIZE_T*6`($sp)
Packit c4476c
	$PUSH	r27,`$FRAME-$SIZE_T*5`($sp)
Packit c4476c
	$PUSH	r28,`$FRAME-$SIZE_T*4`($sp)
Packit c4476c
	$PUSH	r29,`$FRAME-$SIZE_T*3`($sp)
Packit c4476c
	$PUSH	r30,`$FRAME-$SIZE_T*2`($sp)
Packit c4476c
	$PUSH	r31,`$FRAME-$SIZE_T*1`($sp)
Packit c4476c
	li	r12,-4096+511
Packit c4476c
	$PUSH	r0, `$FRAME+$LRSAVE`($sp)
Packit c4476c
	mtspr	256,r12				# preserve 29 AltiVec registers
Packit c4476c
Packit c4476c
	bl	Lconsts				# returns pointer Lsigma in r12
Packit c4476c
	li	@x[0],16
Packit c4476c
	li	@x[1],32
Packit c4476c
	li	@x[2],48
Packit c4476c
	li	@x[3],64
Packit c4476c
	li	@x[4],31			# 31 is not a typo
Packit c4476c
	li	@x[5],15			# nor is 15
Packit c4476c
Packit c4476c
	lvx	@K[1],0,$key			# load key
Packit c4476c
	?lvsr	$T0,0,$key			# prepare unaligned load
Packit c4476c
	lvx	@K[2],@x[0],$key
Packit c4476c
	lvx	@D[0],@x[4],$key
Packit c4476c
Packit c4476c
	lvx	@K[3],0,$ctr			# load counter
Packit c4476c
	?lvsr	$T1,0,$ctr			# prepare unaligned load
Packit c4476c
	lvx	@D[1],@x[5],$ctr
Packit c4476c
Packit c4476c
	lvx	@K[0],0,r12			# load constants
Packit c4476c
	lvx	@K[5],@x[0],r12			# one
Packit c4476c
	lvx	$FOUR,@x[1],r12
Packit c4476c
	lvx	$sixteen,@x[2],r12
Packit c4476c
	lvx	$twenty4,@x[3],r12
Packit c4476c
Packit c4476c
	?vperm	@K[1],@K[2],@K[1],$T0		# align key
Packit c4476c
	?vperm	@K[2],@D[0],@K[2],$T0
Packit c4476c
	?vperm	@K[3],@D[1],@K[3],$T1		# align counter
Packit c4476c
Packit c4476c
	lwz	@d[0],0($ctr)			# load counter to GPR
Packit c4476c
	lwz	@d[1],4($ctr)
Packit c4476c
	vadduwm	@K[3],@K[3],@K[5]		# adjust AltiVec counter
Packit c4476c
	lwz	@d[2],8($ctr)
Packit c4476c
	vadduwm	@K[4],@K[3],@K[5]
Packit c4476c
	lwz	@d[3],12($ctr)
Packit c4476c
	vadduwm	@K[5],@K[4],@K[5]
Packit c4476c
Packit c4476c
	vxor	$T0,$T0,$T0			# 0x00..00
Packit c4476c
	vspltisw $outmask,-1			# 0xff..ff
Packit c4476c
	?lvsr	$inpperm,0,$inp			# prepare for unaligned load
Packit c4476c
	?lvsl	$outperm,0,$out			# prepare for unaligned store
Packit c4476c
	?vperm	$outmask,$outmask,$T0,$outperm
Packit c4476c
Packit c4476c
	be?lvsl	$T0,0,@x[0]			# 0x00..0f
Packit c4476c
	be?vspltisb $T1,3			# 0x03..03
Packit c4476c
	be?vxor	$T0,$T0,$T1			# swap bytes within words
Packit c4476c
	be?vxor	$outperm,$outperm,$T1
Packit c4476c
	be?vperm $inpperm,$inpperm,$inpperm,$T0
Packit c4476c
Packit c4476c
	li	r0,10				# inner loop counter
Packit c4476c
	b	Loop_outer_vmx
Packit c4476c
Packit c4476c
.align	4
Packit c4476c
Loop_outer_vmx:
Packit c4476c
	lis	@x[0],0x6170			# synthesize sigma
Packit c4476c
	lis	@x[1],0x3320
Packit c4476c
	 vmr	$A0,@K[0]
Packit c4476c
	lis	@x[2],0x7962
Packit c4476c
	lis	@x[3],0x6b20
Packit c4476c
	 vmr	$A1,@K[0]
Packit c4476c
	ori	@x[0],@x[0],0x7865
Packit c4476c
	ori	@x[1],@x[1],0x646e
Packit c4476c
	 vmr	$A2,@K[0]
Packit c4476c
	ori	@x[2],@x[2],0x2d32
Packit c4476c
	ori	@x[3],@x[3],0x6574
Packit c4476c
	 vmr	$B0,@K[1]
Packit c4476c
Packit c4476c
	lwz	@x[4],0($key)			# load key to GPR
Packit c4476c
	 vmr	$B1,@K[1]
Packit c4476c
	lwz	@x[5],4($key)
Packit c4476c
	 vmr	$B2,@K[1]
Packit c4476c
	lwz	@x[6],8($key)
Packit c4476c
	 vmr	$C0,@K[2]
Packit c4476c
	lwz	@x[7],12($key)
Packit c4476c
	 vmr	$C1,@K[2]
Packit c4476c
	lwz	@x[8],16($key)
Packit c4476c
	 vmr	$C2,@K[2]
Packit c4476c
	mr	@x[12],@d[0]			# copy GPR counter
Packit c4476c
	lwz	@x[9],20($key)
Packit c4476c
	 vmr	$D0,@K[3]
Packit c4476c
	mr	@x[13],@d[1]
Packit c4476c
	lwz	@x[10],24($key)
Packit c4476c
	 vmr	$D1,@K[4]
Packit c4476c
	mr	@x[14],@d[2]
Packit c4476c
	lwz	@x[11],28($key)
Packit c4476c
	 vmr	$D2,@K[5]
Packit c4476c
	mr	@x[15],@d[3]
Packit c4476c
Packit c4476c
	mr	@t[0],@x[4]
Packit c4476c
	mr	@t[1],@x[5]
Packit c4476c
	mr	@t[2],@x[6]
Packit c4476c
	mr	@t[3],@x[7]
Packit c4476c
Packit c4476c
	vspltisw $twelve,12			# synthesize constants
Packit c4476c
	vspltisw $seven,7
Packit c4476c
Packit c4476c
	mtctr	r0
Packit c4476c
	nop
Packit c4476c
Loop_vmx:
Packit c4476c
___
Packit c4476c
	my @thread0=&VMXROUND($A0,$B0,$C0,$D0,0);
Packit c4476c
	my @thread1=&VMXROUND($A1,$B1,$C1,$D1,0);
Packit c4476c
	my @thread2=&VMXROUND($A2,$B2,$C2,$D2,0);
Packit c4476c
	my @thread3=&ROUND(0,4,8,12);
Packit c4476c
Packit c4476c
	foreach (@thread0) {
Packit c4476c
		eval;
Packit c4476c
		eval(shift(@thread1));
Packit c4476c
		eval(shift(@thread2));
Packit c4476c
Packit c4476c
		eval(shift(@thread3));
Packit c4476c
		eval(shift(@thread3));
Packit c4476c
		eval(shift(@thread3));
Packit c4476c
	}
Packit c4476c
	foreach (@thread3) { eval; }
Packit c4476c
Packit c4476c
	@thread0=&VMXROUND($A0,$B0,$C0,$D0,1);
Packit c4476c
	@thread1=&VMXROUND($A1,$B1,$C1,$D1,1);
Packit c4476c
	@thread2=&VMXROUND($A2,$B2,$C2,$D2,1);
Packit c4476c
	@thread3=&ROUND(0,5,10,15);
Packit c4476c
Packit c4476c
	foreach (@thread0) {
Packit c4476c
		eval;
Packit c4476c
		eval(shift(@thread1));
Packit c4476c
		eval(shift(@thread2));
Packit c4476c
Packit c4476c
		eval(shift(@thread3));
Packit c4476c
		eval(shift(@thread3));
Packit c4476c
		eval(shift(@thread3));
Packit c4476c
	}
Packit c4476c
	foreach (@thread3) { eval; }
Packit c4476c
$code.=<<___;
Packit c4476c
	bdnz	Loop_vmx
Packit c4476c
Packit c4476c
	subi	$len,$len,256			# $len-=256
Packit c4476c
	addi	@x[0],@x[0],0x7865		# accumulate key block
Packit c4476c
	addi	@x[1],@x[1],0x646e
Packit c4476c
	addi	@x[2],@x[2],0x2d32
Packit c4476c
	addi	@x[3],@x[3],0x6574
Packit c4476c
	addis	@x[0],@x[0],0x6170
Packit c4476c
	addis	@x[1],@x[1],0x3320
Packit c4476c
	addis	@x[2],@x[2],0x7962
Packit c4476c
	addis	@x[3],@x[3],0x6b20
Packit c4476c
	add	@x[4],@x[4],@t[0]
Packit c4476c
	lwz	@t[0],16($key)
Packit c4476c
	add	@x[5],@x[5],@t[1]
Packit c4476c
	lwz	@t[1],20($key)
Packit c4476c
	add	@x[6],@x[6],@t[2]
Packit c4476c
	lwz	@t[2],24($key)
Packit c4476c
	add	@x[7],@x[7],@t[3]
Packit c4476c
	lwz	@t[3],28($key)
Packit c4476c
	add	@x[8],@x[8],@t[0]
Packit c4476c
	add	@x[9],@x[9],@t[1]
Packit c4476c
	add	@x[10],@x[10],@t[2]
Packit c4476c
	add	@x[11],@x[11],@t[3]
Packit c4476c
	add	@x[12],@x[12],@d[0]
Packit c4476c
	add	@x[13],@x[13],@d[1]
Packit c4476c
	add	@x[14],@x[14],@d[2]
Packit c4476c
	add	@x[15],@x[15],@d[3]
Packit c4476c
Packit c4476c
	vadduwm	$A0,$A0,@K[0]			# accumulate key block
Packit c4476c
	vadduwm	$A1,$A1,@K[0]
Packit c4476c
	vadduwm	$A2,$A2,@K[0]
Packit c4476c
	vadduwm	$B0,$B0,@K[1]
Packit c4476c
	vadduwm	$B1,$B1,@K[1]
Packit c4476c
	vadduwm	$B2,$B2,@K[1]
Packit c4476c
	vadduwm	$C0,$C0,@K[2]
Packit c4476c
	vadduwm	$C1,$C1,@K[2]
Packit c4476c
	vadduwm	$C2,$C2,@K[2]
Packit c4476c
	vadduwm	$D0,$D0,@K[3]
Packit c4476c
	vadduwm	$D1,$D1,@K[4]
Packit c4476c
	vadduwm	$D2,$D2,@K[5]
Packit c4476c
Packit c4476c
	addi	@d[0],@d[0],4			# increment counter
Packit c4476c
	vadduwm	@K[3],@K[3],$FOUR
Packit c4476c
	vadduwm	@K[4],@K[4],$FOUR
Packit c4476c
	vadduwm	@K[5],@K[5],$FOUR
Packit c4476c
Packit c4476c
___
Packit c4476c
if (!$LITTLE_ENDIAN) { for($i=0;$i<16;$i++) {	# flip byte order
Packit c4476c
$code.=<<___;
Packit c4476c
	mr	@t[$i&3],@x[$i]
Packit c4476c
	rotlwi	@x[$i],@x[$i],8
Packit c4476c
	rlwimi	@x[$i],@t[$i&3],24,0,7
Packit c4476c
	rlwimi	@x[$i],@t[$i&3],24,16,23
Packit c4476c
___
Packit c4476c
} }
Packit c4476c
$code.=<<___;
Packit c4476c
	lwz	@t[0],0($inp)			# load input, aligned or not
Packit c4476c
	lwz	@t[1],4($inp)
Packit c4476c
	lwz	@t[2],8($inp)
Packit c4476c
	lwz	@t[3],12($inp)
Packit c4476c
	xor	@x[0],@x[0],@t[0]		# xor with input
Packit c4476c
	lwz	@t[0],16($inp)
Packit c4476c
	xor	@x[1],@x[1],@t[1]
Packit c4476c
	lwz	@t[1],20($inp)
Packit c4476c
	xor	@x[2],@x[2],@t[2]
Packit c4476c
	lwz	@t[2],24($inp)
Packit c4476c
	xor	@x[3],@x[3],@t[3]
Packit c4476c
	lwz	@t[3],28($inp)
Packit c4476c
	xor	@x[4],@x[4],@t[0]
Packit c4476c
	lwz	@t[0],32($inp)
Packit c4476c
	xor	@x[5],@x[5],@t[1]
Packit c4476c
	lwz	@t[1],36($inp)
Packit c4476c
	xor	@x[6],@x[6],@t[2]
Packit c4476c
	lwz	@t[2],40($inp)
Packit c4476c
	xor	@x[7],@x[7],@t[3]
Packit c4476c
	lwz	@t[3],44($inp)
Packit c4476c
	xor	@x[8],@x[8],@t[0]
Packit c4476c
	lwz	@t[0],48($inp)
Packit c4476c
	xor	@x[9],@x[9],@t[1]
Packit c4476c
	lwz	@t[1],52($inp)
Packit c4476c
	xor	@x[10],@x[10],@t[2]
Packit c4476c
	lwz	@t[2],56($inp)
Packit c4476c
	xor	@x[11],@x[11],@t[3]
Packit c4476c
	lwz	@t[3],60($inp)
Packit c4476c
	xor	@x[12],@x[12],@t[0]
Packit c4476c
	stw	@x[0],0($out)			# store output, aligned or not
Packit c4476c
	xor	@x[13],@x[13],@t[1]
Packit c4476c
	stw	@x[1],4($out)
Packit c4476c
	xor	@x[14],@x[14],@t[2]
Packit c4476c
	stw	@x[2],8($out)
Packit c4476c
	xor	@x[15],@x[15],@t[3]
Packit c4476c
	stw	@x[3],12($out)
Packit c4476c
	addi	$inp,$inp,64
Packit c4476c
	stw	@x[4],16($out)
Packit c4476c
	li	@t[0],16
Packit c4476c
	stw	@x[5],20($out)
Packit c4476c
	li	@t[1],32
Packit c4476c
	stw	@x[6],24($out)
Packit c4476c
	li	@t[2],48
Packit c4476c
	stw	@x[7],28($out)
Packit c4476c
	li	@t[3],64
Packit c4476c
	stw	@x[8],32($out)
Packit c4476c
	stw	@x[9],36($out)
Packit c4476c
	stw	@x[10],40($out)
Packit c4476c
	stw	@x[11],44($out)
Packit c4476c
	stw	@x[12],48($out)
Packit c4476c
	stw	@x[13],52($out)
Packit c4476c
	stw	@x[14],56($out)
Packit c4476c
	stw	@x[15],60($out)
Packit c4476c
	addi	$out,$out,64
Packit c4476c
Packit c4476c
	lvx	@D[0],0,$inp			# load input
Packit c4476c
	lvx	@D[1],@t[0],$inp
Packit c4476c
	lvx	@D[2],@t[1],$inp
Packit c4476c
	lvx	@D[3],@t[2],$inp
Packit c4476c
	lvx	@D[4],@t[3],$inp
Packit c4476c
	addi	$inp,$inp,64
Packit c4476c
Packit c4476c
	?vperm	@D[0],@D[1],@D[0],$inpperm	# align input
Packit c4476c
	?vperm	@D[1],@D[2],@D[1],$inpperm
Packit c4476c
	?vperm	@D[2],@D[3],@D[2],$inpperm
Packit c4476c
	?vperm	@D[3],@D[4],@D[3],$inpperm
Packit c4476c
	vxor	$A0,$A0,@D[0]			# xor with input
Packit c4476c
	vxor	$B0,$B0,@D[1]
Packit c4476c
	lvx	@D[1],@t[0],$inp		# keep loading input
Packit c4476c
	vxor	$C0,$C0,@D[2]
Packit c4476c
	lvx	@D[2],@t[1],$inp
Packit c4476c
	vxor	$D0,$D0,@D[3]
Packit c4476c
	lvx	@D[3],@t[2],$inp
Packit c4476c
	lvx	@D[0],@t[3],$inp
Packit c4476c
	addi	$inp,$inp,64
Packit c4476c
	li	@t[3],63			# 63 is not a typo
Packit c4476c
	vperm	$A0,$A0,$A0,$outperm		# pre-misalign output
Packit c4476c
	vperm	$B0,$B0,$B0,$outperm
Packit c4476c
	vperm	$C0,$C0,$C0,$outperm
Packit c4476c
	vperm	$D0,$D0,$D0,$outperm
Packit c4476c
Packit c4476c
	?vperm	@D[4],@D[1],@D[4],$inpperm	# align input
Packit c4476c
	?vperm	@D[1],@D[2],@D[1],$inpperm
Packit c4476c
	?vperm	@D[2],@D[3],@D[2],$inpperm
Packit c4476c
	?vperm	@D[3],@D[0],@D[3],$inpperm
Packit c4476c
	vxor	$A1,$A1,@D[4]
Packit c4476c
	vxor	$B1,$B1,@D[1]
Packit c4476c
	lvx	@D[1],@t[0],$inp		# keep loading input
Packit c4476c
	vxor	$C1,$C1,@D[2]
Packit c4476c
	lvx	@D[2],@t[1],$inp
Packit c4476c
	vxor	$D1,$D1,@D[3]
Packit c4476c
	lvx	@D[3],@t[2],$inp
Packit c4476c
	lvx	@D[4],@t[3],$inp		# redundant in aligned case
Packit c4476c
	addi	$inp,$inp,64
Packit c4476c
	vperm	$A1,$A1,$A1,$outperm		# pre-misalign output
Packit c4476c
	vperm	$B1,$B1,$B1,$outperm
Packit c4476c
	vperm	$C1,$C1,$C1,$outperm
Packit c4476c
	vperm	$D1,$D1,$D1,$outperm
Packit c4476c
Packit c4476c
	?vperm	@D[0],@D[1],@D[0],$inpperm	# align input
Packit c4476c
	?vperm	@D[1],@D[2],@D[1],$inpperm
Packit c4476c
	?vperm	@D[2],@D[3],@D[2],$inpperm
Packit c4476c
	?vperm	@D[3],@D[4],@D[3],$inpperm
Packit c4476c
	vxor	$A2,$A2,@D[0]
Packit c4476c
	vxor	$B2,$B2,@D[1]
Packit c4476c
	vxor	$C2,$C2,@D[2]
Packit c4476c
	vxor	$D2,$D2,@D[3]
Packit c4476c
	vperm	$A2,$A2,$A2,$outperm		# pre-misalign output
Packit c4476c
	vperm	$B2,$B2,$B2,$outperm
Packit c4476c
	vperm	$C2,$C2,$C2,$outperm
Packit c4476c
	vperm	$D2,$D2,$D2,$outperm
Packit c4476c
Packit c4476c
	andi.	@x[1],$out,15			# is $out aligned?
Packit c4476c
	mr	@x[0],$out
Packit c4476c
Packit c4476c
	vsel	@D[0],$A0,$B0,$outmask		# collect pre-misaligned output
Packit c4476c
	vsel	@D[1],$B0,$C0,$outmask
Packit c4476c
	vsel	@D[2],$C0,$D0,$outmask
Packit c4476c
	vsel	@D[3],$D0,$A1,$outmask
Packit c4476c
	vsel	$B0,$A1,$B1,$outmask
Packit c4476c
	vsel	$C0,$B1,$C1,$outmask
Packit c4476c
	vsel	$D0,$C1,$D1,$outmask
Packit c4476c
	vsel	$A1,$D1,$A2,$outmask
Packit c4476c
	vsel	$B1,$A2,$B2,$outmask
Packit c4476c
	vsel	$C1,$B2,$C2,$outmask
Packit c4476c
	vsel	$D1,$C2,$D2,$outmask
Packit c4476c
Packit c4476c
	#stvx	$A0,0,$out			# take it easy on the edges
Packit c4476c
	stvx	@D[0],@t[0],$out		# store output
Packit c4476c
	stvx	@D[1],@t[1],$out
Packit c4476c
	stvx	@D[2],@t[2],$out
Packit c4476c
	addi	$out,$out,64
Packit c4476c
	stvx	@D[3],0,$out
Packit c4476c
	stvx	$B0,@t[0],$out
Packit c4476c
	stvx	$C0,@t[1],$out
Packit c4476c
	stvx	$D0,@t[2],$out
Packit c4476c
	addi	$out,$out,64
Packit c4476c
	stvx	$A1,0,$out
Packit c4476c
	stvx	$B1,@t[0],$out
Packit c4476c
	stvx	$C1,@t[1],$out
Packit c4476c
	stvx	$D1,@t[2],$out
Packit c4476c
	addi	$out,$out,64
Packit c4476c
Packit c4476c
	beq	Laligned_vmx
Packit c4476c
Packit c4476c
	sub	@x[2],$out,@x[1]		# in misaligned case edges
Packit c4476c
	li	@x[3],0				# are written byte-by-byte
Packit c4476c
Lunaligned_tail_vmx:
Packit c4476c
	stvebx	$D2,@x[3],@x[2]
Packit c4476c
	addi	@x[3],@x[3],1
Packit c4476c
	cmpw	@x[3],@x[1]
Packit c4476c
	bne	Lunaligned_tail_vmx
Packit c4476c
Packit c4476c
	sub	@x[2],@x[0],@x[1]
Packit c4476c
Lunaligned_head_vmx:
Packit c4476c
	stvebx	$A0,@x[1],@x[2]
Packit c4476c
	cmpwi	@x[1],15
Packit c4476c
	addi	@x[1],@x[1],1
Packit c4476c
	bne	Lunaligned_head_vmx
Packit c4476c
Packit c4476c
	${UCMP}i $len,255			# done with 256-byte blocks yet?
Packit c4476c
	bgt	Loop_outer_vmx
Packit c4476c
Packit c4476c
	b	Ldone_vmx
Packit c4476c
Packit c4476c
.align	4
Packit c4476c
Laligned_vmx:
Packit c4476c
	stvx	$A0,0,@x[0]			# head hexaword was not stored
Packit c4476c
Packit c4476c
	${UCMP}i $len,255			# done with 256-byte blocks yet?
Packit c4476c
	bgt	Loop_outer_vmx
Packit c4476c
	nop
Packit c4476c
Packit c4476c
Ldone_vmx:
Packit c4476c
	${UCMP}i $len,0				# done yet?
Packit c4476c
	bnel	__ChaCha20_1x
Packit c4476c
Packit c4476c
	lwz	r12,`$FRAME-$SIZE_T*18-4`($sp)	# pull vrsave
Packit c4476c
	li	r10,`15+$LOCALS+64`
Packit c4476c
	li	r11,`31+$LOCALS+64`
Packit c4476c
	mtspr	256,r12				# restore vrsave
Packit c4476c
	lvx	v23,r10,$sp
Packit c4476c
	addi	r10,r10,32
Packit c4476c
	lvx	v24,r11,$sp
Packit c4476c
	addi	r11,r11,32
Packit c4476c
	lvx	v25,r10,$sp
Packit c4476c
	addi	r10,r10,32
Packit c4476c
	lvx	v26,r11,$sp
Packit c4476c
	addi	r11,r11,32
Packit c4476c
	lvx	v27,r10,$sp
Packit c4476c
	addi	r10,r10,32
Packit c4476c
	lvx	v28,r11,$sp
Packit c4476c
	addi	r11,r11,32
Packit c4476c
	lvx	v29,r10,$sp
Packit c4476c
	addi	r10,r10,32
Packit c4476c
	lvx	v30,r11,$sp
Packit c4476c
	lvx	v31,r10,$sp
Packit c4476c
	$POP	r0, `$FRAME+$LRSAVE`($sp)
Packit c4476c
	$POP	r14,`$FRAME-$SIZE_T*18`($sp)
Packit c4476c
	$POP	r15,`$FRAME-$SIZE_T*17`($sp)
Packit c4476c
	$POP	r16,`$FRAME-$SIZE_T*16`($sp)
Packit c4476c
	$POP	r17,`$FRAME-$SIZE_T*15`($sp)
Packit c4476c
	$POP	r18,`$FRAME-$SIZE_T*14`($sp)
Packit c4476c
	$POP	r19,`$FRAME-$SIZE_T*13`($sp)
Packit c4476c
	$POP	r20,`$FRAME-$SIZE_T*12`($sp)
Packit c4476c
	$POP	r21,`$FRAME-$SIZE_T*11`($sp)
Packit c4476c
	$POP	r22,`$FRAME-$SIZE_T*10`($sp)
Packit c4476c
	$POP	r23,`$FRAME-$SIZE_T*9`($sp)
Packit c4476c
	$POP	r24,`$FRAME-$SIZE_T*8`($sp)
Packit c4476c
	$POP	r25,`$FRAME-$SIZE_T*7`($sp)
Packit c4476c
	$POP	r26,`$FRAME-$SIZE_T*6`($sp)
Packit c4476c
	$POP	r27,`$FRAME-$SIZE_T*5`($sp)
Packit c4476c
	$POP	r28,`$FRAME-$SIZE_T*4`($sp)
Packit c4476c
	$POP	r29,`$FRAME-$SIZE_T*3`($sp)
Packit c4476c
	$POP	r30,`$FRAME-$SIZE_T*2`($sp)
Packit c4476c
	$POP	r31,`$FRAME-$SIZE_T*1`($sp)
Packit c4476c
	mtlr	r0
Packit c4476c
	addi	$sp,$sp,$FRAME
Packit c4476c
	blr
Packit c4476c
	.long	0
Packit c4476c
	.byte	0,12,0x04,1,0x80,18,5,0
Packit c4476c
	.long	0
Packit c4476c
.size	.ChaCha20_ctr32_vmx,.-.ChaCha20_ctr32_vmx
Packit c4476c
___
Packit c4476c
}}}
Packit c4476c
{{{
Packit c4476c
my ($xa0,$xa1,$xa2,$xa3, $xb0,$xb1,$xb2,$xb3,
Packit c4476c
    $xc0,$xc1,$xc2,$xc3, $xd0,$xd1,$xd2,$xd3) = map("v$_",(0..15));
Packit c4476c
my @K = map("v$_",(16..19));
Packit c4476c
my $CTR = "v26";
Packit c4476c
my ($xt0,$xt1,$xt2,$xt3) = map("v$_",(27..30));
Packit c4476c
my ($sixteen,$twelve,$eight,$seven) = ($xt0,$xt1,$xt2,$xt3);
Packit c4476c
my $beperm = "v31";
Packit c4476c
Packit c4476c
my ($x00,$x10,$x20,$x30) = (0, map("r$_",(8..10)));
Packit c4476c
Packit c4476c
my $FRAME=$LOCALS+64+7*16;	# 7*16 is for v26-v31 offload
Packit c4476c
Packit c4476c
sub VSX_lane_ROUND {
Packit c4476c
my ($a0,$b0,$c0,$d0)=@_;
Packit c4476c
my ($a1,$b1,$c1,$d1)=map(($_&~3)+(($_+1)&3),($a0,$b0,$c0,$d0));
Packit c4476c
my ($a2,$b2,$c2,$d2)=map(($_&~3)+(($_+1)&3),($a1,$b1,$c1,$d1));
Packit c4476c
my ($a3,$b3,$c3,$d3)=map(($_&~3)+(($_+1)&3),($a2,$b2,$c2,$d2));
Packit c4476c
my @x=map("\"v$_\"",(0..15));
Packit c4476c
Packit c4476c
	(
Packit c4476c
	"&vadduwm	(@x[$a0],@x[$a0],@x[$b0])",	# Q1
Packit c4476c
	 "&vadduwm	(@x[$a1],@x[$a1],@x[$b1])",	# Q2
Packit c4476c
	  "&vadduwm	(@x[$a2],@x[$a2],@x[$b2])",	# Q3
Packit c4476c
	   "&vadduwm	(@x[$a3],@x[$a3],@x[$b3])",	# Q4
Packit c4476c
	"&vxor		(@x[$d0],@x[$d0],@x[$a0])",
Packit c4476c
	 "&vxor		(@x[$d1],@x[$d1],@x[$a1])",
Packit c4476c
	  "&vxor	(@x[$d2],@x[$d2],@x[$a2])",
Packit c4476c
	   "&vxor	(@x[$d3],@x[$d3],@x[$a3])",
Packit c4476c
	"&vrlw		(@x[$d0],@x[$d0],'$sixteen')",
Packit c4476c
	 "&vrlw		(@x[$d1],@x[$d1],'$sixteen')",
Packit c4476c
	  "&vrlw	(@x[$d2],@x[$d2],'$sixteen')",
Packit c4476c
	   "&vrlw	(@x[$d3],@x[$d3],'$sixteen')",
Packit c4476c
Packit c4476c
	"&vadduwm	(@x[$c0],@x[$c0],@x[$d0])",
Packit c4476c
	 "&vadduwm	(@x[$c1],@x[$c1],@x[$d1])",
Packit c4476c
	  "&vadduwm	(@x[$c2],@x[$c2],@x[$d2])",
Packit c4476c
	   "&vadduwm	(@x[$c3],@x[$c3],@x[$d3])",
Packit c4476c
	"&vxor		(@x[$b0],@x[$b0],@x[$c0])",
Packit c4476c
	 "&vxor		(@x[$b1],@x[$b1],@x[$c1])",
Packit c4476c
	  "&vxor	(@x[$b2],@x[$b2],@x[$c2])",
Packit c4476c
	   "&vxor	(@x[$b3],@x[$b3],@x[$c3])",
Packit c4476c
	"&vrlw		(@x[$b0],@x[$b0],'$twelve')",
Packit c4476c
	 "&vrlw		(@x[$b1],@x[$b1],'$twelve')",
Packit c4476c
	  "&vrlw	(@x[$b2],@x[$b2],'$twelve')",
Packit c4476c
	   "&vrlw	(@x[$b3],@x[$b3],'$twelve')",
Packit c4476c
Packit c4476c
	"&vadduwm	(@x[$a0],@x[$a0],@x[$b0])",
Packit c4476c
	 "&vadduwm	(@x[$a1],@x[$a1],@x[$b1])",
Packit c4476c
	  "&vadduwm	(@x[$a2],@x[$a2],@x[$b2])",
Packit c4476c
	   "&vadduwm	(@x[$a3],@x[$a3],@x[$b3])",
Packit c4476c
	"&vxor		(@x[$d0],@x[$d0],@x[$a0])",
Packit c4476c
	 "&vxor		(@x[$d1],@x[$d1],@x[$a1])",
Packit c4476c
	  "&vxor	(@x[$d2],@x[$d2],@x[$a2])",
Packit c4476c
	   "&vxor	(@x[$d3],@x[$d3],@x[$a3])",
Packit c4476c
	"&vrlw		(@x[$d0],@x[$d0],'$eight')",
Packit c4476c
	 "&vrlw		(@x[$d1],@x[$d1],'$eight')",
Packit c4476c
	  "&vrlw	(@x[$d2],@x[$d2],'$eight')",
Packit c4476c
	   "&vrlw	(@x[$d3],@x[$d3],'$eight')",
Packit c4476c
Packit c4476c
	"&vadduwm	(@x[$c0],@x[$c0],@x[$d0])",
Packit c4476c
	 "&vadduwm	(@x[$c1],@x[$c1],@x[$d1])",
Packit c4476c
	  "&vadduwm	(@x[$c2],@x[$c2],@x[$d2])",
Packit c4476c
	   "&vadduwm	(@x[$c3],@x[$c3],@x[$d3])",
Packit c4476c
	"&vxor		(@x[$b0],@x[$b0],@x[$c0])",
Packit c4476c
	 "&vxor		(@x[$b1],@x[$b1],@x[$c1])",
Packit c4476c
	  "&vxor	(@x[$b2],@x[$b2],@x[$c2])",
Packit c4476c
	   "&vxor	(@x[$b3],@x[$b3],@x[$c3])",
Packit c4476c
	"&vrlw		(@x[$b0],@x[$b0],'$seven')",
Packit c4476c
	 "&vrlw		(@x[$b1],@x[$b1],'$seven')",
Packit c4476c
	  "&vrlw	(@x[$b2],@x[$b2],'$seven')",
Packit c4476c
	   "&vrlw	(@x[$b3],@x[$b3],'$seven')"
Packit c4476c
	);
Packit c4476c
}
Packit c4476c
Packit c4476c
$code.=<<___;
Packit c4476c
Packit c4476c
.globl	.ChaCha20_ctr32_vsx
Packit c4476c
.align	5
Packit c4476c
.ChaCha20_ctr32_vsx:
Packit c4476c
	$STU	$sp,-$FRAME($sp)
Packit c4476c
	mflr	r0
Packit c4476c
	li	r10,`15+$LOCALS+64`
Packit c4476c
	li	r11,`31+$LOCALS+64`
Packit c4476c
	mfspr	r12,256
Packit c4476c
	stvx	v26,r10,$sp
Packit c4476c
	addi	r10,r10,32
Packit c4476c
	stvx	v27,r11,$sp
Packit c4476c
	addi	r11,r11,32
Packit c4476c
	stvx	v28,r10,$sp
Packit c4476c
	addi	r10,r10,32
Packit c4476c
	stvx	v29,r11,$sp
Packit c4476c
	addi	r11,r11,32
Packit c4476c
	stvx	v30,r10,$sp
Packit c4476c
	stvx	v31,r11,$sp
Packit c4476c
	stw	r12,`$FRAME-4`($sp)		# save vrsave
Packit c4476c
	li	r12,-4096+63
Packit c4476c
	$PUSH	r0, `$FRAME+$LRSAVE`($sp)
Packit c4476c
	mtspr	256,r12				# preserve 29 AltiVec registers
Packit c4476c
Packit c4476c
	bl	Lconsts				# returns pointer Lsigma in r12
Packit c4476c
	lvx_4w	@K[0],0,r12			# load sigma
Packit c4476c
	addi	r12,r12,0x50
Packit c4476c
	li	$x10,16
Packit c4476c
	li	$x20,32
Packit c4476c
	li	$x30,48
Packit c4476c
	li	r11,64
Packit c4476c
Packit c4476c
	lvx_4w	@K[1],0,$key			# load key
Packit c4476c
	lvx_4w	@K[2],$x10,$key
Packit c4476c
	lvx_4w	@K[3],0,$ctr			# load counter
Packit c4476c
Packit c4476c
	vxor	$xt0,$xt0,$xt0
Packit c4476c
	lvx_4w	$xt1,r11,r12
Packit c4476c
	vspltw	$CTR,@K[3],0
Packit c4476c
	vsldoi	@K[3],@K[3],$xt0,4
Packit c4476c
	vsldoi	@K[3],$xt0,@K[3],12		# clear @K[3].word[0]
Packit c4476c
	vadduwm	$CTR,$CTR,$xt1
Packit c4476c
Packit c4476c
	be?lvsl	$beperm,0,$x10			# 0x00..0f
Packit c4476c
	be?vspltisb $xt0,3			# 0x03..03
Packit c4476c
	be?vxor	$beperm,$beperm,$xt0		# swap bytes within words
Packit c4476c
Packit c4476c
	li	r0,10				# inner loop counter
Packit c4476c
	mtctr	r0
Packit c4476c
	b	Loop_outer_vsx
Packit c4476c
Packit c4476c
.align	5
Packit c4476c
Loop_outer_vsx:
Packit c4476c
	lvx	$xa0,$x00,r12			# load [smashed] sigma
Packit c4476c
	lvx	$xa1,$x10,r12
Packit c4476c
	lvx	$xa2,$x20,r12
Packit c4476c
	lvx	$xa3,$x30,r12
Packit c4476c
Packit c4476c
	vspltw	$xb0,@K[1],0			# smash the key
Packit c4476c
	vspltw	$xb1,@K[1],1
Packit c4476c
	vspltw	$xb2,@K[1],2
Packit c4476c
	vspltw	$xb3,@K[1],3
Packit c4476c
Packit c4476c
	vspltw	$xc0,@K[2],0
Packit c4476c
	vspltw	$xc1,@K[2],1
Packit c4476c
	vspltw	$xc2,@K[2],2
Packit c4476c
	vspltw	$xc3,@K[2],3
Packit c4476c
Packit c4476c
	vmr	$xd0,$CTR			# smash the counter
Packit c4476c
	vspltw	$xd1,@K[3],1
Packit c4476c
	vspltw	$xd2,@K[3],2
Packit c4476c
	vspltw	$xd3,@K[3],3
Packit c4476c
Packit c4476c
	vspltisw $sixteen,-16			# synthesize constants
Packit c4476c
	vspltisw $twelve,12
Packit c4476c
	vspltisw $eight,8
Packit c4476c
	vspltisw $seven,7
Packit c4476c
Packit c4476c
Loop_vsx:
Packit c4476c
___
Packit c4476c
	foreach (&VSX_lane_ROUND(0, 4, 8,12)) { eval; }
Packit c4476c
	foreach (&VSX_lane_ROUND(0, 5,10,15)) { eval; }
Packit c4476c
$code.=<<___;
Packit c4476c
	bdnz	Loop_vsx
Packit c4476c
Packit c4476c
	vadduwm	$xd0,$xd0,$CTR
Packit c4476c
Packit c4476c
	vmrgew	$xt0,$xa0,$xa1			# transpose data
Packit c4476c
	vmrgew	$xt1,$xa2,$xa3
Packit c4476c
	vmrgow	$xa0,$xa0,$xa1
Packit c4476c
	vmrgow	$xa2,$xa2,$xa3
Packit c4476c
	 vmrgew	$xt2,$xb0,$xb1
Packit c4476c
	 vmrgew	$xt3,$xb2,$xb3
Packit c4476c
	vpermdi	$xa1,$xa0,$xa2,0b00
Packit c4476c
	vpermdi	$xa3,$xa0,$xa2,0b11
Packit c4476c
	vpermdi	$xa0,$xt0,$xt1,0b00
Packit c4476c
	vpermdi	$xa2,$xt0,$xt1,0b11
Packit c4476c
Packit c4476c
	vmrgow	$xb0,$xb0,$xb1
Packit c4476c
	vmrgow	$xb2,$xb2,$xb3
Packit c4476c
	 vmrgew	$xt0,$xc0,$xc1
Packit c4476c
	 vmrgew	$xt1,$xc2,$xc3
Packit c4476c
	vpermdi	$xb1,$xb0,$xb2,0b00
Packit c4476c
	vpermdi	$xb3,$xb0,$xb2,0b11
Packit c4476c
	vpermdi	$xb0,$xt2,$xt3,0b00
Packit c4476c
	vpermdi	$xb2,$xt2,$xt3,0b11
Packit c4476c
Packit c4476c
	vmrgow	$xc0,$xc0,$xc1
Packit c4476c
	vmrgow	$xc2,$xc2,$xc3
Packit c4476c
	 vmrgew	$xt2,$xd0,$xd1
Packit c4476c
	 vmrgew	$xt3,$xd2,$xd3
Packit c4476c
	vpermdi	$xc1,$xc0,$xc2,0b00
Packit c4476c
	vpermdi	$xc3,$xc0,$xc2,0b11
Packit c4476c
	vpermdi	$xc0,$xt0,$xt1,0b00
Packit c4476c
	vpermdi	$xc2,$xt0,$xt1,0b11
Packit c4476c
Packit c4476c
	vmrgow	$xd0,$xd0,$xd1
Packit c4476c
	vmrgow	$xd2,$xd2,$xd3
Packit c4476c
	 vspltisw $xt0,4
Packit c4476c
	 vadduwm  $CTR,$CTR,$xt0		# next counter value
Packit c4476c
	vpermdi	$xd1,$xd0,$xd2,0b00
Packit c4476c
	vpermdi	$xd3,$xd0,$xd2,0b11
Packit c4476c
	vpermdi	$xd0,$xt2,$xt3,0b00
Packit c4476c
	vpermdi	$xd2,$xt2,$xt3,0b11
Packit c4476c
Packit c4476c
	vadduwm	$xa0,$xa0,@K[0]
Packit c4476c
	vadduwm	$xb0,$xb0,@K[1]
Packit c4476c
	vadduwm	$xc0,$xc0,@K[2]
Packit c4476c
	vadduwm	$xd0,$xd0,@K[3]
Packit c4476c
Packit c4476c
	be?vperm $xa0,$xa0,$xa0,$beperm
Packit c4476c
	be?vperm $xb0,$xb0,$xb0,$beperm
Packit c4476c
	be?vperm $xc0,$xc0,$xc0,$beperm
Packit c4476c
	be?vperm $xd0,$xd0,$xd0,$beperm
Packit c4476c
Packit c4476c
	${UCMP}i $len,0x40
Packit c4476c
	blt	Ltail_vsx
Packit c4476c
Packit c4476c
	lvx_4w	$xt0,$x00,$inp
Packit c4476c
	lvx_4w	$xt1,$x10,$inp
Packit c4476c
	lvx_4w	$xt2,$x20,$inp
Packit c4476c
	lvx_4w	$xt3,$x30,$inp
Packit c4476c
Packit c4476c
	vxor	$xt0,$xt0,$xa0
Packit c4476c
	vxor	$xt1,$xt1,$xb0
Packit c4476c
	vxor	$xt2,$xt2,$xc0
Packit c4476c
	vxor	$xt3,$xt3,$xd0
Packit c4476c
Packit c4476c
	stvx_4w	$xt0,$x00,$out
Packit c4476c
	stvx_4w	$xt1,$x10,$out
Packit c4476c
	addi	$inp,$inp,0x40
Packit c4476c
	stvx_4w	$xt2,$x20,$out
Packit c4476c
	subi	$len,$len,0x40
Packit c4476c
	stvx_4w	$xt3,$x30,$out
Packit c4476c
	addi	$out,$out,0x40
Packit c4476c
	beq	Ldone_vsx
Packit c4476c
Packit c4476c
	vadduwm	$xa0,$xa1,@K[0]
Packit c4476c
	vadduwm	$xb0,$xb1,@K[1]
Packit c4476c
	vadduwm	$xc0,$xc1,@K[2]
Packit c4476c
	vadduwm	$xd0,$xd1,@K[3]
Packit c4476c
Packit c4476c
	be?vperm $xa0,$xa0,$xa0,$beperm
Packit c4476c
	be?vperm $xb0,$xb0,$xb0,$beperm
Packit c4476c
	be?vperm $xc0,$xc0,$xc0,$beperm
Packit c4476c
	be?vperm $xd0,$xd0,$xd0,$beperm
Packit c4476c
Packit c4476c
	${UCMP}i $len,0x40
Packit c4476c
	blt	Ltail_vsx
Packit c4476c
Packit c4476c
	lvx_4w	$xt0,$x00,$inp
Packit c4476c
	lvx_4w	$xt1,$x10,$inp
Packit c4476c
	lvx_4w	$xt2,$x20,$inp
Packit c4476c
	lvx_4w	$xt3,$x30,$inp
Packit c4476c
Packit c4476c
	vxor	$xt0,$xt0,$xa0
Packit c4476c
	vxor	$xt1,$xt1,$xb0
Packit c4476c
	vxor	$xt2,$xt2,$xc0
Packit c4476c
	vxor	$xt3,$xt3,$xd0
Packit c4476c
Packit c4476c
	stvx_4w	$xt0,$x00,$out
Packit c4476c
	stvx_4w	$xt1,$x10,$out
Packit c4476c
	addi	$inp,$inp,0x40
Packit c4476c
	stvx_4w	$xt2,$x20,$out
Packit c4476c
	subi	$len,$len,0x40
Packit c4476c
	stvx_4w	$xt3,$x30,$out
Packit c4476c
	addi	$out,$out,0x40
Packit c4476c
	beq	Ldone_vsx
Packit c4476c
Packit c4476c
	vadduwm	$xa0,$xa2,@K[0]
Packit c4476c
	vadduwm	$xb0,$xb2,@K[1]
Packit c4476c
	vadduwm	$xc0,$xc2,@K[2]
Packit c4476c
	vadduwm	$xd0,$xd2,@K[3]
Packit c4476c
Packit c4476c
	be?vperm $xa0,$xa0,$xa0,$beperm
Packit c4476c
	be?vperm $xb0,$xb0,$xb0,$beperm
Packit c4476c
	be?vperm $xc0,$xc0,$xc0,$beperm
Packit c4476c
	be?vperm $xd0,$xd0,$xd0,$beperm
Packit c4476c
Packit c4476c
	${UCMP}i $len,0x40
Packit c4476c
	blt	Ltail_vsx
Packit c4476c
Packit c4476c
	lvx_4w	$xt0,$x00,$inp
Packit c4476c
	lvx_4w	$xt1,$x10,$inp
Packit c4476c
	lvx_4w	$xt2,$x20,$inp
Packit c4476c
	lvx_4w	$xt3,$x30,$inp
Packit c4476c
Packit c4476c
	vxor	$xt0,$xt0,$xa0
Packit c4476c
	vxor	$xt1,$xt1,$xb0
Packit c4476c
	vxor	$xt2,$xt2,$xc0
Packit c4476c
	vxor	$xt3,$xt3,$xd0
Packit c4476c
Packit c4476c
	stvx_4w	$xt0,$x00,$out
Packit c4476c
	stvx_4w	$xt1,$x10,$out
Packit c4476c
	addi	$inp,$inp,0x40
Packit c4476c
	stvx_4w	$xt2,$x20,$out
Packit c4476c
	subi	$len,$len,0x40
Packit c4476c
	stvx_4w	$xt3,$x30,$out
Packit c4476c
	addi	$out,$out,0x40
Packit c4476c
	beq	Ldone_vsx
Packit c4476c
Packit c4476c
	vadduwm	$xa0,$xa3,@K[0]
Packit c4476c
	vadduwm	$xb0,$xb3,@K[1]
Packit c4476c
	vadduwm	$xc0,$xc3,@K[2]
Packit c4476c
	vadduwm	$xd0,$xd3,@K[3]
Packit c4476c
Packit c4476c
	be?vperm $xa0,$xa0,$xa0,$beperm
Packit c4476c
	be?vperm $xb0,$xb0,$xb0,$beperm
Packit c4476c
	be?vperm $xc0,$xc0,$xc0,$beperm
Packit c4476c
	be?vperm $xd0,$xd0,$xd0,$beperm
Packit c4476c
Packit c4476c
	${UCMP}i $len,0x40
Packit c4476c
	blt	Ltail_vsx
Packit c4476c
Packit c4476c
	lvx_4w	$xt0,$x00,$inp
Packit c4476c
	lvx_4w	$xt1,$x10,$inp
Packit c4476c
	lvx_4w	$xt2,$x20,$inp
Packit c4476c
	lvx_4w	$xt3,$x30,$inp
Packit c4476c
Packit c4476c
	vxor	$xt0,$xt0,$xa0
Packit c4476c
	vxor	$xt1,$xt1,$xb0
Packit c4476c
	vxor	$xt2,$xt2,$xc0
Packit c4476c
	vxor	$xt3,$xt3,$xd0
Packit c4476c
Packit c4476c
	stvx_4w	$xt0,$x00,$out
Packit c4476c
	stvx_4w	$xt1,$x10,$out
Packit c4476c
	addi	$inp,$inp,0x40
Packit c4476c
	stvx_4w	$xt2,$x20,$out
Packit c4476c
	subi	$len,$len,0x40
Packit c4476c
	stvx_4w	$xt3,$x30,$out
Packit c4476c
	addi	$out,$out,0x40
Packit c4476c
	mtctr	r0
Packit c4476c
	bne	Loop_outer_vsx
Packit c4476c
Packit c4476c
Ldone_vsx:
Packit c4476c
	lwz	r12,`$FRAME-4`($sp)		# pull vrsave
Packit c4476c
	li	r10,`15+$LOCALS+64`
Packit c4476c
	li	r11,`31+$LOCALS+64`
Packit c4476c
	$POP	r0, `$FRAME+$LRSAVE`($sp)
Packit c4476c
	mtspr	256,r12				# restore vrsave
Packit c4476c
	lvx	v26,r10,$sp
Packit c4476c
	addi	r10,r10,32
Packit c4476c
	lvx	v27,r11,$sp
Packit c4476c
	addi	r11,r11,32
Packit c4476c
	lvx	v28,r10,$sp
Packit c4476c
	addi	r10,r10,32
Packit c4476c
	lvx	v29,r11,$sp
Packit c4476c
	addi	r11,r11,32
Packit c4476c
	lvx	v30,r10,$sp
Packit c4476c
	lvx	v31,r11,$sp
Packit c4476c
	mtlr	r0
Packit c4476c
	addi	$sp,$sp,$FRAME
Packit c4476c
	blr
Packit c4476c
Packit c4476c
.align	4
Packit c4476c
Ltail_vsx:
Packit c4476c
	addi	r11,$sp,$LOCALS
Packit c4476c
	mtctr	$len
Packit c4476c
	stvx_4w	$xa0,$x00,r11			# offload block to stack
Packit c4476c
	stvx_4w	$xb0,$x10,r11
Packit c4476c
	stvx_4w	$xc0,$x20,r11
Packit c4476c
	stvx_4w	$xd0,$x30,r11
Packit c4476c
	subi	r12,r11,1			# prepare for *++ptr
Packit c4476c
	subi	$inp,$inp,1
Packit c4476c
	subi	$out,$out,1
Packit c4476c
Packit c4476c
Loop_tail_vsx:
Packit c4476c
	lbzu	r6,1(r12)
Packit c4476c
	lbzu	r7,1($inp)
Packit c4476c
	xor	r6,r6,r7
Packit c4476c
	stbu	r6,1($out)
Packit c4476c
	bdnz	Loop_tail_vsx
Packit c4476c
Packit c4476c
	stvx_4w	$K[0],$x00,r11			# wipe copy of the block
Packit c4476c
	stvx_4w	$K[0],$x10,r11
Packit c4476c
	stvx_4w	$K[0],$x20,r11
Packit c4476c
	stvx_4w	$K[0],$x30,r11
Packit c4476c
Packit c4476c
	b	Ldone_vsx
Packit c4476c
	.long	0
Packit c4476c
	.byte	0,12,0x04,1,0x80,0,5,0
Packit c4476c
	.long	0
Packit c4476c
.size	.ChaCha20_ctr32_vsx,.-.ChaCha20_ctr32_vsx
Packit c4476c
___
Packit c4476c
}}}
Packit c4476c
$code.=<<___;
Packit c4476c
.align	5
Packit c4476c
Lconsts:
Packit c4476c
	mflr	r0
Packit c4476c
	bcl	20,31,\$+4
Packit c4476c
	mflr	r12	#vvvvv "distance between . and Lsigma
Packit c4476c
	addi	r12,r12,`64-8`
Packit c4476c
	mtlr	r0
Packit c4476c
	blr
Packit c4476c
	.long	0
Packit c4476c
	.byte	0,12,0x14,0,0,0,0,0
Packit c4476c
	.space	`64-9*4`
Packit c4476c
Lsigma:
Packit c4476c
	.long   0x61707865,0x3320646e,0x79622d32,0x6b206574
Packit c4476c
	.long	1,0,0,0
Packit c4476c
	.long	4,0,0,0
Packit c4476c
___
Packit c4476c
$code.=<<___ 	if ($LITTLE_ENDIAN);
Packit c4476c
	.long	0x0e0f0c0d,0x0a0b0809,0x06070405,0x02030001
Packit c4476c
	.long	0x0d0e0f0c,0x090a0b08,0x05060704,0x01020300
Packit c4476c
___
Packit c4476c
$code.=<<___ 	if (!$LITTLE_ENDIAN);	# flipped words
Packit c4476c
	.long	0x02030001,0x06070405,0x0a0b0809,0x0e0f0c0d
Packit c4476c
	.long	0x01020300,0x05060704,0x090a0b08,0x0d0e0f0c
Packit c4476c
___
Packit c4476c
$code.=<<___;
Packit c4476c
	.long	0x61707865,0x61707865,0x61707865,0x61707865
Packit c4476c
	.long	0x3320646e,0x3320646e,0x3320646e,0x3320646e
Packit c4476c
	.long	0x79622d32,0x79622d32,0x79622d32,0x79622d32
Packit c4476c
	.long	0x6b206574,0x6b206574,0x6b206574,0x6b206574
Packit c4476c
	.long	0,1,2,3
Packit c4476c
.asciz  "ChaCha20 for PowerPC/AltiVec, CRYPTOGAMS by <appro\@openssl.org>"
Packit c4476c
.align	2
Packit c4476c
___
Packit c4476c
Packit c4476c
foreach (split("\n",$code)) {
Packit c4476c
	s/\`([^\`]*)\`/eval $1/ge;
Packit c4476c
Packit c4476c
	# instructions prefixed with '?' are endian-specific and need
Packit c4476c
	# to be adjusted accordingly...
Packit c4476c
	if ($flavour !~ /le$/) {	# big-endian
Packit c4476c
	    s/be\?//		or
Packit c4476c
	    s/le\?/#le#/	or
Packit c4476c
	    s/\?lvsr/lvsl/	or
Packit c4476c
	    s/\?lvsl/lvsr/	or
Packit c4476c
	    s/\?(vperm\s+v[0-9]+,\s*)(v[0-9]+,\s*)(v[0-9]+,\s*)(v[0-9]+)/$1$3$2$4/ or
Packit c4476c
	    s/vrldoi(\s+v[0-9]+,\s*)(v[0-9]+,)\s*([0-9]+)/vsldoi$1$2$2 16-$3/;
Packit c4476c
	} else {			# little-endian
Packit c4476c
	    s/le\?//		or
Packit c4476c
	    s/be\?/#be#/	or
Packit c4476c
	    s/\?([a-z]+)/$1/	or
Packit c4476c
	    s/vrldoi(\s+v[0-9]+,\s*)(v[0-9]+,)\s*([0-9]+)/vsldoi$1$2$2 $3/;
Packit c4476c
	}
Packit c4476c
Packit c4476c
	print $_,"\n";
Packit c4476c
}
Packit c4476c
Packit c4476c
close STDOUT or die "error closing STDOUT: $!";