Blame crypto/chacha/asm/chacha-armv8.pl

Packit c4476c
#! /usr/bin/env perl
Packit c4476c
# Copyright 2016-2020 The OpenSSL Project Authors. All Rights Reserved.
Packit c4476c
#
Packit c4476c
# Licensed under the OpenSSL license (the "License").  You may not use
Packit c4476c
# this file except in compliance with the License.  You can obtain a copy
Packit c4476c
# in the file LICENSE in the source distribution or at
Packit c4476c
# https://www.openssl.org/source/license.html
Packit c4476c
Packit c4476c
#
Packit c4476c
# ====================================================================
Packit c4476c
# Written by Andy Polyakov <appro@openssl.org> for the OpenSSL
Packit c4476c
# project. The module is, however, dual licensed under OpenSSL and
Packit c4476c
# CRYPTOGAMS licenses depending on where you obtain it. For further
Packit c4476c
# details see http://www.openssl.org/~appro/cryptogams/.
Packit c4476c
# ====================================================================
Packit c4476c
#
Packit c4476c
# June 2015
Packit c4476c
#
Packit c4476c
# ChaCha20 for ARMv8.
Packit c4476c
#
Packit c4476c
# April 2019
Packit c4476c
#
Packit c4476c
# Replace 3xNEON+1xIALU code path with 4+1. 4+1 is actually fastest
Packit c4476c
# option on most(*), but not all, processors, yet 6+2 is retained.
Packit c4476c
# This is because penalties are considered tolerable in comparison to
Packit c4476c
# improvement on processors where 6+2 helps. Most notably +37% on
Packit c4476c
# ThunderX2. It's server-oriented processor which will have to serve
Packit c4476c
# as many requests as possible. While others are mostly clients, when
Packit c4476c
# performance doesn't have to be absolute top-notch, just fast enough,
Packit c4476c
# as majority of time is spent "entertaining" relatively slow human.
Packit c4476c
#
Packit c4476c
# Performance in cycles per byte out of large buffer.
Packit c4476c
#
Packit c4476c
#			IALU/gcc-4.9	4xNEON+1xIALU	6xNEON+2xIALU
Packit c4476c
#
Packit c4476c
# Apple A7		5.50/+49%	2.72		1.60
Packit c4476c
# Cortex-A53		8.40/+80%	4.06		4.45(*)
Packit c4476c
# Cortex-A57		8.06/+43%	4.15		4.40(*)
Packit c4476c
# Denver		4.50/+82%	2.30		2.70(*)
Packit c4476c
# X-Gene		9.50/+46%	8.20		8.90(*)
Packit c4476c
# Mongoose		8.00/+44%	2.74		3.12(*)
Packit c4476c
# Kryo			8.17/+50%	4.47		4.65(*)
Packit c4476c
# ThunderX2		7.22/+48%	5.64		4.10
Packit c4476c
#
Packit c4476c
# (*)	slower than 4+1:-(
Packit c4476c
Packit c4476c
# $output is the last argument if it looks like a file (it has an extension)
Packit c4476c
# $flavour is the first argument if it doesn't look like a file
Packit c4476c
$output = $#ARGV >= 0 && $ARGV[$#ARGV] =~ m|\.\w+$| ? pop : undef;
Packit c4476c
$flavour = $#ARGV >= 0 && $ARGV[0] !~ m|\.| ? shift : undef;
Packit c4476c
Packit c4476c
$0 =~ m/(.*[\/\\])[^\/\\]+$/; $dir=$1;
Packit c4476c
( $xlate="${dir}arm-xlate.pl" and -f $xlate ) or
Packit c4476c
( $xlate="${dir}../../perlasm/arm-xlate.pl" and -f $xlate) or
Packit c4476c
die "can't locate arm-xlate.pl";
Packit c4476c
Packit c4476c
open OUT,"| \"$^X\" $xlate $flavour \"$output\""
Packit c4476c
    or die "can't call $xlate: $!";
Packit c4476c
*STDOUT=*OUT;
Packit c4476c
Packit c4476c
sub AUTOLOAD()		# thunk [simplified] x86-style perlasm
Packit c4476c
{ my $opcode = $AUTOLOAD; $opcode =~ s/.*:://; $opcode =~ s/_/\./;
Packit c4476c
  my $arg = pop;
Packit c4476c
    $arg = "#$arg" if ($arg*1 eq $arg);
Packit c4476c
    $code .= "\t$opcode\t".join(',',@_,$arg)."\n";
Packit c4476c
}
Packit c4476c
Packit c4476c
my ($out,$inp,$len,$key,$ctr) = map("x$_",(0..4));
Packit c4476c
Packit c4476c
my @x=map("x$_",(5..17,19..21));
Packit c4476c
my @d=map("x$_",(22..28,30));
Packit c4476c
Packit c4476c
sub ROUND {
Packit c4476c
my ($a0,$b0,$c0,$d0)=@_;
Packit c4476c
my ($a1,$b1,$c1,$d1)=map(($_&~3)+(($_+1)&3),($a0,$b0,$c0,$d0));
Packit c4476c
my ($a2,$b2,$c2,$d2)=map(($_&~3)+(($_+1)&3),($a1,$b1,$c1,$d1));
Packit c4476c
my ($a3,$b3,$c3,$d3)=map(($_&~3)+(($_+1)&3),($a2,$b2,$c2,$d2));
Packit c4476c
Packit c4476c
    (
Packit c4476c
	"&add_32	(@x[$a0],@x[$a0],@x[$b0])",
Packit c4476c
	 "&add_32	(@x[$a1],@x[$a1],@x[$b1])",
Packit c4476c
	  "&add_32	(@x[$a2],@x[$a2],@x[$b2])",
Packit c4476c
	   "&add_32	(@x[$a3],@x[$a3],@x[$b3])",
Packit c4476c
	"&eor_32	(@x[$d0],@x[$d0],@x[$a0])",
Packit c4476c
	 "&eor_32	(@x[$d1],@x[$d1],@x[$a1])",
Packit c4476c
	  "&eor_32	(@x[$d2],@x[$d2],@x[$a2])",
Packit c4476c
	   "&eor_32	(@x[$d3],@x[$d3],@x[$a3])",
Packit c4476c
	"&ror_32	(@x[$d0],@x[$d0],16)",
Packit c4476c
	 "&ror_32	(@x[$d1],@x[$d1],16)",
Packit c4476c
	  "&ror_32	(@x[$d2],@x[$d2],16)",
Packit c4476c
	   "&ror_32	(@x[$d3],@x[$d3],16)",
Packit c4476c
Packit c4476c
	"&add_32	(@x[$c0],@x[$c0],@x[$d0])",
Packit c4476c
	 "&add_32	(@x[$c1],@x[$c1],@x[$d1])",
Packit c4476c
	  "&add_32	(@x[$c2],@x[$c2],@x[$d2])",
Packit c4476c
	   "&add_32	(@x[$c3],@x[$c3],@x[$d3])",
Packit c4476c
	"&eor_32	(@x[$b0],@x[$b0],@x[$c0])",
Packit c4476c
	 "&eor_32	(@x[$b1],@x[$b1],@x[$c1])",
Packit c4476c
	  "&eor_32	(@x[$b2],@x[$b2],@x[$c2])",
Packit c4476c
	   "&eor_32	(@x[$b3],@x[$b3],@x[$c3])",
Packit c4476c
	"&ror_32	(@x[$b0],@x[$b0],20)",
Packit c4476c
	 "&ror_32	(@x[$b1],@x[$b1],20)",
Packit c4476c
	  "&ror_32	(@x[$b2],@x[$b2],20)",
Packit c4476c
	   "&ror_32	(@x[$b3],@x[$b3],20)",
Packit c4476c
Packit c4476c
	"&add_32	(@x[$a0],@x[$a0],@x[$b0])",
Packit c4476c
	 "&add_32	(@x[$a1],@x[$a1],@x[$b1])",
Packit c4476c
	  "&add_32	(@x[$a2],@x[$a2],@x[$b2])",
Packit c4476c
	   "&add_32	(@x[$a3],@x[$a3],@x[$b3])",
Packit c4476c
	"&eor_32	(@x[$d0],@x[$d0],@x[$a0])",
Packit c4476c
	 "&eor_32	(@x[$d1],@x[$d1],@x[$a1])",
Packit c4476c
	  "&eor_32	(@x[$d2],@x[$d2],@x[$a2])",
Packit c4476c
	   "&eor_32	(@x[$d3],@x[$d3],@x[$a3])",
Packit c4476c
	"&ror_32	(@x[$d0],@x[$d0],24)",
Packit c4476c
	 "&ror_32	(@x[$d1],@x[$d1],24)",
Packit c4476c
	  "&ror_32	(@x[$d2],@x[$d2],24)",
Packit c4476c
	   "&ror_32	(@x[$d3],@x[$d3],24)",
Packit c4476c
Packit c4476c
	"&add_32	(@x[$c0],@x[$c0],@x[$d0])",
Packit c4476c
	 "&add_32	(@x[$c1],@x[$c1],@x[$d1])",
Packit c4476c
	  "&add_32	(@x[$c2],@x[$c2],@x[$d2])",
Packit c4476c
	   "&add_32	(@x[$c3],@x[$c3],@x[$d3])",
Packit c4476c
	"&eor_32	(@x[$b0],@x[$b0],@x[$c0])",
Packit c4476c
	 "&eor_32	(@x[$b1],@x[$b1],@x[$c1])",
Packit c4476c
	  "&eor_32	(@x[$b2],@x[$b2],@x[$c2])",
Packit c4476c
	   "&eor_32	(@x[$b3],@x[$b3],@x[$c3])",
Packit c4476c
	"&ror_32	(@x[$b0],@x[$b0],25)",
Packit c4476c
	 "&ror_32	(@x[$b1],@x[$b1],25)",
Packit c4476c
	  "&ror_32	(@x[$b2],@x[$b2],25)",
Packit c4476c
	   "&ror_32	(@x[$b3],@x[$b3],25)"
Packit c4476c
    );
Packit c4476c
}
Packit c4476c
Packit c4476c
$code.=<<___;
Packit c4476c
#ifndef	__KERNEL__
Packit c4476c
# include "arm_arch.h"
Packit c4476c
.extern	OPENSSL_armcap_P
Packit c4476c
#endif
Packit c4476c
Packit c4476c
.text
Packit c4476c
Packit c4476c
.align	5
Packit c4476c
.Lsigma:
Packit c4476c
.quad	0x3320646e61707865,0x6b20657479622d32		// endian-neutral
Packit c4476c
.Lone:
Packit c4476c
.long	1,2,3,4
Packit c4476c
.Lrot24:
Packit c4476c
.long	0x02010003,0x06050407,0x0a09080b,0x0e0d0c0f
Packit c4476c
.asciz	"ChaCha20 for ARMv8, CRYPTOGAMS by \@dot-asm"
Packit c4476c
Packit c4476c
.globl	ChaCha20_ctr32
Packit c4476c
.type	ChaCha20_ctr32,%function
Packit c4476c
.align	5
Packit c4476c
ChaCha20_ctr32:
Packit c4476c
	cbz	$len,.Labort
Packit c4476c
	cmp	$len,#192
Packit c4476c
	b.lo	.Lshort
Packit c4476c
Packit c4476c
#ifndef	__KERNEL__
Packit c4476c
	adrp	x17,OPENSSL_armcap_P
Packit c4476c
	ldr	w17,[x17,#:lo12:OPENSSL_armcap_P]
Packit c4476c
	tst	w17,#ARMV7_NEON
Packit c4476c
	b.ne	.LChaCha20_neon
Packit c4476c
#endif
Packit c4476c
Packit c4476c
.Lshort:
Packit c4476c
	.inst	0xd503233f			// paciasp
Packit c4476c
	stp	x29,x30,[sp,#-96]!
Packit c4476c
	add	x29,sp,#0
Packit c4476c
Packit c4476c
	adr	@x[0],.Lsigma
Packit c4476c
	stp	x19,x20,[sp,#16]
Packit c4476c
	stp	x21,x22,[sp,#32]
Packit c4476c
	stp	x23,x24,[sp,#48]
Packit c4476c
	stp	x25,x26,[sp,#64]
Packit c4476c
	stp	x27,x28,[sp,#80]
Packit c4476c
	sub	sp,sp,#64
Packit c4476c
Packit c4476c
	ldp	@d[0],@d[1],[@x[0]]		// load sigma
Packit c4476c
	ldp	@d[2],@d[3],[$key]		// load key
Packit c4476c
	ldp	@d[4],@d[5],[$key,#16]
Packit c4476c
	ldp	@d[6],@d[7],[$ctr]		// load counter
Packit c4476c
#ifdef	__AARCH64EB__
Packit c4476c
	ror	@d[2],@d[2],#32
Packit c4476c
	ror	@d[3],@d[3],#32
Packit c4476c
	ror	@d[4],@d[4],#32
Packit c4476c
	ror	@d[5],@d[5],#32
Packit c4476c
	ror	@d[6],@d[6],#32
Packit c4476c
	ror	@d[7],@d[7],#32
Packit c4476c
#endif
Packit c4476c
Packit c4476c
.Loop_outer:
Packit c4476c
	mov.32	@x[0],@d[0]			// unpack key block
Packit c4476c
	lsr	@x[1],@d[0],#32
Packit c4476c
	mov.32	@x[2],@d[1]
Packit c4476c
	lsr	@x[3],@d[1],#32
Packit c4476c
	mov.32	@x[4],@d[2]
Packit c4476c
	lsr	@x[5],@d[2],#32
Packit c4476c
	mov.32	@x[6],@d[3]
Packit c4476c
	lsr	@x[7],@d[3],#32
Packit c4476c
	mov.32	@x[8],@d[4]
Packit c4476c
	lsr	@x[9],@d[4],#32
Packit c4476c
	mov.32	@x[10],@d[5]
Packit c4476c
	lsr	@x[11],@d[5],#32
Packit c4476c
	mov.32	@x[12],@d[6]
Packit c4476c
	lsr	@x[13],@d[6],#32
Packit c4476c
	mov.32	@x[14],@d[7]
Packit c4476c
	lsr	@x[15],@d[7],#32
Packit c4476c
Packit c4476c
	mov	$ctr,#10
Packit c4476c
	subs	$len,$len,#64
Packit c4476c
.Loop:
Packit c4476c
	sub	$ctr,$ctr,#1
Packit c4476c
___
Packit c4476c
	foreach (&ROUND(0, 4, 8,12)) { eval; }
Packit c4476c
	foreach (&ROUND(0, 5,10,15)) { eval; }
Packit c4476c
$code.=<<___;
Packit c4476c
	cbnz	$ctr,.Loop
Packit c4476c
Packit c4476c
	add.32	@x[0],@x[0],@d[0]		// accumulate key block
Packit c4476c
	add	@x[1],@x[1],@d[0],lsr#32
Packit c4476c
	add.32	@x[2],@x[2],@d[1]
Packit c4476c
	add	@x[3],@x[3],@d[1],lsr#32
Packit c4476c
	add.32	@x[4],@x[4],@d[2]
Packit c4476c
	add	@x[5],@x[5],@d[2],lsr#32
Packit c4476c
	add.32	@x[6],@x[6],@d[3]
Packit c4476c
	add	@x[7],@x[7],@d[3],lsr#32
Packit c4476c
	add.32	@x[8],@x[8],@d[4]
Packit c4476c
	add	@x[9],@x[9],@d[4],lsr#32
Packit c4476c
	add.32	@x[10],@x[10],@d[5]
Packit c4476c
	add	@x[11],@x[11],@d[5],lsr#32
Packit c4476c
	add.32	@x[12],@x[12],@d[6]
Packit c4476c
	add	@x[13],@x[13],@d[6],lsr#32
Packit c4476c
	add.32	@x[14],@x[14],@d[7]
Packit c4476c
	add	@x[15],@x[15],@d[7],lsr#32
Packit c4476c
Packit c4476c
	b.lo	.Ltail
Packit c4476c
Packit c4476c
	add	@x[0],@x[0],@x[1],lsl#32	// pack
Packit c4476c
	add	@x[2],@x[2],@x[3],lsl#32
Packit c4476c
	ldp	@x[1],@x[3],[$inp,#0]		// load input
Packit c4476c
	add	@x[4],@x[4],@x[5],lsl#32
Packit c4476c
	add	@x[6],@x[6],@x[7],lsl#32
Packit c4476c
	ldp	@x[5],@x[7],[$inp,#16]
Packit c4476c
	add	@x[8],@x[8],@x[9],lsl#32
Packit c4476c
	add	@x[10],@x[10],@x[11],lsl#32
Packit c4476c
	ldp	@x[9],@x[11],[$inp,#32]
Packit c4476c
	add	@x[12],@x[12],@x[13],lsl#32
Packit c4476c
	add	@x[14],@x[14],@x[15],lsl#32
Packit c4476c
	ldp	@x[13],@x[15],[$inp,#48]
Packit c4476c
	add	$inp,$inp,#64
Packit c4476c
#ifdef	__AARCH64EB__
Packit c4476c
	rev	@x[0],@x[0]
Packit c4476c
	rev	@x[2],@x[2]
Packit c4476c
	rev	@x[4],@x[4]
Packit c4476c
	rev	@x[6],@x[6]
Packit c4476c
	rev	@x[8],@x[8]
Packit c4476c
	rev	@x[10],@x[10]
Packit c4476c
	rev	@x[12],@x[12]
Packit c4476c
	rev	@x[14],@x[14]
Packit c4476c
#endif
Packit c4476c
	eor	@x[0],@x[0],@x[1]
Packit c4476c
	eor	@x[2],@x[2],@x[3]
Packit c4476c
	eor	@x[4],@x[4],@x[5]
Packit c4476c
	eor	@x[6],@x[6],@x[7]
Packit c4476c
	eor	@x[8],@x[8],@x[9]
Packit c4476c
	eor	@x[10],@x[10],@x[11]
Packit c4476c
	eor	@x[12],@x[12],@x[13]
Packit c4476c
	eor	@x[14],@x[14],@x[15]
Packit c4476c
Packit c4476c
	stp	@x[0],@x[2],[$out,#0]		// store output
Packit c4476c
	 add	@d[6],@d[6],#1			// increment counter
Packit c4476c
	stp	@x[4],@x[6],[$out,#16]
Packit c4476c
	stp	@x[8],@x[10],[$out,#32]
Packit c4476c
	stp	@x[12],@x[14],[$out,#48]
Packit c4476c
	add	$out,$out,#64
Packit c4476c
Packit c4476c
	b.hi	.Loop_outer
Packit c4476c
Packit c4476c
	ldp	x19,x20,[x29,#16]
Packit c4476c
	add	sp,sp,#64
Packit c4476c
	ldp	x21,x22,[x29,#32]
Packit c4476c
	ldp	x23,x24,[x29,#48]
Packit c4476c
	ldp	x25,x26,[x29,#64]
Packit c4476c
	ldp	x27,x28,[x29,#80]
Packit c4476c
	ldp	x29,x30,[sp],#96
Packit c4476c
	.inst	0xd50323bf			// autiasp
Packit c4476c
.Labort:
Packit c4476c
	ret
Packit c4476c
Packit c4476c
.align	4
Packit c4476c
.Ltail:
Packit c4476c
	add	$len,$len,#64
Packit c4476c
.Less_than_64:
Packit c4476c
	sub	$out,$out,#1
Packit c4476c
	add	$inp,$inp,$len
Packit c4476c
	add	$out,$out,$len
Packit c4476c
	add	$ctr,sp,$len
Packit c4476c
	neg	$len,$len
Packit c4476c
Packit c4476c
	add	@x[0],@x[0],@x[1],lsl#32	// pack
Packit c4476c
	add	@x[2],@x[2],@x[3],lsl#32
Packit c4476c
	add	@x[4],@x[4],@x[5],lsl#32
Packit c4476c
	add	@x[6],@x[6],@x[7],lsl#32
Packit c4476c
	add	@x[8],@x[8],@x[9],lsl#32
Packit c4476c
	add	@x[10],@x[10],@x[11],lsl#32
Packit c4476c
	add	@x[12],@x[12],@x[13],lsl#32
Packit c4476c
	add	@x[14],@x[14],@x[15],lsl#32
Packit c4476c
#ifdef	__AARCH64EB__
Packit c4476c
	rev	@x[0],@x[0]
Packit c4476c
	rev	@x[2],@x[2]
Packit c4476c
	rev	@x[4],@x[4]
Packit c4476c
	rev	@x[6],@x[6]
Packit c4476c
	rev	@x[8],@x[8]
Packit c4476c
	rev	@x[10],@x[10]
Packit c4476c
	rev	@x[12],@x[12]
Packit c4476c
	rev	@x[14],@x[14]
Packit c4476c
#endif
Packit c4476c
	stp	@x[0],@x[2],[sp,#0]
Packit c4476c
	stp	@x[4],@x[6],[sp,#16]
Packit c4476c
	stp	@x[8],@x[10],[sp,#32]
Packit c4476c
	stp	@x[12],@x[14],[sp,#48]
Packit c4476c
Packit c4476c
.Loop_tail:
Packit c4476c
	ldrb	w10,[$inp,$len]
Packit c4476c
	ldrb	w11,[$ctr,$len]
Packit c4476c
	add	$len,$len,#1
Packit c4476c
	eor	w10,w10,w11
Packit c4476c
	strb	w10,[$out,$len]
Packit c4476c
	cbnz	$len,.Loop_tail
Packit c4476c
Packit c4476c
	stp	xzr,xzr,[sp,#0]
Packit c4476c
	stp	xzr,xzr,[sp,#16]
Packit c4476c
	stp	xzr,xzr,[sp,#32]
Packit c4476c
	stp	xzr,xzr,[sp,#48]
Packit c4476c
Packit c4476c
	ldp	x19,x20,[x29,#16]
Packit c4476c
	add	sp,sp,#64
Packit c4476c
	ldp	x21,x22,[x29,#32]
Packit c4476c
	ldp	x23,x24,[x29,#48]
Packit c4476c
	ldp	x25,x26,[x29,#64]
Packit c4476c
	ldp	x27,x28,[x29,#80]
Packit c4476c
	ldp	x29,x30,[sp],#96
Packit c4476c
	.inst	0xd50323bf			// autiasp
Packit c4476c
	ret
Packit c4476c
.size	ChaCha20_ctr32,.-ChaCha20_ctr32
Packit c4476c
___
Packit c4476c
Packit c4476c
{{{
Packit c4476c
my @K = map("v$_.4s",(0..3));
Packit c4476c
my ($xt0,$xt1,$xt2,$xt3, $CTR,$ROT24) = map("v$_.4s",(4..9));
Packit c4476c
my @X = map("v$_.4s",(16,20,24,28, 17,21,25,29, 18,22,26,30, 19,23,27,31));
Packit c4476c
my ($xa0,$xa1,$xa2,$xa3, $xb0,$xb1,$xb2,$xb3,
Packit c4476c
    $xc0,$xc1,$xc2,$xc3, $xd0,$xd1,$xd2,$xd3) = @X;
Packit c4476c
Packit c4476c
sub NEON_lane_ROUND {
Packit c4476c
my ($a0,$b0,$c0,$d0)=@_;
Packit c4476c
my ($a1,$b1,$c1,$d1)=map(($_&~3)+(($_+1)&3),($a0,$b0,$c0,$d0));
Packit c4476c
my ($a2,$b2,$c2,$d2)=map(($_&~3)+(($_+1)&3),($a1,$b1,$c1,$d1));
Packit c4476c
my ($a3,$b3,$c3,$d3)=map(($_&~3)+(($_+1)&3),($a2,$b2,$c2,$d2));
Packit c4476c
my @x=map("'$_'",@X);
Packit c4476c
Packit c4476c
	(
Packit c4476c
	"&add		(@x[$a0],@x[$a0],@x[$b0])",	# Q1
Packit c4476c
	 "&add		(@x[$a1],@x[$a1],@x[$b1])",	# Q2
Packit c4476c
	  "&add		(@x[$a2],@x[$a2],@x[$b2])",	# Q3
Packit c4476c
	   "&add	(@x[$a3],@x[$a3],@x[$b3])",	# Q4
Packit c4476c
	"&eor		(@x[$d0],@x[$d0],@x[$a0])",
Packit c4476c
	 "&eor		(@x[$d1],@x[$d1],@x[$a1])",
Packit c4476c
	  "&eor		(@x[$d2],@x[$d2],@x[$a2])",
Packit c4476c
	   "&eor	(@x[$d3],@x[$d3],@x[$a3])",
Packit c4476c
	"&rev32_16	(@x[$d0],@x[$d0])",
Packit c4476c
	 "&rev32_16	(@x[$d1],@x[$d1])",
Packit c4476c
	  "&rev32_16	(@x[$d2],@x[$d2])",
Packit c4476c
	   "&rev32_16	(@x[$d3],@x[$d3])",
Packit c4476c
Packit c4476c
	"&add		(@x[$c0],@x[$c0],@x[$d0])",
Packit c4476c
	 "&add		(@x[$c1],@x[$c1],@x[$d1])",
Packit c4476c
	  "&add		(@x[$c2],@x[$c2],@x[$d2])",
Packit c4476c
	   "&add	(@x[$c3],@x[$c3],@x[$d3])",
Packit c4476c
	"&eor		('$xt0',@x[$b0],@x[$c0])",
Packit c4476c
	 "&eor		('$xt1',@x[$b1],@x[$c1])",
Packit c4476c
	  "&eor		('$xt2',@x[$b2],@x[$c2])",
Packit c4476c
	   "&eor	('$xt3',@x[$b3],@x[$c3])",
Packit c4476c
	"&ushr		(@x[$b0],'$xt0',20)",
Packit c4476c
	 "&ushr		(@x[$b1],'$xt1',20)",
Packit c4476c
	  "&ushr	(@x[$b2],'$xt2',20)",
Packit c4476c
	   "&ushr	(@x[$b3],'$xt3',20)",
Packit c4476c
	"&sli		(@x[$b0],'$xt0',12)",
Packit c4476c
	 "&sli		(@x[$b1],'$xt1',12)",
Packit c4476c
	  "&sli		(@x[$b2],'$xt2',12)",
Packit c4476c
	   "&sli	(@x[$b3],'$xt3',12)",
Packit c4476c
Packit c4476c
	"&add		(@x[$a0],@x[$a0],@x[$b0])",
Packit c4476c
	 "&add		(@x[$a1],@x[$a1],@x[$b1])",
Packit c4476c
	  "&add		(@x[$a2],@x[$a2],@x[$b2])",
Packit c4476c
	   "&add	(@x[$a3],@x[$a3],@x[$b3])",
Packit c4476c
	"&eor		('$xt0',@x[$d0],@x[$a0])",
Packit c4476c
	 "&eor		('$xt1',@x[$d1],@x[$a1])",
Packit c4476c
	  "&eor		('$xt2',@x[$d2],@x[$a2])",
Packit c4476c
	   "&eor	('$xt3',@x[$d3],@x[$a3])",
Packit c4476c
	"&tbl		(@x[$d0],'{$xt0}','$ROT24')",
Packit c4476c
	 "&tbl		(@x[$d1],'{$xt1}','$ROT24')",
Packit c4476c
	  "&tbl		(@x[$d2],'{$xt2}','$ROT24')",
Packit c4476c
	   "&tbl	(@x[$d3],'{$xt3}','$ROT24')",
Packit c4476c
Packit c4476c
	"&add		(@x[$c0],@x[$c0],@x[$d0])",
Packit c4476c
	 "&add		(@x[$c1],@x[$c1],@x[$d1])",
Packit c4476c
	  "&add		(@x[$c2],@x[$c2],@x[$d2])",
Packit c4476c
	   "&add	(@x[$c3],@x[$c3],@x[$d3])",
Packit c4476c
	"&eor		('$xt0',@x[$b0],@x[$c0])",
Packit c4476c
	 "&eor		('$xt1',@x[$b1],@x[$c1])",
Packit c4476c
	  "&eor		('$xt2',@x[$b2],@x[$c2])",
Packit c4476c
	   "&eor	('$xt3',@x[$b3],@x[$c3])",
Packit c4476c
	"&ushr		(@x[$b0],'$xt0',25)",
Packit c4476c
	 "&ushr		(@x[$b1],'$xt1',25)",
Packit c4476c
	  "&ushr	(@x[$b2],'$xt2',25)",
Packit c4476c
	   "&ushr	(@x[$b3],'$xt3',25)",
Packit c4476c
	"&sli		(@x[$b0],'$xt0',7)",
Packit c4476c
	 "&sli		(@x[$b1],'$xt1',7)",
Packit c4476c
	  "&sli		(@x[$b2],'$xt2',7)",
Packit c4476c
	   "&sli	(@x[$b3],'$xt3',7)"
Packit c4476c
	);
Packit c4476c
}
Packit c4476c
Packit c4476c
$code.=<<___;
Packit c4476c
Packit c4476c
#ifdef	__KERNEL__
Packit c4476c
.globl	ChaCha20_neon
Packit c4476c
#endif
Packit c4476c
.type	ChaCha20_neon,%function
Packit c4476c
.align	5
Packit c4476c
ChaCha20_neon:
Packit c4476c
.LChaCha20_neon:
Packit c4476c
	.inst	0xd503233f			// paciasp
Packit c4476c
	stp	x29,x30,[sp,#-96]!
Packit c4476c
	add	x29,sp,#0
Packit c4476c
Packit c4476c
	adr	@x[0],.Lsigma
Packit c4476c
	stp	x19,x20,[sp,#16]
Packit c4476c
	stp	x21,x22,[sp,#32]
Packit c4476c
	stp	x23,x24,[sp,#48]
Packit c4476c
	stp	x25,x26,[sp,#64]
Packit c4476c
	stp	x27,x28,[sp,#80]
Packit c4476c
	cmp	$len,#512
Packit c4476c
	b.hs	.L512_or_more_neon
Packit c4476c
Packit c4476c
	sub	sp,sp,#64
Packit c4476c
Packit c4476c
	ldp	@d[0],@d[1],[@x[0]]		// load sigma
Packit c4476c
	ld1	{@K[0]},[@x[0]],#16
Packit c4476c
	ldp	@d[2],@d[3],[$key]		// load key
Packit c4476c
	ldp	@d[4],@d[5],[$key,#16]
Packit c4476c
	ld1	{@K[1],@K[2]},[$key]
Packit c4476c
	ldp	@d[6],@d[7],[$ctr]		// load counter
Packit c4476c
	ld1	{@K[3]},[$ctr]
Packit c4476c
	stp	d8,d9,[sp]			// meet ABI requirements
Packit c4476c
	ld1	{$CTR,$ROT24},[@x[0]]
Packit c4476c
#ifdef	__AARCH64EB__
Packit c4476c
	rev64	@K[0],@K[0]
Packit c4476c
	ror	@d[2],@d[2],#32
Packit c4476c
	ror	@d[3],@d[3],#32
Packit c4476c
	ror	@d[4],@d[4],#32
Packit c4476c
	ror	@d[5],@d[5],#32
Packit c4476c
	ror	@d[6],@d[6],#32
Packit c4476c
	ror	@d[7],@d[7],#32
Packit c4476c
#endif
Packit c4476c
Packit c4476c
.Loop_outer_neon:
Packit c4476c
	dup	$xa0,@{K[0]}[0]			// unpack key block
Packit c4476c
	 mov.32	@x[0],@d[0]
Packit c4476c
	dup	$xa1,@{K[0]}[1]
Packit c4476c
	 lsr	@x[1],@d[0],#32
Packit c4476c
	dup	$xa2,@{K[0]}[2]
Packit c4476c
	 mov.32	@x[2],@d[1]
Packit c4476c
	dup	$xa3,@{K[0]}[3]
Packit c4476c
	 lsr	@x[3],@d[1],#32
Packit c4476c
	dup	$xb0,@{K[1]}[0]
Packit c4476c
	 mov.32	@x[4],@d[2]
Packit c4476c
	dup	$xb1,@{K[1]}[1]
Packit c4476c
	 lsr	@x[5],@d[2],#32
Packit c4476c
	dup	$xb2,@{K[1]}[2]
Packit c4476c
	 mov.32	@x[6],@d[3]
Packit c4476c
	dup	$xb3,@{K[1]}[3]
Packit c4476c
	 lsr	@x[7],@d[3],#32
Packit c4476c
	dup	$xd0,@{K[3]}[0]
Packit c4476c
	 mov.32	@x[8],@d[4]
Packit c4476c
	dup	$xd1,@{K[3]}[1]
Packit c4476c
	 lsr	@x[9],@d[4],#32
Packit c4476c
	dup	$xd2,@{K[3]}[2]
Packit c4476c
	 mov.32	@x[10],@d[5]
Packit c4476c
	dup	$xd3,@{K[3]}[3]
Packit c4476c
	 lsr	@x[11],@d[5],#32
Packit c4476c
	add	$xd0,$xd0,$CTR
Packit c4476c
	 mov.32	@x[12],@d[6]
Packit c4476c
	dup	$xc0,@{K[2]}[0]
Packit c4476c
	 lsr	@x[13],@d[6],#32
Packit c4476c
	dup	$xc1,@{K[2]}[1]
Packit c4476c
	 mov.32	@x[14],@d[7]
Packit c4476c
	dup	$xc2,@{K[2]}[2]
Packit c4476c
	 lsr	@x[15],@d[7],#32
Packit c4476c
	dup	$xc3,@{K[2]}[3]
Packit c4476c
Packit c4476c
	mov	$ctr,#10
Packit c4476c
	subs	$len,$len,#320
Packit c4476c
.Loop_neon:
Packit c4476c
	sub	$ctr,$ctr,#1
Packit c4476c
___
Packit c4476c
	my @plus_one=&ROUND(0,4,8,12);
Packit c4476c
	foreach (&NEON_lane_ROUND(0,4,8,12))  { eval; eval(shift(@plus_one)); }
Packit c4476c
Packit c4476c
	@plus_one=&ROUND(0,5,10,15);
Packit c4476c
	foreach (&NEON_lane_ROUND(0,5,10,15)) { eval; eval(shift(@plus_one)); }
Packit c4476c
$code.=<<___;
Packit c4476c
	cbnz	$ctr,.Loop_neon
Packit c4476c
Packit c4476c
	add	$xd0,$xd0,$CTR
Packit c4476c
Packit c4476c
	zip1	$xt0,$xa0,$xa1			// transpose data
Packit c4476c
	zip1	$xt1,$xa2,$xa3
Packit c4476c
	zip2	$xt2,$xa0,$xa1
Packit c4476c
	zip2	$xt3,$xa2,$xa3
Packit c4476c
	zip1.64	$xa0,$xt0,$xt1
Packit c4476c
	zip2.64	$xa1,$xt0,$xt1
Packit c4476c
	zip1.64	$xa2,$xt2,$xt3
Packit c4476c
	zip2.64	$xa3,$xt2,$xt3
Packit c4476c
Packit c4476c
	zip1	$xt0,$xb0,$xb1
Packit c4476c
	zip1	$xt1,$xb2,$xb3
Packit c4476c
	zip2	$xt2,$xb0,$xb1
Packit c4476c
	zip2	$xt3,$xb2,$xb3
Packit c4476c
	zip1.64	$xb0,$xt0,$xt1
Packit c4476c
	zip2.64	$xb1,$xt0,$xt1
Packit c4476c
	zip1.64	$xb2,$xt2,$xt3
Packit c4476c
	zip2.64	$xb3,$xt2,$xt3
Packit c4476c
Packit c4476c
	zip1	$xt0,$xc0,$xc1
Packit c4476c
	 add.32	@x[0],@x[0],@d[0]		// accumulate key block
Packit c4476c
	zip1	$xt1,$xc2,$xc3
Packit c4476c
	 add	@x[1],@x[1],@d[0],lsr#32
Packit c4476c
	zip2	$xt2,$xc0,$xc1
Packit c4476c
	 add.32	@x[2],@x[2],@d[1]
Packit c4476c
	zip2	$xt3,$xc2,$xc3
Packit c4476c
	 add	@x[3],@x[3],@d[1],lsr#32
Packit c4476c
	zip1.64	$xc0,$xt0,$xt1
Packit c4476c
	 add.32	@x[4],@x[4],@d[2]
Packit c4476c
	zip2.64	$xc1,$xt0,$xt1
Packit c4476c
	 add	@x[5],@x[5],@d[2],lsr#32
Packit c4476c
	zip1.64	$xc2,$xt2,$xt3
Packit c4476c
	 add.32	@x[6],@x[6],@d[3]
Packit c4476c
	zip2.64	$xc3,$xt2,$xt3
Packit c4476c
	 add	@x[7],@x[7],@d[3],lsr#32
Packit c4476c
Packit c4476c
	zip1	$xt0,$xd0,$xd1
Packit c4476c
	 add.32	@x[8],@x[8],@d[4]
Packit c4476c
	zip1	$xt1,$xd2,$xd3
Packit c4476c
	 add	@x[9],@x[9],@d[4],lsr#32
Packit c4476c
	zip2	$xt2,$xd0,$xd1
Packit c4476c
	 add.32	@x[10],@x[10],@d[5]
Packit c4476c
	zip2	$xt3,$xd2,$xd3
Packit c4476c
	 add	@x[11],@x[11],@d[5],lsr#32
Packit c4476c
	zip1.64	$xd0,$xt0,$xt1
Packit c4476c
	 add.32	@x[12],@x[12],@d[6]
Packit c4476c
	zip2.64	$xd1,$xt0,$xt1
Packit c4476c
	 add	@x[13],@x[13],@d[6],lsr#32
Packit c4476c
	zip1.64	$xd2,$xt2,$xt3
Packit c4476c
	 add.32	@x[14],@x[14],@d[7]
Packit c4476c
	zip2.64	$xd3,$xt2,$xt3
Packit c4476c
	 add	@x[15],@x[15],@d[7],lsr#32
Packit c4476c
Packit c4476c
	b.lo	.Ltail_neon
Packit c4476c
Packit c4476c
	add	@x[0],@x[0],@x[1],lsl#32	// pack
Packit c4476c
	add	@x[2],@x[2],@x[3],lsl#32
Packit c4476c
	ldp	@x[1],@x[3],[$inp,#0]		// load input
Packit c4476c
	 add	$xa0,$xa0,@K[0]			// accumulate key block
Packit c4476c
	add	@x[4],@x[4],@x[5],lsl#32
Packit c4476c
	add	@x[6],@x[6],@x[7],lsl#32
Packit c4476c
	ldp	@x[5],@x[7],[$inp,#16]
Packit c4476c
	 add	$xb0,$xb0,@K[1]
Packit c4476c
	add	@x[8],@x[8],@x[9],lsl#32
Packit c4476c
	add	@x[10],@x[10],@x[11],lsl#32
Packit c4476c
	ldp	@x[9],@x[11],[$inp,#32]
Packit c4476c
	 add	$xc0,$xc0,@K[2]
Packit c4476c
	add	@x[12],@x[12],@x[13],lsl#32
Packit c4476c
	add	@x[14],@x[14],@x[15],lsl#32
Packit c4476c
	ldp	@x[13],@x[15],[$inp,#48]
Packit c4476c
	 add	$xd0,$xd0,@K[3]
Packit c4476c
	add	$inp,$inp,#64
Packit c4476c
#ifdef	__AARCH64EB__
Packit c4476c
	rev	@x[0],@x[0]
Packit c4476c
	rev	@x[2],@x[2]
Packit c4476c
	rev	@x[4],@x[4]
Packit c4476c
	rev	@x[6],@x[6]
Packit c4476c
	rev	@x[8],@x[8]
Packit c4476c
	rev	@x[10],@x[10]
Packit c4476c
	rev	@x[12],@x[12]
Packit c4476c
	rev	@x[14],@x[14]
Packit c4476c
#endif
Packit c4476c
	ld1.8	{$xt0-$xt3},[$inp],#64
Packit c4476c
	eor	@x[0],@x[0],@x[1]
Packit c4476c
	 add	$xa1,$xa1,@K[0]
Packit c4476c
	eor	@x[2],@x[2],@x[3]
Packit c4476c
	 add	$xb1,$xb1,@K[1]
Packit c4476c
	eor	@x[4],@x[4],@x[5]
Packit c4476c
	 add	$xc1,$xc1,@K[2]
Packit c4476c
	eor	@x[6],@x[6],@x[7]
Packit c4476c
	 add	$xd1,$xd1,@K[3]
Packit c4476c
	eor	@x[8],@x[8],@x[9]
Packit c4476c
	 eor	$xa0,$xa0,$xt0
Packit c4476c
	 movi	$xt0,#5
Packit c4476c
	eor	@x[10],@x[10],@x[11]
Packit c4476c
	 eor	$xb0,$xb0,$xt1
Packit c4476c
	eor	@x[12],@x[12],@x[13]
Packit c4476c
	 eor	$xc0,$xc0,$xt2
Packit c4476c
	eor	@x[14],@x[14],@x[15]
Packit c4476c
	 eor	$xd0,$xd0,$xt3
Packit c4476c
	 add	$CTR,$CTR,$xt0			// += 5
Packit c4476c
	 ld1.8	{$xt0-$xt3},[$inp],#64
Packit c4476c
Packit c4476c
	stp	@x[0],@x[2],[$out,#0]		// store output
Packit c4476c
	 add	@d[6],@d[6],#5			// increment counter
Packit c4476c
	stp	@x[4],@x[6],[$out,#16]
Packit c4476c
	stp	@x[8],@x[10],[$out,#32]
Packit c4476c
	stp	@x[12],@x[14],[$out,#48]
Packit c4476c
	add	$out,$out,#64
Packit c4476c
Packit c4476c
	st1.8	{$xa0-$xd0},[$out],#64
Packit c4476c
	 add	$xa2,$xa2,@K[0]
Packit c4476c
	 add	$xb2,$xb2,@K[1]
Packit c4476c
	 add	$xc2,$xc2,@K[2]
Packit c4476c
	 add	$xd2,$xd2,@K[3]
Packit c4476c
	ld1.8	{$xa0-$xd0},[$inp],#64
Packit c4476c
Packit c4476c
	eor	$xa1,$xa1,$xt0
Packit c4476c
	eor	$xb1,$xb1,$xt1
Packit c4476c
	eor	$xc1,$xc1,$xt2
Packit c4476c
	eor	$xd1,$xd1,$xt3
Packit c4476c
	st1.8	{$xa1-$xd1},[$out],#64
Packit c4476c
	 add	$xa3,$xa3,@K[0]
Packit c4476c
	 add	$xb3,$xb3,@K[1]
Packit c4476c
	 add	$xc3,$xc3,@K[2]
Packit c4476c
	 add	$xd3,$xd3,@K[3]
Packit c4476c
	ld1.8	{$xa1-$xd1},[$inp],#64
Packit c4476c
Packit c4476c
	eor	$xa2,$xa2,$xa0
Packit c4476c
	eor	$xb2,$xb2,$xb0
Packit c4476c
	eor	$xc2,$xc2,$xc0
Packit c4476c
	eor	$xd2,$xd2,$xd0
Packit c4476c
	st1.8	{$xa2-$xd2},[$out],#64
Packit c4476c
Packit c4476c
	eor	$xa3,$xa3,$xa1
Packit c4476c
	eor	$xb3,$xb3,$xb1
Packit c4476c
	eor	$xc3,$xc3,$xc1
Packit c4476c
	eor	$xd3,$xd3,$xd1
Packit c4476c
	st1.8	{$xa3-$xd3},[$out],#64
Packit c4476c
Packit c4476c
	b.hi	.Loop_outer_neon
Packit c4476c
Packit c4476c
	ldp	d8,d9,[sp]			// meet ABI requirements
Packit c4476c
Packit c4476c
	ldp	x19,x20,[x29,#16]
Packit c4476c
	add	sp,sp,#64
Packit c4476c
	ldp	x21,x22,[x29,#32]
Packit c4476c
	ldp	x23,x24,[x29,#48]
Packit c4476c
	ldp	x25,x26,[x29,#64]
Packit c4476c
	ldp	x27,x28,[x29,#80]
Packit c4476c
	ldp	x29,x30,[sp],#96
Packit c4476c
	.inst	0xd50323bf			// autiasp
Packit c4476c
	ret
Packit c4476c
Packit c4476c
.align	4
Packit c4476c
.Ltail_neon:
Packit c4476c
	add	$len,$len,#320
Packit c4476c
	ldp	d8,d9,[sp]			// meet ABI requirements
Packit c4476c
	cmp	$len,#64
Packit c4476c
	b.lo	.Less_than_64
Packit c4476c
Packit c4476c
	add	@x[0],@x[0],@x[1],lsl#32	// pack
Packit c4476c
	add	@x[2],@x[2],@x[3],lsl#32
Packit c4476c
	ldp	@x[1],@x[3],[$inp,#0]		// load input
Packit c4476c
	add	@x[4],@x[4],@x[5],lsl#32
Packit c4476c
	add	@x[6],@x[6],@x[7],lsl#32
Packit c4476c
	ldp	@x[5],@x[7],[$inp,#16]
Packit c4476c
	add	@x[8],@x[8],@x[9],lsl#32
Packit c4476c
	add	@x[10],@x[10],@x[11],lsl#32
Packit c4476c
	ldp	@x[9],@x[11],[$inp,#32]
Packit c4476c
	add	@x[12],@x[12],@x[13],lsl#32
Packit c4476c
	add	@x[14],@x[14],@x[15],lsl#32
Packit c4476c
	ldp	@x[13],@x[15],[$inp,#48]
Packit c4476c
	add	$inp,$inp,#64
Packit c4476c
#ifdef	__AARCH64EB__
Packit c4476c
	rev	@x[0],@x[0]
Packit c4476c
	rev	@x[2],@x[2]
Packit c4476c
	rev	@x[4],@x[4]
Packit c4476c
	rev	@x[6],@x[6]
Packit c4476c
	rev	@x[8],@x[8]
Packit c4476c
	rev	@x[10],@x[10]
Packit c4476c
	rev	@x[12],@x[12]
Packit c4476c
	rev	@x[14],@x[14]
Packit c4476c
#endif
Packit c4476c
	eor	@x[0],@x[0],@x[1]
Packit c4476c
	eor	@x[2],@x[2],@x[3]
Packit c4476c
	eor	@x[4],@x[4],@x[5]
Packit c4476c
	eor	@x[6],@x[6],@x[7]
Packit c4476c
	eor	@x[8],@x[8],@x[9]
Packit c4476c
	eor	@x[10],@x[10],@x[11]
Packit c4476c
	eor	@x[12],@x[12],@x[13]
Packit c4476c
	eor	@x[14],@x[14],@x[15]
Packit c4476c
Packit c4476c
	stp	@x[0],@x[2],[$out,#0]		// store output
Packit c4476c
	 add	$xa0,$xa0,@K[0]			// accumulate key block
Packit c4476c
	stp	@x[4],@x[6],[$out,#16]
Packit c4476c
	 add	$xb0,$xb0,@K[1]
Packit c4476c
	stp	@x[8],@x[10],[$out,#32]
Packit c4476c
	 add	$xc0,$xc0,@K[2]
Packit c4476c
	stp	@x[12],@x[14],[$out,#48]
Packit c4476c
	 add	$xd0,$xd0,@K[3]
Packit c4476c
	add	$out,$out,#64
Packit c4476c
	b.eq	.Ldone_neon
Packit c4476c
	sub	$len,$len,#64
Packit c4476c
	cmp	$len,#64
Packit c4476c
	b.lo	.Last_neon
Packit c4476c
Packit c4476c
	ld1.8	{$xt0-$xt3},[$inp],#64
Packit c4476c
	eor	$xa0,$xa0,$xt0
Packit c4476c
	eor	$xb0,$xb0,$xt1
Packit c4476c
	eor	$xc0,$xc0,$xt2
Packit c4476c
	eor	$xd0,$xd0,$xt3
Packit c4476c
	st1.8	{$xa0-$xd0},[$out],#64
Packit c4476c
	b.eq	.Ldone_neon
Packit c4476c
Packit c4476c
	add	$xa0,$xa1,@K[0]
Packit c4476c
	add	$xb0,$xb1,@K[1]
Packit c4476c
	sub	$len,$len,#64
Packit c4476c
	add	$xc0,$xc1,@K[2]
Packit c4476c
	cmp	$len,#64
Packit c4476c
	add	$xd0,$xd1,@K[3]
Packit c4476c
	b.lo	.Last_neon
Packit c4476c
Packit c4476c
	ld1.8	{$xt0-$xt3},[$inp],#64
Packit c4476c
	eor	$xa1,$xa0,$xt0
Packit c4476c
	eor	$xb1,$xb0,$xt1
Packit c4476c
	eor	$xc1,$xc0,$xt2
Packit c4476c
	eor	$xd1,$xd0,$xt3
Packit c4476c
	st1.8	{$xa1-$xd1},[$out],#64
Packit c4476c
	b.eq	.Ldone_neon
Packit c4476c
Packit c4476c
	add	$xa0,$xa2,@K[0]
Packit c4476c
	add	$xb0,$xb2,@K[1]
Packit c4476c
	sub	$len,$len,#64
Packit c4476c
	add	$xc0,$xc2,@K[2]
Packit c4476c
	cmp	$len,#64
Packit c4476c
	add	$xd0,$xd2,@K[3]
Packit c4476c
	b.lo	.Last_neon
Packit c4476c
Packit c4476c
	ld1.8	{$xt0-$xt3},[$inp],#64
Packit c4476c
	eor	$xa2,$xa0,$xt0
Packit c4476c
	eor	$xb2,$xb0,$xt1
Packit c4476c
	eor	$xc2,$xc0,$xt2
Packit c4476c
	eor	$xd2,$xd0,$xt3
Packit c4476c
	st1.8	{$xa2-$xd2},[$out],#64
Packit c4476c
	b.eq	.Ldone_neon
Packit c4476c
Packit c4476c
	add	$xa0,$xa3,@K[0]
Packit c4476c
	add	$xb0,$xb3,@K[1]
Packit c4476c
	add	$xc0,$xc3,@K[2]
Packit c4476c
	add	$xd0,$xd3,@K[3]
Packit c4476c
	sub	$len,$len,#64
Packit c4476c
Packit c4476c
.Last_neon:
Packit c4476c
	st1.8	{$xa0-$xd0},[sp]
Packit c4476c
Packit c4476c
	sub	$out,$out,#1
Packit c4476c
	add	$inp,$inp,$len
Packit c4476c
	add	$out,$out,$len
Packit c4476c
	add	$ctr,sp,$len
Packit c4476c
	neg	$len,$len
Packit c4476c
Packit c4476c
.Loop_tail_neon:
Packit c4476c
	ldrb	w10,[$inp,$len]
Packit c4476c
	ldrb	w11,[$ctr,$len]
Packit c4476c
	add	$len,$len,#1
Packit c4476c
	eor	w10,w10,w11
Packit c4476c
	strb	w10,[$out,$len]
Packit c4476c
	cbnz	$len,.Loop_tail_neon
Packit c4476c
Packit c4476c
	stp	xzr,xzr,[sp,#0]
Packit c4476c
	stp	xzr,xzr,[sp,#16]
Packit c4476c
	stp	xzr,xzr,[sp,#32]
Packit c4476c
	stp	xzr,xzr,[sp,#48]
Packit c4476c
Packit c4476c
.Ldone_neon:
Packit c4476c
	ldp	x19,x20,[x29,#16]
Packit c4476c
	add	sp,sp,#64
Packit c4476c
	ldp	x21,x22,[x29,#32]
Packit c4476c
	ldp	x23,x24,[x29,#48]
Packit c4476c
	ldp	x25,x26,[x29,#64]
Packit c4476c
	ldp	x27,x28,[x29,#80]
Packit c4476c
	ldp	x29,x30,[sp],#96
Packit c4476c
	.inst	0xd50323bf			// autiasp
Packit c4476c
	ret
Packit c4476c
.size	ChaCha20_neon,.-ChaCha20_neon
Packit c4476c
___
Packit c4476c
{
Packit c4476c
my @K = map("v$_.4s",(0..6));
Packit c4476c
my ($T0,$T1,$T2,$T3,$T4,$T5)=@K;
Packit c4476c
my ($A0,$B0,$C0,$D0,$A1,$B1,$C1,$D1,$A2,$B2,$C2,$D2,
Packit c4476c
    $A3,$B3,$C3,$D3,$A4,$B4,$C4,$D4,$A5,$B5,$C5,$D5) = map("v$_.4s",(8..31));
Packit c4476c
my $rot24 = @K[6];
Packit c4476c
my $ONE = "v7.4s";
Packit c4476c
Packit c4476c
sub NEONROUND {
Packit c4476c
my $odd = pop;
Packit c4476c
my ($a,$b,$c,$d,$t)=@_;
Packit c4476c
Packit c4476c
	(
Packit c4476c
	"&add		('$a','$a','$b')",
Packit c4476c
	"&eor		('$d','$d','$a')",
Packit c4476c
	"&rev32_16	('$d','$d')",		# vrot ($d,16)
Packit c4476c
Packit c4476c
	"&add		('$c','$c','$d')",
Packit c4476c
	"&eor		('$t','$b','$c')",
Packit c4476c
	"&ushr		('$b','$t',20)",
Packit c4476c
	"&sli		('$b','$t',12)",
Packit c4476c
Packit c4476c
	"&add		('$a','$a','$b')",
Packit c4476c
	"&eor		('$d','$d','$a')",
Packit c4476c
	"&tbl		('$d','{$d}','$rot24')",
Packit c4476c
Packit c4476c
	"&add		('$c','$c','$d')",
Packit c4476c
	"&eor		('$t','$b','$c')",
Packit c4476c
	"&ushr		('$b','$t',25)",
Packit c4476c
	"&sli		('$b','$t',7)",
Packit c4476c
Packit c4476c
	"&ext		('$c','$c','$c',8)",
Packit c4476c
	"&ext		('$d','$d','$d',$odd?4:12)",
Packit c4476c
	"&ext		('$b','$b','$b',$odd?12:4)"
Packit c4476c
	);
Packit c4476c
}
Packit c4476c
Packit c4476c
$code.=<<___;
Packit c4476c
.type	ChaCha20_512_neon,%function
Packit c4476c
.align	5
Packit c4476c
ChaCha20_512_neon:
Packit c4476c
	.inst	0xd503233f			// paciasp
Packit c4476c
	stp	x29,x30,[sp,#-96]!
Packit c4476c
	add	x29,sp,#0
Packit c4476c
Packit c4476c
	adr	@x[0],.Lsigma
Packit c4476c
	stp	x19,x20,[sp,#16]
Packit c4476c
	stp	x21,x22,[sp,#32]
Packit c4476c
	stp	x23,x24,[sp,#48]
Packit c4476c
	stp	x25,x26,[sp,#64]
Packit c4476c
	stp	x27,x28,[sp,#80]
Packit c4476c
Packit c4476c
.L512_or_more_neon:
Packit c4476c
	sub	sp,sp,#128+64
Packit c4476c
Packit c4476c
	eor	$ONE,$ONE,$ONE
Packit c4476c
	ldp	@d[0],@d[1],[@x[0]]		// load sigma
Packit c4476c
	ld1	{@K[0]},[@x[0]],#16
Packit c4476c
	ldp	@d[2],@d[3],[$key]		// load key
Packit c4476c
	ldp	@d[4],@d[5],[$key,#16]
Packit c4476c
	ld1	{@K[1],@K[2]},[$key]
Packit c4476c
	ldp	@d[6],@d[7],[$ctr]		// load counter
Packit c4476c
	ld1	{@K[3]},[$ctr]
Packit c4476c
	ld1	{$ONE}[0],[@x[0]]
Packit c4476c
	add	$key,@x[0],#16			// .Lrot24
Packit c4476c
#ifdef	__AARCH64EB__
Packit c4476c
	rev64	@K[0],@K[0]
Packit c4476c
	ror	@d[2],@d[2],#32
Packit c4476c
	ror	@d[3],@d[3],#32
Packit c4476c
	ror	@d[4],@d[4],#32
Packit c4476c
	ror	@d[5],@d[5],#32
Packit c4476c
	ror	@d[6],@d[6],#32
Packit c4476c
	ror	@d[7],@d[7],#32
Packit c4476c
#endif
Packit c4476c
	add	@K[3],@K[3],$ONE		// += 1
Packit c4476c
	stp	@K[0],@K[1],[sp,#0]		// off-load key block, invariant part
Packit c4476c
	add	@K[3],@K[3],$ONE		// not typo
Packit c4476c
	str	@K[2],[sp,#32]
Packit c4476c
	add	@K[4],@K[3],$ONE
Packit c4476c
	add	@K[5],@K[4],$ONE
Packit c4476c
	add	@K[6],@K[5],$ONE
Packit c4476c
	shl	$ONE,$ONE,#2			// 1 -> 4
Packit c4476c
Packit c4476c
	stp	d8,d9,[sp,#128+0]		// meet ABI requirements
Packit c4476c
	stp	d10,d11,[sp,#128+16]
Packit c4476c
	stp	d12,d13,[sp,#128+32]
Packit c4476c
	stp	d14,d15,[sp,#128+48]
Packit c4476c
Packit c4476c
	sub	$len,$len,#512			// not typo
Packit c4476c
Packit c4476c
.Loop_outer_512_neon:
Packit c4476c
	 mov	$A0,@K[0]
Packit c4476c
	 mov	$A1,@K[0]
Packit c4476c
	 mov	$A2,@K[0]
Packit c4476c
	 mov	$A3,@K[0]
Packit c4476c
	 mov	$A4,@K[0]
Packit c4476c
	 mov	$A5,@K[0]
Packit c4476c
	 mov	$B0,@K[1]
Packit c4476c
	mov.32	@x[0],@d[0]			// unpack key block
Packit c4476c
	 mov	$B1,@K[1]
Packit c4476c
	lsr	@x[1],@d[0],#32
Packit c4476c
	 mov	$B2,@K[1]
Packit c4476c
	mov.32	@x[2],@d[1]
Packit c4476c
	 mov	$B3,@K[1]
Packit c4476c
	lsr	@x[3],@d[1],#32
Packit c4476c
	 mov	$B4,@K[1]
Packit c4476c
	mov.32	@x[4],@d[2]
Packit c4476c
	 mov	$B5,@K[1]
Packit c4476c
	lsr	@x[5],@d[2],#32
Packit c4476c
	 mov	$D0,@K[3]
Packit c4476c
	mov.32	@x[6],@d[3]
Packit c4476c
	 mov	$D1,@K[4]
Packit c4476c
	lsr	@x[7],@d[3],#32
Packit c4476c
	 mov	$D2,@K[5]
Packit c4476c
	mov.32	@x[8],@d[4]
Packit c4476c
	 mov	$D3,@K[6]
Packit c4476c
	lsr	@x[9],@d[4],#32
Packit c4476c
	 mov	$C0,@K[2]
Packit c4476c
	mov.32	@x[10],@d[5]
Packit c4476c
	 mov	$C1,@K[2]
Packit c4476c
	lsr	@x[11],@d[5],#32
Packit c4476c
	 add	$D4,$D0,$ONE			// +4
Packit c4476c
	mov.32	@x[12],@d[6]
Packit c4476c
	 add	$D5,$D1,$ONE			// +4
Packit c4476c
	lsr	@x[13],@d[6],#32
Packit c4476c
	 mov	$C2,@K[2]
Packit c4476c
	mov.32	@x[14],@d[7]
Packit c4476c
	 mov	$C3,@K[2]
Packit c4476c
	lsr	@x[15],@d[7],#32
Packit c4476c
	 mov	$C4,@K[2]
Packit c4476c
	 stp	@K[3],@K[4],[sp,#48]		// off-load key block, variable part
Packit c4476c
	 mov	$C5,@K[2]
Packit c4476c
	 stp	@K[5],@K[6],[sp,#80]
Packit c4476c
Packit c4476c
	mov	$ctr,#5
Packit c4476c
	ld1	{$rot24},[$key]
Packit c4476c
	subs	$len,$len,#512
Packit c4476c
.Loop_upper_neon:
Packit c4476c
	sub	$ctr,$ctr,#1
Packit c4476c
___
Packit c4476c
	my @thread0=&NEONROUND($A0,$B0,$C0,$D0,$T0,0);
Packit c4476c
	my @thread1=&NEONROUND($A1,$B1,$C1,$D1,$T1,0);
Packit c4476c
	my @thread2=&NEONROUND($A2,$B2,$C2,$D2,$T2,0);
Packit c4476c
	my @thread3=&NEONROUND($A3,$B3,$C3,$D3,$T3,0);
Packit c4476c
	my @thread4=&NEONROUND($A4,$B4,$C4,$D4,$T4,0);
Packit c4476c
	my @thread5=&NEONROUND($A5,$B5,$C5,$D5,$T5,0);
Packit c4476c
	my @thread67=(&ROUND(0,4,8,12),&ROUND(0,5,10,15));
Packit c4476c
	my $diff = ($#thread0+1)*6 - $#thread67 - 1;
Packit c4476c
	my $i = 0;
Packit c4476c
Packit c4476c
	foreach (@thread0) {
Packit c4476c
		eval;			eval(shift(@thread67));
Packit c4476c
		eval(shift(@thread1));	eval(shift(@thread67));
Packit c4476c
		eval(shift(@thread2));	eval(shift(@thread67));
Packit c4476c
		eval(shift(@thread3));	eval(shift(@thread67));
Packit c4476c
		eval(shift(@thread4));	eval(shift(@thread67));
Packit c4476c
		eval(shift(@thread5));	eval(shift(@thread67));
Packit c4476c
	}
Packit c4476c
Packit c4476c
	@thread0=&NEONROUND($A0,$B0,$C0,$D0,$T0,1);
Packit c4476c
	@thread1=&NEONROUND($A1,$B1,$C1,$D1,$T1,1);
Packit c4476c
	@thread2=&NEONROUND($A2,$B2,$C2,$D2,$T2,1);
Packit c4476c
	@thread3=&NEONROUND($A3,$B3,$C3,$D3,$T3,1);
Packit c4476c
	@thread4=&NEONROUND($A4,$B4,$C4,$D4,$T4,1);
Packit c4476c
	@thread5=&NEONROUND($A5,$B5,$C5,$D5,$T5,1);
Packit c4476c
	@thread67=(&ROUND(0,4,8,12),&ROUND(0,5,10,15));
Packit c4476c
Packit c4476c
	foreach (@thread0) {
Packit c4476c
		eval;			eval(shift(@thread67));
Packit c4476c
		eval(shift(@thread1));	eval(shift(@thread67));
Packit c4476c
		eval(shift(@thread2));	eval(shift(@thread67));
Packit c4476c
		eval(shift(@thread3));	eval(shift(@thread67));
Packit c4476c
		eval(shift(@thread4));	eval(shift(@thread67));
Packit c4476c
		eval(shift(@thread5));	eval(shift(@thread67));
Packit c4476c
	}
Packit c4476c
$code.=<<___;
Packit c4476c
	cbnz	$ctr,.Loop_upper_neon
Packit c4476c
Packit c4476c
	add.32	@x[0],@x[0],@d[0]		// accumulate key block
Packit c4476c
	add	@x[1],@x[1],@d[0],lsr#32
Packit c4476c
	add.32	@x[2],@x[2],@d[1]
Packit c4476c
	add	@x[3],@x[3],@d[1],lsr#32
Packit c4476c
	add.32	@x[4],@x[4],@d[2]
Packit c4476c
	add	@x[5],@x[5],@d[2],lsr#32
Packit c4476c
	add.32	@x[6],@x[6],@d[3]
Packit c4476c
	add	@x[7],@x[7],@d[3],lsr#32
Packit c4476c
	add.32	@x[8],@x[8],@d[4]
Packit c4476c
	add	@x[9],@x[9],@d[4],lsr#32
Packit c4476c
	add.32	@x[10],@x[10],@d[5]
Packit c4476c
	add	@x[11],@x[11],@d[5],lsr#32
Packit c4476c
	add.32	@x[12],@x[12],@d[6]
Packit c4476c
	add	@x[13],@x[13],@d[6],lsr#32
Packit c4476c
	add.32	@x[14],@x[14],@d[7]
Packit c4476c
	add	@x[15],@x[15],@d[7],lsr#32
Packit c4476c
Packit c4476c
	add	@x[0],@x[0],@x[1],lsl#32	// pack
Packit c4476c
	add	@x[2],@x[2],@x[3],lsl#32
Packit c4476c
	ldp	@x[1],@x[3],[$inp,#0]		// load input
Packit c4476c
	add	@x[4],@x[4],@x[5],lsl#32
Packit c4476c
	add	@x[6],@x[6],@x[7],lsl#32
Packit c4476c
	ldp	@x[5],@x[7],[$inp,#16]
Packit c4476c
	add	@x[8],@x[8],@x[9],lsl#32
Packit c4476c
	add	@x[10],@x[10],@x[11],lsl#32
Packit c4476c
	ldp	@x[9],@x[11],[$inp,#32]
Packit c4476c
	add	@x[12],@x[12],@x[13],lsl#32
Packit c4476c
	add	@x[14],@x[14],@x[15],lsl#32
Packit c4476c
	ldp	@x[13],@x[15],[$inp,#48]
Packit c4476c
	add	$inp,$inp,#64
Packit c4476c
#ifdef	__AARCH64EB__
Packit c4476c
	rev	@x[0],@x[0]
Packit c4476c
	rev	@x[2],@x[2]
Packit c4476c
	rev	@x[4],@x[4]
Packit c4476c
	rev	@x[6],@x[6]
Packit c4476c
	rev	@x[8],@x[8]
Packit c4476c
	rev	@x[10],@x[10]
Packit c4476c
	rev	@x[12],@x[12]
Packit c4476c
	rev	@x[14],@x[14]
Packit c4476c
#endif
Packit c4476c
	eor	@x[0],@x[0],@x[1]
Packit c4476c
	eor	@x[2],@x[2],@x[3]
Packit c4476c
	eor	@x[4],@x[4],@x[5]
Packit c4476c
	eor	@x[6],@x[6],@x[7]
Packit c4476c
	eor	@x[8],@x[8],@x[9]
Packit c4476c
	eor	@x[10],@x[10],@x[11]
Packit c4476c
	eor	@x[12],@x[12],@x[13]
Packit c4476c
	eor	@x[14],@x[14],@x[15]
Packit c4476c
Packit c4476c
	 stp	@x[0],@x[2],[$out,#0]		// store output
Packit c4476c
	 add	@d[6],@d[6],#1			// increment counter
Packit c4476c
	mov.32	@x[0],@d[0]			// unpack key block
Packit c4476c
	lsr	@x[1],@d[0],#32
Packit c4476c
	 stp	@x[4],@x[6],[$out,#16]
Packit c4476c
	mov.32	@x[2],@d[1]
Packit c4476c
	lsr	@x[3],@d[1],#32
Packit c4476c
	 stp	@x[8],@x[10],[$out,#32]
Packit c4476c
	mov.32	@x[4],@d[2]
Packit c4476c
	lsr	@x[5],@d[2],#32
Packit c4476c
	 stp	@x[12],@x[14],[$out,#48]
Packit c4476c
	 add	$out,$out,#64
Packit c4476c
	mov.32	@x[6],@d[3]
Packit c4476c
	lsr	@x[7],@d[3],#32
Packit c4476c
	mov.32	@x[8],@d[4]
Packit c4476c
	lsr	@x[9],@d[4],#32
Packit c4476c
	mov.32	@x[10],@d[5]
Packit c4476c
	lsr	@x[11],@d[5],#32
Packit c4476c
	mov.32	@x[12],@d[6]
Packit c4476c
	lsr	@x[13],@d[6],#32
Packit c4476c
	mov.32	@x[14],@d[7]
Packit c4476c
	lsr	@x[15],@d[7],#32
Packit c4476c
Packit c4476c
	mov	$ctr,#5
Packit c4476c
.Loop_lower_neon:
Packit c4476c
	sub	$ctr,$ctr,#1
Packit c4476c
___
Packit c4476c
	@thread0=&NEONROUND($A0,$B0,$C0,$D0,$T0,0);
Packit c4476c
	@thread1=&NEONROUND($A1,$B1,$C1,$D1,$T1,0);
Packit c4476c
	@thread2=&NEONROUND($A2,$B2,$C2,$D2,$T2,0);
Packit c4476c
	@thread3=&NEONROUND($A3,$B3,$C3,$D3,$T3,0);
Packit c4476c
	@thread4=&NEONROUND($A4,$B4,$C4,$D4,$T4,0);
Packit c4476c
	@thread5=&NEONROUND($A5,$B5,$C5,$D5,$T5,0);
Packit c4476c
	@thread67=(&ROUND(0,4,8,12),&ROUND(0,5,10,15));
Packit c4476c
Packit c4476c
	foreach (@thread0) {
Packit c4476c
		eval;			eval(shift(@thread67));
Packit c4476c
		eval(shift(@thread1));	eval(shift(@thread67));
Packit c4476c
		eval(shift(@thread2));	eval(shift(@thread67));
Packit c4476c
		eval(shift(@thread3));	eval(shift(@thread67));
Packit c4476c
		eval(shift(@thread4));	eval(shift(@thread67));
Packit c4476c
		eval(shift(@thread5));	eval(shift(@thread67));
Packit c4476c
	}
Packit c4476c
Packit c4476c
	@thread0=&NEONROUND($A0,$B0,$C0,$D0,$T0,1);
Packit c4476c
	@thread1=&NEONROUND($A1,$B1,$C1,$D1,$T1,1);
Packit c4476c
	@thread2=&NEONROUND($A2,$B2,$C2,$D2,$T2,1);
Packit c4476c
	@thread3=&NEONROUND($A3,$B3,$C3,$D3,$T3,1);
Packit c4476c
	@thread4=&NEONROUND($A4,$B4,$C4,$D4,$T4,1);
Packit c4476c
	@thread5=&NEONROUND($A5,$B5,$C5,$D5,$T5,1);
Packit c4476c
	@thread67=(&ROUND(0,4,8,12),&ROUND(0,5,10,15));
Packit c4476c
Packit c4476c
	foreach (@thread0) {
Packit c4476c
		eval;			eval(shift(@thread67));
Packit c4476c
		eval(shift(@thread1));	eval(shift(@thread67));
Packit c4476c
		eval(shift(@thread2));	eval(shift(@thread67));
Packit c4476c
		eval(shift(@thread3));	eval(shift(@thread67));
Packit c4476c
		eval(shift(@thread4));	eval(shift(@thread67));
Packit c4476c
		eval(shift(@thread5));	eval(shift(@thread67));
Packit c4476c
	}
Packit c4476c
$code.=<<___;
Packit c4476c
	cbnz	$ctr,.Loop_lower_neon
Packit c4476c
Packit c4476c
	add.32	@x[0],@x[0],@d[0]		// accumulate key block
Packit c4476c
	 ldp	@K[0],@K[1],[sp,#0]
Packit c4476c
	add	@x[1],@x[1],@d[0],lsr#32
Packit c4476c
	 ldp	@K[2],@K[3],[sp,#32]
Packit c4476c
	add.32	@x[2],@x[2],@d[1]
Packit c4476c
	 ldp	@K[4],@K[5],[sp,#64]
Packit c4476c
	add	@x[3],@x[3],@d[1],lsr#32
Packit c4476c
	 ldr	@K[6],[sp,#96]
Packit c4476c
	 add	$A0,$A0,@K[0]
Packit c4476c
	add.32	@x[4],@x[4],@d[2]
Packit c4476c
	 add	$A1,$A1,@K[0]
Packit c4476c
	add	@x[5],@x[5],@d[2],lsr#32
Packit c4476c
	 add	$A2,$A2,@K[0]
Packit c4476c
	add.32	@x[6],@x[6],@d[3]
Packit c4476c
	 add	$A3,$A3,@K[0]
Packit c4476c
	add	@x[7],@x[7],@d[3],lsr#32
Packit c4476c
	 add	$A4,$A4,@K[0]
Packit c4476c
	add.32	@x[8],@x[8],@d[4]
Packit c4476c
	 add	$A5,$A5,@K[0]
Packit c4476c
	add	@x[9],@x[9],@d[4],lsr#32
Packit c4476c
	 add	$C0,$C0,@K[2]
Packit c4476c
	add.32	@x[10],@x[10],@d[5]
Packit c4476c
	 add	$C1,$C1,@K[2]
Packit c4476c
	add	@x[11],@x[11],@d[5],lsr#32
Packit c4476c
	 add	$C2,$C2,@K[2]
Packit c4476c
	add.32	@x[12],@x[12],@d[6]
Packit c4476c
	 add	$C3,$C3,@K[2]
Packit c4476c
	add	@x[13],@x[13],@d[6],lsr#32
Packit c4476c
	 add	$C4,$C4,@K[2]
Packit c4476c
	add.32	@x[14],@x[14],@d[7]
Packit c4476c
	 add	$C5,$C5,@K[2]
Packit c4476c
	add	@x[15],@x[15],@d[7],lsr#32
Packit c4476c
	 add	$D4,$D4,$ONE			// +4
Packit c4476c
	add	@x[0],@x[0],@x[1],lsl#32	// pack
Packit c4476c
	 add	$D5,$D5,$ONE			// +4
Packit c4476c
	add	@x[2],@x[2],@x[3],lsl#32
Packit c4476c
	 add	$D0,$D0,@K[3]
Packit c4476c
	ldp	@x[1],@x[3],[$inp,#0]		// load input
Packit c4476c
	 add	$D1,$D1,@K[4]
Packit c4476c
	add	@x[4],@x[4],@x[5],lsl#32
Packit c4476c
	 add	$D2,$D2,@K[5]
Packit c4476c
	add	@x[6],@x[6],@x[7],lsl#32
Packit c4476c
	 add	$D3,$D3,@K[6]
Packit c4476c
	ldp	@x[5],@x[7],[$inp,#16]
Packit c4476c
	 add	$D4,$D4,@K[3]
Packit c4476c
	add	@x[8],@x[8],@x[9],lsl#32
Packit c4476c
	 add	$D5,$D5,@K[4]
Packit c4476c
	add	@x[10],@x[10],@x[11],lsl#32
Packit c4476c
	 add	$B0,$B0,@K[1]
Packit c4476c
	ldp	@x[9],@x[11],[$inp,#32]
Packit c4476c
	 add	$B1,$B1,@K[1]
Packit c4476c
	add	@x[12],@x[12],@x[13],lsl#32
Packit c4476c
	 add	$B2,$B2,@K[1]
Packit c4476c
	add	@x[14],@x[14],@x[15],lsl#32
Packit c4476c
	 add	$B3,$B3,@K[1]
Packit c4476c
	ldp	@x[13],@x[15],[$inp,#48]
Packit c4476c
	 add	$B4,$B4,@K[1]
Packit c4476c
	add	$inp,$inp,#64
Packit c4476c
	 add	$B5,$B5,@K[1]
Packit c4476c
Packit c4476c
#ifdef	__AARCH64EB__
Packit c4476c
	rev	@x[0],@x[0]
Packit c4476c
	rev	@x[2],@x[2]
Packit c4476c
	rev	@x[4],@x[4]
Packit c4476c
	rev	@x[6],@x[6]
Packit c4476c
	rev	@x[8],@x[8]
Packit c4476c
	rev	@x[10],@x[10]
Packit c4476c
	rev	@x[12],@x[12]
Packit c4476c
	rev	@x[14],@x[14]
Packit c4476c
#endif
Packit c4476c
	ld1.8	{$T0-$T3},[$inp],#64
Packit c4476c
	eor	@x[0],@x[0],@x[1]
Packit c4476c
	eor	@x[2],@x[2],@x[3]
Packit c4476c
	eor	@x[4],@x[4],@x[5]
Packit c4476c
	eor	@x[6],@x[6],@x[7]
Packit c4476c
	eor	@x[8],@x[8],@x[9]
Packit c4476c
	 eor	$A0,$A0,$T0
Packit c4476c
	eor	@x[10],@x[10],@x[11]
Packit c4476c
	 eor	$B0,$B0,$T1
Packit c4476c
	eor	@x[12],@x[12],@x[13]
Packit c4476c
	 eor	$C0,$C0,$T2
Packit c4476c
	eor	@x[14],@x[14],@x[15]
Packit c4476c
	 eor	$D0,$D0,$T3
Packit c4476c
	 ld1.8	{$T0-$T3},[$inp],#64
Packit c4476c
Packit c4476c
	stp	@x[0],@x[2],[$out,#0]		// store output
Packit c4476c
	 add	@d[6],@d[6],#7			// increment counter
Packit c4476c
	stp	@x[4],@x[6],[$out,#16]
Packit c4476c
	stp	@x[8],@x[10],[$out,#32]
Packit c4476c
	stp	@x[12],@x[14],[$out,#48]
Packit c4476c
	add	$out,$out,#64
Packit c4476c
	st1.8	{$A0-$D0},[$out],#64
Packit c4476c
Packit c4476c
	ld1.8	{$A0-$D0},[$inp],#64
Packit c4476c
	eor	$A1,$A1,$T0
Packit c4476c
	eor	$B1,$B1,$T1
Packit c4476c
	eor	$C1,$C1,$T2
Packit c4476c
	eor	$D1,$D1,$T3
Packit c4476c
	st1.8	{$A1-$D1},[$out],#64
Packit c4476c
Packit c4476c
	ld1.8	{$A1-$D1},[$inp],#64
Packit c4476c
	eor	$A2,$A2,$A0
Packit c4476c
	 ldp	@K[0],@K[1],[sp,#0]
Packit c4476c
	eor	$B2,$B2,$B0
Packit c4476c
	 ldp	@K[2],@K[3],[sp,#32]
Packit c4476c
	eor	$C2,$C2,$C0
Packit c4476c
	eor	$D2,$D2,$D0
Packit c4476c
	st1.8	{$A2-$D2},[$out],#64
Packit c4476c
Packit c4476c
	ld1.8	{$A2-$D2},[$inp],#64
Packit c4476c
	eor	$A3,$A3,$A1
Packit c4476c
	eor	$B3,$B3,$B1
Packit c4476c
	eor	$C3,$C3,$C1
Packit c4476c
	eor	$D3,$D3,$D1
Packit c4476c
	st1.8	{$A3-$D3},[$out],#64
Packit c4476c
Packit c4476c
	ld1.8	{$A3-$D3},[$inp],#64
Packit c4476c
	eor	$A4,$A4,$A2
Packit c4476c
	eor	$B4,$B4,$B2
Packit c4476c
	eor	$C4,$C4,$C2
Packit c4476c
	eor	$D4,$D4,$D2
Packit c4476c
	st1.8	{$A4-$D4},[$out],#64
Packit c4476c
Packit c4476c
	shl	$A0,$ONE,#1			// 4 -> 8
Packit c4476c
	eor	$A5,$A5,$A3
Packit c4476c
	eor	$B5,$B5,$B3
Packit c4476c
	eor	$C5,$C5,$C3
Packit c4476c
	eor	$D5,$D5,$D3
Packit c4476c
	st1.8	{$A5-$D5},[$out],#64
Packit c4476c
Packit c4476c
	add	@K[3],@K[3],$A0			// += 8
Packit c4476c
	add	@K[4],@K[4],$A0
Packit c4476c
	add	@K[5],@K[5],$A0
Packit c4476c
	add	@K[6],@K[6],$A0
Packit c4476c
Packit c4476c
	b.hs	.Loop_outer_512_neon
Packit c4476c
Packit c4476c
	adds	$len,$len,#512
Packit c4476c
	ushr	$ONE,$ONE,#1			// 4 -> 2
Packit c4476c
Packit c4476c
	ldp	d10,d11,[sp,#128+16]
Packit c4476c
	ldp	d12,d13,[sp,#128+32]
Packit c4476c
	ldp	d14,d15,[sp,#128+48]
Packit c4476c
Packit c4476c
	stp	@K[0],@K[0],[sp,#0]		// wipe off-load area
Packit c4476c
	stp	@K[0],@K[0],[sp,#32]
Packit c4476c
	stp	@K[0],@K[0],[sp,#64]
Packit c4476c
Packit c4476c
	b.eq	.Ldone_512_neon
Packit c4476c
Packit c4476c
	sub	$key,$key,#16			// .Lone
Packit c4476c
	cmp	$len,#192
Packit c4476c
	add	sp,sp,#128
Packit c4476c
	sub	@K[3],@K[3],$ONE		// -= 2
Packit c4476c
	ld1	{$CTR,$ROT24},[$key]
Packit c4476c
	b.hs	.Loop_outer_neon
Packit c4476c
Packit c4476c
	ldp	d8,d9,[sp,#0]			// meet ABI requirements
Packit c4476c
	eor	@K[1],@K[1],@K[1]
Packit c4476c
	eor	@K[2],@K[2],@K[2]
Packit c4476c
	eor	@K[3],@K[3],@K[3]
Packit c4476c
	eor	@K[4],@K[4],@K[4]
Packit c4476c
	eor	@K[5],@K[5],@K[5]
Packit c4476c
	eor	@K[6],@K[6],@K[6]
Packit c4476c
	b	.Loop_outer
Packit c4476c
Packit c4476c
.Ldone_512_neon:
Packit c4476c
	ldp	d8,d9,[sp,#128+0]		// meet ABI requirements
Packit c4476c
	ldp	x19,x20,[x29,#16]
Packit c4476c
	add	sp,sp,#128+64
Packit c4476c
	ldp	x21,x22,[x29,#32]
Packit c4476c
	ldp	x23,x24,[x29,#48]
Packit c4476c
	ldp	x25,x26,[x29,#64]
Packit c4476c
	ldp	x27,x28,[x29,#80]
Packit c4476c
	ldp	x29,x30,[sp],#96
Packit c4476c
	.inst	0xd50323bf			// autiasp
Packit c4476c
	ret
Packit c4476c
.size	ChaCha20_512_neon,.-ChaCha20_512_neon
Packit c4476c
___
Packit c4476c
}
Packit c4476c
}}}
Packit c4476c
Packit c4476c
foreach (split("\n",$code)) {
Packit c4476c
	s/\`([^\`]*)\`/eval $1/geo;
Packit c4476c
Packit c4476c
	(s/\b([a-z]+)\.32\b/$1/ and (s/x([0-9]+)/w$1/g or 1))	or
Packit c4476c
	(m/\b(eor|ext|mov|tbl)\b/ and (s/\.4s/\.16b/g or 1))	or
Packit c4476c
	(s/\b((?:ld|st)1)\.8\b/$1/ and (s/\.4s/\.16b/g or 1))	or
Packit c4476c
	(m/\b(ld|st)[rp]\b/ and (s/v([0-9]+)\.4s/q$1/g or 1))	or
Packit c4476c
	(m/\b(dup|ld1)\b/ and (s/\.4(s}?\[[0-3]\])/.$1/g or 1))	or
Packit c4476c
	(s/\b(zip[12])\.64\b/$1/ and (s/\.4s/\.2d/g or 1))	or
Packit c4476c
	(s/\brev32\.16\b/rev32/ and (s/\.4s/\.8h/g or 1));
Packit c4476c
Packit c4476c
	#s/\bq([0-9]+)#(lo|hi)/sprintf "d%d",2*$1+($2 eq "hi")/geo;
Packit c4476c
Packit c4476c
	print $_,"\n";
Packit c4476c
}
Packit c4476c
close STDOUT or die "error closing STDOUT: $!";	# flush