From 534379a82784255cdd801f0c5321c23b63c33419 Mon Sep 17 00:00:00 2001 From: Packit Date: Sep 07 2020 11:42:38 +0000 Subject: opae-1.4.1 base --- diff --git a/.gitattributes b/.gitattributes new file mode 100644 index 0000000..c98a4a9 --- /dev/null +++ b/.gitattributes @@ -0,0 +1,2 @@ +version.py export-subst +version.py filter=gethash diff --git a/.gitconfig b/.gitconfig new file mode 100644 index 0000000..efa365f --- /dev/null +++ b/.gitconfig @@ -0,0 +1,4 @@ +[filter "gethash"] + smudge = sed -e 's/\\$Format:%H\\$/'$(git rev-parse HEAD)'/g' + clean = sed -e 's/[0-9a-f]\\{40\\}/$Format:%H$/g' + diff --git a/AUTHORS b/AUTHORS new file mode 100644 index 0000000..feb875a --- /dev/null +++ b/AUTHORS @@ -0,0 +1,62 @@ +This file contains the names of people who contributed in one way or another to +the development of OPAE. + +Please DO NOT contact the people below directly to report bugs or issues with +OPAE. + +General documentation on OPAE can be found at: + + http://01.org/OPAE + +The OPAE mailing list is available at: + + http://lists.01.org/mailman/listinfo/opae + +The OPAE SDK source code is hosted at: + + http://github.com/OPAE/opae-sdk + +Please use the issue tracker at: + + http://github.com/OPAE/opae-sdk/issues + +to report bugs. + +------------------------------------------------------------------------------- + +The following is a (probably incomplete) list of the much-appreciated +contributors to the OPAE Linux API, library and tools source code. + + Abelardo Jara-Berrocal + Ananda Ravuri + Deepak Unnikrishnan + Dipti Sherlekar + Enno Luebbers + Gabriel Southern + Michael Adler + Omkar Hegde + Rahul Sharma + Robert Lacasse + Rodrigo Rojo + Ru Pan + Shiva Rao + Tim Whisonant + Zhang Zhang + + +Special thanks to the people who contributed to the discussions about OPAE's +software architecture, API, and usage models: + + Aaron Grier + Alan Cox + Brent Thomas + Christopher Rauer + Henry Mitchel + Joe Grecco + Josh Fender + Matthew Gerlach + Sundar Nadathur + + +This list does not cover the contributors to the Intel FPGA driver for Linux - +please refer to the respective driver source files for a list of authors. diff --git a/CMakeLists.txt b/CMakeLists.txt new file mode 100644 index 0000000..43bb90c --- /dev/null +++ b/CMakeLists.txt @@ -0,0 +1,403 @@ +## Copyright(c) 2017-2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +cmake_minimum_required(VERSION 2.8.12) +project(opae) + +set(OPAE_SDK_SOURCE ${CMAKE_CURRENT_SOURCE_DIR} CACHE PATH "Root directory of opae-sdk project" FORCE) +set(CMAKE_MODULE_PATH ${CMAKE_MODULE_PATH} "${OPAE_SDK_SOURCE}/cmake" + "${CMAKE_CURRENT_SOURCE_DIR}/opae-libs/cmake/modules") + +include(OPAE) + +############################################################################ +## Whether to build opae-legacy ############################################ +############################################################################ +option(OPAE_BUILD_LEGACY "Enable building of OPAE legacy tools" OFF) +mark_as_advanced(OPAE_BUILD_LEGACY) + +if(OPAE_BUILD_LEGACY) + option(OPAE_LEGACY_TAG "Desired branch for opae-legacy" master) + mark_as_advanced(OPAE_LEGACY_TAG) +endif(OPAE_BUILD_LEGACY) + +############################################################################ +## Other setup and dependencies ############################################ +############################################################################ +find_package(Doxygen) +find_package(Sphinx) + +if(CPACK_GENERATOR) + set(CMAKE_INSTALL_PREFIX "/usr") +endif() + + +############################################################################ +## Sub-projects ############################################################ +############################################################################ +opae_add_subdirectory(opae-libs) +opae_add_subdirectory(platforms) +opae_add_subdirectory(tools) +opae_add_subdirectory(samples) +opae_add_subdirectory(python) + +if(OPAE_BUILD_TESTS) + enable_testing() + opae_add_subdirectory(tests) +endif() + +opae_add_subdirectory(external) + +############################################################################ +## Add 'documentation' target ############################################## +############################################################################ +option(OPAE_BUILD_SPHINX_DOC "Enable building of Sphinx documentation." OFF) +mark_as_advanced(OPAE_BUILD_SPHINX_DOC) + +if (DOXYGEN_FOUND) + add_subdirectory(doc) +endif() + +############################################################################ +## Packaging ############################################################### +############################################################################ + +option(HASH_ARCHIVES "Add git commit hash to archive names" OFF) +mark_as_advanced(HASH_ARCHIVES) +set(CPACK_RPM_RELOCATION_PATHS "/etc") + +# use to set doc dir for installing license file +include(GNUInstallDirs) + +set(CPACK_PACKAGE_NAME ${CMAKE_PROJECT_NAME}) +SET(CPACK_PACKAGE_DESCRIPTION_SUMMARY "Open Programmable Acceleration Engine") +SET(CPACK_PACKAGE_VENDOR "Intel Corporation") +set(CPACK_PACKAGE_VERSION_MAJOR "${OPAE_VERSION_MAJOR}") +set(CPACK_PACKAGE_VERSION_MINOR "${OPAE_VERSION_MINOR}") +set(CPACK_PACKAGE_VERSION_PATCH "${OPAE_VERSION_REVISION}") +set(CPACK_PACKAGE_VERSION ${OPAE_VERSION}) +set(CPACK_PACKAGE_RELEASE 1) +set(CPACK_PACKAGE_CONTACT "opae@lists.01.org") +set(CPACK_PACKAGING_INSTALL_PREFIX "${CMAKE_INSTALL_PREFIX}") +# Hashing the package components + if(HASH_ARCHIVES) + set(CPACK_PACKAGE_FILE_NAME "${CMAKE_PROJECT_NAME}-${CPACK_PACKAGE_VERSION_MAJOR}.${CPACK_PACKAGE_VERSION_MINOR}.${CPACK_PACKAGE_VERSION_PATCH}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}-git${GIT_COMMIT_HASH}") +else() + set(CPACK_PACKAGE_FILE_NAME "${CPACK_PACKAGE_NAME}-${CPACK_PACKAGE_VERSION}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}") +endif() + +if("${CPACK_GENERATOR}" STREQUAL "DEB") + #include(packaging) + +# install LICENSE file to copyright +install(FILES LICENSE DESTINATION ${CMAKE_INSTALL_PREFIX}/${CMAKE_INSTALL_DOCDIR} +COMPONENT licensefile +RENAME copyright) + +# list of components to be included in the package +set(CPACK_COMPONENTS_ALL + toolfpgaconf + toolargsfilter + toolfpgainfo + toolfpgametrics + toolfpgadiag + toolfpga_dma_test + toolfpgabist + toolfpgaflash + toolfpgadiagapps + toolpackager + tooluserclk + toolras + toolcoreidle + toolmmlink + jsonschema + opaecase + asertl + asescripts + aseextra + asesw + libopaeheaders + dochtml + doclatex + docrtf + docman + docxml + platform + samplesrc + opaeclib + opaecxxcorelib + opaetoolslibs + opaecxxutils + opaecxxlib + opaecxxnlb + toolfpgaconf + toolbist_app + toolbist + testsopae + ) + + +# Component definition +define_pkg(tools + COMPONENTS + toolfpgaconf + toolargsfilter + toolfpgainfo + toolfpgametrics + licensefile + GROUP "tools" + DISPLAY_NAME "opae-tools" + DESCRIPTION "OPAE base tool binaries" + DEB_DEPENDS "opae-libs , opae-devel" + ) + + define_pkg(tools-extra + COMPONENTS + tooluserclk + toolras + toolmmlink + toolcoreidle + toolfpgadiag + toolfpgabist + toolfpga_dma_test + toolbist_app + toolbist + toolfpgaflash + toolfpgadiagapps + toolpachssiconfig + opaecxxutils + toolpackager + jsonschema + opaecxxlib + opaecxxnlb + licensefile + GROUP "tools-extra" + DISPLAY_NAME "opae-tools-extra" + DESCRIPTION "OPAE extra tool binaries" + DEB_DEPENDS "opae-libs , opae-devel" + ) + +define_pkg(ase + COMPONENTS + opaecase + asertl + asescripts + aseextra + asesw + licensefile + GROUP "ase" + DISPLAY_NAME "opae-ase" + DESCRIPTION "OPAE AFU Simulation Environment" + DEB_DEPENDS "opae-libs , opae-devel" + ) + +define_pkg(devel + COMPONENTS + libopaeheaders + dochtml + doclatex + docrtf + docman + docxml + platform + samplesrc + samplebin + licensefile + GROUP "devel" + DISPLAY_NAME "opae-devel" + DESCRIPTION "OPAE headers, sample source, and documentation" + DEB_DEPENDS "uuid-dev , ${JSON_C_DEBIAN_PACKAGE} , opae-libs" + ) + + define_pkg(libs + COMPONENTS + opaeclib + opaecxxcorelib + opaetoolslibs + licensefile + GROUP "libs" + DISPLAY_NAME "opae-libs" + DESCRIPTION "OPAE runtime" + DEB_DEPENDS "uuid-dev , ${JSON_C_DEBIAN_PACKAGE}" + ) + + define_pkg(tests + COMPONENTS + testsopae + GROUP "tests" + DISPLAY_NAME "opae-tests" + DESCRIPTION "OPAE test package" + DEB_DEPENDS "opae-libs" + ) + +#Binary DEB packaging +set(CPACK_COMPONENTS_GROUPING ONE_PER_GROUP) +#set(CPACK_COMPONENT_GROUPS_ALL libs devel tools ase all) +set(CPACK_DEB_COMPONENT_INSTALL ON) +set(CPACK_DEB_PACKAGE_COMPONENT ON) + +# Run ldconfig after installation +option(RUN_LDCONFIG "Enable execution of ldconfig after installation" ON) +mark_as_advanced(RUN_LDCONFIG) + +if (RUN_LDCONFIG) + if (NOT CMAKE_INSTALL_LIBDIR) + set(CMAKE_INSTALL_LIBDIR "lib") + endif() + + set(LDCONFIG_DIR ${CMAKE_INSTALL_PREFIX}/${CMAKE_INSTALL_LIBDIR}) + + file(WRITE ${PROJECT_BINARY_DIR}/scripts/postinst " +mkdir -p /etc/ld.so.conf.d +echo \"${LDCONFIG_DIR}\" > /etc/ld.so.conf.d/opae-c.conf +ldconfig +") + + file(WRITE ${PROJECT_BINARY_DIR}/scripts/prerm " +rm -f -- /etc/ld.so.conf.d/opae-c.conf +ldconfig +") + +set(CPACK_DEBIAN_PACKAGE_CONTROL_EXTRA "${PROJECT_BINARY_DIR}/scripts/postinst;${PROJECT_BINARY_DIR}/scripts/prerm") +endif(RUN_LDCONFIG) +endif() + +if("${CPACK_GENERATOR}" STREQUAL "RPM") +# Binary RPM packaging +set(CPACK_RPM_PACKAGE_LICENSE "BSD 3.0") +set(CPACK_RPM_COMPONENT_INSTALL OFF) +set(CPACK_RPM_PACKAGE_COMPONENT OFF) +set(CPACK_RPM_PACKAGE_RELEASE ${CPACK_PACKAGE_RELEASE}) +set(CPACK_RPM_PACKAGE_LICENSE "BSD 3.0") +set(CPACK_TEMPORARY_PACKAGE_FILE_NAME "${CMAKE_BINARY_DIR}/_CPack_Packages/Linux/RPM/RPMS/x86_64/${CPACK_PACKAGE_NAME}-${CPACK_PACKAGE_VERSION}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}.rpm") +set(CPACK_META_GROUP_NAME "opae") +set(CPACK_RPM_PACKAGE_DESCRIPTION "This package contains the Open Programmable Acceleration Engine (OPAE) components ") +#install license file +install(FILES LICENSE DESTINATION ${CMAKE_INSTALL_PREFIX}/${CMAKE_INSTALL_DOCDIR} +COMPONENT licensefile) +# /usr, /usr/lib are already present in CPACK_RPM_EXCLUDE_FROM_AUTO_FILELIST, +# but some Linux distributions complain without this explicit suppression +set(CPACK_RPM_SPEC_MORE_DEFINE "%define ignore \#") +set(CPACK_RPM_USER_FILELIST + "%ignore /" + "%ignore /usr" + "%ignore /usr/bin" + "%ignore /usr/lib" + "%ignore /usr/share" + "%ignore /usr/include" + "%ignore /usr/src" + "%ignore /usr/doc" + "%ignore /usr/lib64") +set(CPACK_RPM_EXCLUDE_FROM_AUTO_FILELIST + "/" + "/usr" + "/usr/bin" + "/usr/lib" + "/usr/share" + "/usr/include" + "/usr/src" + "/usr/doc" + "/usr/lib64") + + +configure_file("${CMAKE_CURRENT_SOURCE_DIR}/opae.spec.in" "${CMAKE_CURRENT_BINARY_DIR}/opae.spec" @ONLY) +set(CPACK_RPM_USER_BINARY_SPECFILE "${CMAKE_CURRENT_BINARY_DIR}/opae.spec") +endif() + + +# Source code packaging target +if(CPACK_GENERATOR STREQUAL "TGZ") +set(CPACK_SOURCE_GENERATOR "TGZ") +if(HASH_ARCHIVES) + set(CPACK_SOURCE_PACKAGE_FILE_NAME + "${CMAKE_PROJECT_NAME}-${CPACK_PACKAGE_VERSION_MAJOR}.${CPACK_PACKAGE_VERSION_MINOR}.${CPACK_PACKAGE_VERSION_PATCH}-${CPACK_PACKAGE_RELEASE}_git${GIT_COMMIT_HASH}") + set(DEFINE_RPM_NAME "%define _rpmfilename %%{ARCH}/%%{NAME}-%%{VERSION}-%%{RELEASE}_git${GIT_COMMIT_HASH}.%%{ARCH}.rpm") +else() + set(CPACK_SOURCE_PACKAGE_FILE_NAME + "${CMAKE_PROJECT_NAME}-${CPACK_PACKAGE_VERSION_MAJOR}.${CPACK_PACKAGE_VERSION_MINOR}.${CPACK_PACKAGE_VERSION_PATCH}-${CPACK_PACKAGE_RELEASE}") + set(DEFINE_RPM_NAME "") +endif() + +# Ignore following files in the final package +set(CPACK_SOURCE_IGNORE_FILES + "/mybuild/" + "/build/" + "/.git" + "~$" + ${CPACK_SOURCE_IGNORE_FILES}) +endif() + +#Target to generate tarball +add_custom_target(dist COMMAND ${CMAKE_MAKE_PROGRAM} package_source) + +if(HASH_ARCHIVES) +#Target to generate RPM package + add_custom_target(package_rpm + COMMAND ${CMAKE_MAKE_PROGRAM} + COMMAND ${CMAKE_CPACK_COMMAND} + COMMAND ${CMAKE_COMMAND} -E copy_directory ${CMAKE_BINARY_DIR}/_CPack_Packages/Linux/RPM/RPMS/x86_64 ${CMAKE_CURRENT_BINARY_DIR} + COMMAND ${CMAKE_COMMAND} -E rename ${CMAKE_CURRENT_BINARY_DIR}/opae-${CPACK_PACKAGE_VERSION}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}.rpm ${CMAKE_CURRENT_BINARY_DIR}/opae-${CPACK_PACKAGE_VERSION_MAJOR}.${CPACK_PACKAGE_VERSION_MINOR}.${CPACK_PACKAGE_VERSION_PATCH}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}-git${GIT_COMMIT_HASH}.rpm + COMMAND ${CMAKE_COMMAND} -E rename ${CMAKE_CURRENT_BINARY_DIR}/opae-libs-${CPACK_PACKAGE_VERSION}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}.rpm ${CMAKE_CURRENT_BINARY_DIR}/opae-libs-${CPACK_PACKAGE_VERSION_MAJOR}.${CPACK_PACKAGE_VERSION_MINOR}.${CPACK_PACKAGE_VERSION_PATCH}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}-git${GIT_COMMIT_HASH}.rpm + COMMAND ${CMAKE_COMMAND} -E rename ${CMAKE_CURRENT_BINARY_DIR}/opae-devel-${CPACK_PACKAGE_VERSION}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}.rpm ${CMAKE_CURRENT_BINARY_DIR}/opae-devel-${CPACK_PACKAGE_VERSION_MAJOR}.${CPACK_PACKAGE_VERSION_MINOR}.${CPACK_PACKAGE_VERSION_PATCH}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}-git${GIT_COMMIT_HASH}.rpm + COMMAND ${CMAKE_COMMAND} -E rename ${CMAKE_CURRENT_BINARY_DIR}/opae-tests-${CPACK_PACKAGE_VERSION}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}.rpm ${CMAKE_CURRENT_BINARY_DIR}/opae-tests-${CPACK_PACKAGE_VERSION_MAJOR}.${CPACK_PACKAGE_VERSION_MINOR}.${CPACK_PACKAGE_VERSION_PATCH}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}-git${GIT_COMMIT_HASH}.rpm + COMMAND ${CMAKE_COMMAND} -E rename ${CMAKE_CURRENT_BINARY_DIR}/opae-tools-${CPACK_PACKAGE_VERSION}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}.rpm ${CMAKE_CURRENT_BINARY_DIR}/opae-tools-${CPACK_PACKAGE_VERSION_MAJOR}.${CPACK_PACKAGE_VERSION_MINOR}.${CPACK_PACKAGE_VERSION_PATCH}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}-git${GIT_COMMIT_HASH}.rpm + COMMAND ${CMAKE_COMMAND} -E rename ${CMAKE_CURRENT_BINARY_DIR}/opae-tools-extra-${CPACK_PACKAGE_VERSION}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}.rpm ${CMAKE_CURRENT_BINARY_DIR}/opae-tools-extra-${CPACK_PACKAGE_VERSION_MAJOR}.${CPACK_PACKAGE_VERSION_MINOR}.${CPACK_PACKAGE_VERSION_PATCH}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}-git${GIT_COMMIT_HASH}.rpm + COMMAND ${CMAKE_COMMAND} -E rename ${CMAKE_CURRENT_BINARY_DIR}/opae-ase-${CPACK_PACKAGE_VERSION}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}.rpm ${CMAKE_CURRENT_BINARY_DIR}/opae-ase-${CPACK_PACKAGE_VERSION_MAJOR}.${CPACK_PACKAGE_VERSION_MINOR}.${CPACK_PACKAGE_VERSION_PATCH}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}-git${GIT_COMMIT_HASH}.rpm + ) +else() + add_custom_target(package_rpm + COMMAND ${CMAKE_MAKE_PROGRAM} + COMMAND ${CMAKE_CPACK_COMMAND} + COMMAND ${CMAKE_COMMAND} -E copy_directory ${CMAKE_BINARY_DIR}/_CPack_Packages/Linux/RPM/RPMS/x86_64 ${CMAKE_CURRENT_BINARY_DIR} + ) +endif() + +if(HASH_ARCHIVES) +#Target to generate DEB package + add_custom_target(package_deb + COMMAND ${CMAKE_MAKE_PROGRAM} + COMMAND ${CMAKE_CPACK_COMMAND} + COMMAND ${CMAKE_COMMAND} -E rename ${CMAKE_CURRENT_BINARY_DIR}/opae-${CPACK_PACKAGE_VERSION_MAJOR}.${CPACK_PACKAGE_VERSION_MINOR}.${CPACK_PACKAGE_VERSION_PATCH}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}-git${GIT_COMMIT_HASH}-libs.deb ${CMAKE_CURRENT_BINARY_DIR}/opae-libs-${CPACK_PACKAGE_VERSION_MAJOR}.${CPACK_PACKAGE_VERSION_MINOR}.${CPACK_PACKAGE_VERSION_PATCH}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}-git${GIT_COMMIT_HASH}.deb + COMMAND ${CMAKE_COMMAND} -E rename ${CMAKE_CURRENT_BINARY_DIR}/opae-${CPACK_PACKAGE_VERSION_MAJOR}.${CPACK_PACKAGE_VERSION_MINOR}.${CPACK_PACKAGE_VERSION_PATCH}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}-git${GIT_COMMIT_HASH}-devel.deb ${CMAKE_CURRENT_BINARY_DIR}/opae-devel-${CPACK_PACKAGE_VERSION_MAJOR}.${CPACK_PACKAGE_VERSION_MINOR}.${CPACK_PACKAGE_VERSION_PATCH}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}-git${GIT_COMMIT_HASH}.deb + COMMAND ${CMAKE_COMMAND} -E rename ${CMAKE_CURRENT_BINARY_DIR}/opae-${CPACK_PACKAGE_VERSION_MAJOR}.${CPACK_PACKAGE_VERSION_MINOR}.${CPACK_PACKAGE_VERSION_PATCH}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}-git${GIT_COMMIT_HASH}-tests.deb ${CMAKE_CURRENT_BINARY_DIR}/opae-tests-${CPACK_PACKAGE_VERSION_MAJOR}.${CPACK_PACKAGE_VERSION_MINOR}.${CPACK_PACKAGE_VERSION_PATCH}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}-git${GIT_COMMIT_HASH}.deb + COMMAND ${CMAKE_COMMAND} -E rename ${CMAKE_CURRENT_BINARY_DIR}/opae-${CPACK_PACKAGE_VERSION_MAJOR}.${CPACK_PACKAGE_VERSION_MINOR}.${CPACK_PACKAGE_VERSION_PATCH}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}-git${GIT_COMMIT_HASH}-tools.deb ${CMAKE_CURRENT_BINARY_DIR}/opae-tools-${CPACK_PACKAGE_VERSION_MAJOR}.${CPACK_PACKAGE_VERSION_MINOR}.${CPACK_PACKAGE_VERSION_PATCH}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}-git${GIT_COMMIT_HASH}.deb + COMMAND ${CMAKE_COMMAND} -E rename ${CMAKE_CURRENT_BINARY_DIR}/opae-${CPACK_PACKAGE_VERSION_MAJOR}.${CPACK_PACKAGE_VERSION_MINOR}.${CPACK_PACKAGE_VERSION_PATCH}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}-git${GIT_COMMIT_HASH}-tools-extra.deb ${CMAKE_CURRENT_BINARY_DIR}/opae-tools-extra-${CPACK_PACKAGE_VERSION_MAJOR}.${CPACK_PACKAGE_VERSION_MINOR}.${CPACK_PACKAGE_VERSION_PATCH}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}-git${GIT_COMMIT_HASH}.deb + COMMAND ${CMAKE_COMMAND} -E rename ${CMAKE_CURRENT_BINARY_DIR}/opae-${CPACK_PACKAGE_VERSION_MAJOR}.${CPACK_PACKAGE_VERSION_MINOR}.${CPACK_PACKAGE_VERSION_PATCH}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}-git${GIT_COMMIT_HASH}-ase.deb ${CMAKE_CURRENT_BINARY_DIR}/opae-ase-${CPACK_PACKAGE_VERSION_MAJOR}.${CPACK_PACKAGE_VERSION_MINOR}.${CPACK_PACKAGE_VERSION_PATCH}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}-git${GIT_COMMIT_HASH}.deb + + ) +else() + add_custom_target(package_deb + COMMAND ${CMAKE_MAKE_PROGRAM} + COMMAND ${CMAKE_CPACK_COMMAND} + COMMAND ${CMAKE_COMMAND} -E rename ${CMAKE_CURRENT_BINARY_DIR}/opae-${CPACK_PACKAGE_VERSION}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}-libs.deb ${CMAKE_CURRENT_BINARY_DIR}/opae-libs-${CPACK_PACKAGE_VERSION}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}.deb + COMMAND ${CMAKE_COMMAND} -E rename ${CMAKE_CURRENT_BINARY_DIR}/opae-${CPACK_PACKAGE_VERSION}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}-devel.deb ${CMAKE_CURRENT_BINARY_DIR}/opae-devel-${CPACK_PACKAGE_VERSION}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}.deb + COMMAND ${CMAKE_COMMAND} -E rename ${CMAKE_CURRENT_BINARY_DIR}/opae-${CPACK_PACKAGE_VERSION}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}-tests.deb ${CMAKE_CURRENT_BINARY_DIR}/opae-tests-${CPACK_PACKAGE_VERSION}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}.deb + COMMAND ${CMAKE_COMMAND} -E rename ${CMAKE_CURRENT_BINARY_DIR}/opae-${CPACK_PACKAGE_VERSION}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}-tools.deb ${CMAKE_CURRENT_BINARY_DIR}/opae-tools-${CPACK_PACKAGE_VERSION}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}.deb + COMMAND ${CMAKE_COMMAND} -E rename ${CMAKE_CURRENT_BINARY_DIR}/opae-${CPACK_PACKAGE_VERSION}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}-tools-extra.deb ${CMAKE_CURRENT_BINARY_DIR}/opae-tools-extra-${CPACK_PACKAGE_VERSION}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}.deb + COMMAND ${CMAKE_COMMAND} -E rename ${CMAKE_CURRENT_BINARY_DIR}/opae-${CPACK_PACKAGE_VERSION}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}-ase.deb ${CMAKE_CURRENT_BINARY_DIR}/opae-ase-${CPACK_PACKAGE_VERSION}-${CPACK_PACKAGE_RELEASE}.${CMAKE_SYSTEM_PROCESSOR}.deb + ) +endif() +include(CPack) diff --git a/CONTRIBUTING.md b/CONTRIBUTING.md new file mode 100644 index 0000000..a04be59 --- /dev/null +++ b/CONTRIBUTING.md @@ -0,0 +1,88 @@ +# Contributing + +## Issues + +We track OPAE design and development issues, bugs, and feature requests in +the [GitHub issue tracker](https://github.com/OPAE/opae-sdk/issues). For +usage, installation, or other requests for help, please use the [OPAE mailing +list](https://lists.01.org/postorius/lists/opae.lists.01.org) instead. + +When reporting a bug, please provide the following information, where +applicable: + +* What are the steps to reproduce the bug? +* Can you reproduce the bug using the latest [master](https://github.com/OPAE/opae-sdk/tree/master)? +* What CPU, platform, operating system/distribution, and FPGA board/device (if any) are you running? The more specific, the better. +* For crashes, please provide the backtrace (use gdb). + +### Contribution Guide + +We accept contributions as pull requests on GitHub. More detailed guidelines +will be added later. Please follow these simple rules for now: + +* A PR should have a clear purpose, and do one thing only, and nothing more. This will enable us review your PR more quickly. +* Each commit in the PR should be a small, atomic change representing one step in development. +* Please squash intermediate steps within PR for bugfixes, style cleanups, reversions, etc., so they would not appear in merged PR history. +* Please explain anything non-obvious from the code in comments, commit messages, or the PR description, as appropriate. +* For extra brownie points, have a look at https://chris.beams.io/posts/git-commit/ (not required, but appreciated). + +### License + +The OPAE SDK is licensed under the terms in +[COPYING](https://github.com/OPAE/opae-sdk/blob/master/COPYING). By +contributing to the project, you agree to the license and copyright terms +therein and release your contribution under these terms. + +### Sign Your Work + +Please use the sign-off line at the end of the patch. Your signature +certifies that you wrote the patch or otherwise have the right to pass it on +as an open-source patch. The rules are pretty simple: if you can certify +the below (from [developercertificate.org](http://developercertificate.org/)): + +``` +Developer Certificate of Origin +Version 1.1 + +Copyright (C) 2004, 2006 The Linux Foundation and its contributors. +660 York Street, Suite 102, +San Francisco, CA 94110 USA + +Everyone is permitted to copy and distribute verbatim copies of this +license document, but changing it is not allowed. + +Developer's Certificate of Origin 1.1 + +By making a contribution to this project, I certify that: + +(a) The contribution was created in whole or in part by me and I + have the right to submit it under the open source license + indicated in the file; or + +(b) The contribution is based upon previous work that, to the best + of my knowledge, is covered under an appropriate open source + license and I have the right under that license to submit that + work with modifications, whether created in whole or in part + by me, under the same open source license (unless I am + permitted to submit under a different license), as indicated + in the file; or + +(c) The contribution was provided directly to me by some other + person who certified (a), (b) or (c) and I have not modified + it. + +(d) I understand and agree that this project and the contribution + are public and that a record of the contribution (including all + personal information I submit with it, including my sign-off) is + maintained indefinitely and may be redistributed consistent with + this project or the open source license(s) involved. +``` + +Then you just add a line to every git commit message: + + Signed-off-by: Joe Smith + +Use your real name (sorry, no pseudonyms or anonymous contributions.) + +If you set your `user.name` and `user.email` git configs, you can sign your +commit automatically with `git commit -s`. diff --git a/COPYING b/COPYING new file mode 100644 index 0000000..fca8ab6 --- /dev/null +++ b/COPYING @@ -0,0 +1,68 @@ +If not otherwise noted in the individual file header, the OPAE SDK source code, +located in the directories + + * ase, + * cmake, + * common/include/opae, + * doc, + * libopae, + * samples, and + * tools, + +is distributed under the following license: + + Copyright(c) 2017, Intel Corporation + + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + + * Redistributions of source code must retain the above copyright notice, + this list of conditions and the following disclaimer. + * Redistributions in binary form must reproduce the above copyright notice, + this list of conditions and the following disclaimer in the documentation + and/or other materials provided with the distribution. + * Neither the name of Intel Corporation nor the names of its contributors + may be used to endorse or promote products derived from this software + without specific prior written permission. + + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + + +This repository also contains a copy of the safe_string library in the +directories + + * common/include/safe_string and + * safe_string, + +which is distributed under the following license: + + Permission is hereby granted, free of charge, to any person + obtaining a copy of this software and associated documentation + files (the "Software"), to deal in the Software without + restriction, including without limitation the rights to use, + copy, modify, merge, publish, distribute, sublicense, and/or + sell copies of the Software, and to permit persons to whom the + Software is furnished to do so, subject to the following + conditions: + + The above copyright notice and this permission notice shall be + included in all copies or substantial portions of the Software. + + THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, + EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES + OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND + NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT + HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, + WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING + FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + OTHER DEALINGS IN THE SOFTWARE. \ No newline at end of file diff --git a/LICENSE b/LICENSE new file mode 100644 index 0000000..54a206d --- /dev/null +++ b/LICENSE @@ -0,0 +1,27 @@ +Copyright(c) 2019-2020 Intel Corporation + +Redistribution and use in source and binary forms, with or without +modification, are permitted provided that the following conditions are met: + +1. Redistributions of source code must retain the above copyright notice, + this list of conditions and the following disclaimer. + +2. Redistributions in binary form must reproduce the above copyright notice, + this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + +3. Neither the name of the copyright holder nor the names of its + contributors may be used to endorse or promote products derived from + this software without specific prior written permission. + +THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE +LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +POSSIBILITY OF SUCH DAMAGE. diff --git a/README.md b/README.md new file mode 100644 index 0000000..1ced8f6 --- /dev/null +++ b/README.md @@ -0,0 +1,22 @@ +Welcome to the OPAE SDK source code repository +============================================== + +[![Build Status](https://travis-ci.org/OPAE/opae-sdk.svg?branch=master)](https://travis-ci.org/OPAE/opae-sdk) +[![Coverage Status](https://coveralls.io/repos/github/OPAE/opae-sdk/badge.svg?branch=master)](https://coveralls.io/github/OPAE/opae-sdk?branch=master) + + +OPAE is the Open Programmable Acceleration Engine, a software framework for +managing and accessing programmable accelerators (FPGAs). + +The OPAE SDK is a collection of libraries and tools to facilitate the +development of software applications and accelerators using OPAE. + +General documentation on OPAE can be found at . + +The OPAE mailing list is available at +. + +The OPAE SDK source code is hosted at . + +Please use the issue tracker at to +report bugs. diff --git a/RELEASE_NOTES.md b/RELEASE_NOTES.md new file mode 100644 index 0000000..365c0c7 --- /dev/null +++ b/RELEASE_NOTES.md @@ -0,0 +1,66 @@ +Open Programmable Acceleration Engine +----------------------------------------------------------------- + +Open Programmable Acceleration Engine (OPAE) is a software framework for managing and accessing programmable accelerators (FPGAs). Its main parts are: + +- OPAE Software Development Kit (OPAE SDK), + +- OPAE Linux driver for Intel(R) Xeon(R) CPU with Integrated FPGAs and Intel(R) PAC with Arria(R) 10 GX FPGA + +- Basic Building Block (BBB) library for accelerating AFU + development (not part of this release, but pre-release code is + available on GitHub: https://github.com/OPAE/intel-fpga-bbb + +OPAE is under active development to extend to more hardware platforms, as well as to build up the software stack with additional abstractions to enable more software developers. + +OPAE SDK is a collection of libraries and tools to facilitate the development of software applications and accelerators using OPAE. It provides a library implementing the OPAE C API for presenting a streamlined and easy-to-use interface for software applications to discover, access, and manage FPGA devices and accelerators using the OPAE software stack. The OPAE SDK also includes the AFU Simulation Environment (ASE) for end-to-end simulation of accelerator RTL together with software applications using the OPAE C API. + +OPAE's goal is to accelerate FPGA adoption. It is a community effort to simplify the development and deployment of FPGA applications, so we explicitly welcome discussions and contributions! The OPAE SDK source, unless otherwise noted, is released under a BSD 3-clause license. + +More information about OPAE can be found +at http://01.org/OPAE. + +Open Programmable Acceleration Engine (OPAE) 1.4.1 Release Notes +----------------------------------------------------------------- + +OPAE 1.4.1 release provides SDK and tools that have been incorporated into Fedora to support FPGA kernel driver that is upstreamed to Linux 5.6 kernel as of March 2020. The main features that this package and the driver include are: + +- Basic functionalities including PR (Programmable Region?), PCIe, FME (FPGA Management Engine), and AFU (Accelerator Functional Unit) +- SRIOV, Error Handling, User Clock + The driver can be found here: + https://git.kernel.org/pub/scm/linux/kernel/git/stable/linux.git/tree/drivers?h=linux-5.6.y + + +System Compatibility +-------------------- + +- Hardware: tightly coupled FPGA products and programmable FPGA acceleration cards for Intel(R) Xeon(R) processors: + Intel(R) PAC with Arria(R) 10 GX FPGA (PCI ID: 0x09c4) FIM version 1.1.2-1 (1.2 Production) +- Operating System: Tested on Fedora 31 with Linux Kernel 5.6 + + +Major Changes from 1.4.0 to 1.4.1 +---------------------------------- + +- OPAE git repository layout changes + + The opae-sdk repository has been reorganized into five total repositories. + The following table describes the repositories and how they are integrated into opae-sdk. + +| Repository | Description | Integration | +| :--- | :----: | ---: | +| opae-sdk | Contains tools built on top of OPAE libraries and/or kernel interfaces. | Master repository. | +| opae-libs | Contains libraries that implement the OPAE APIs. | Added as a git subtree to opae-sdk/opae-libs. | +| opae-legacy | Contains legacy tools designed for Skylake + FPGA platforms. | Added as an external project in CMake. | +| opae-sim | Contains simulation projects like ASE. | Added as an external project in CMake. | +| opae-test | Contains mock framework for unit tests. | Added as an external project in CMake. | + + +- Removed Safe String module dependency +- Removed pybind11 3rd component from OPAE source repository. pybind11 is now dynamically loaded +- Ported python tools to python3.6 + + +Notes / Known Issues +-------------------- +- This release supports FPGA driver that has been upstreamed to the Linux Kernel 5.6 as of March, 2020. The driver does not support all FPGA features available on Intel(R) PAC with Arria(R) 10 GX FPGA cards or IntelĀ® FPGA Programmable Acceleration Card N3000 cards. diff --git a/cmake/FindSphinx.cmake b/cmake/FindSphinx.cmake new file mode 100644 index 0000000..f17fc25 --- /dev/null +++ b/cmake/FindSphinx.cmake @@ -0,0 +1,54 @@ +## Copyright(c) 2017, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +set(_python_paths) +find_package(PythonInterp) +if(PYTHON_EXECUTABLE) + get_filename_component(_python_dir "${PYTHON_EXECUTABLE}" DIRECTORY) + list(APPEND _python_paths + "${_python_dir}" + "${_python_dir}/Scripts") +endif() + + +find_program(SPHINX_EXECUTABLE + NAMES + sphinx-build + HINTS + ${_python_paths} + PATHS + /usr/bin + /usr/local/bin + /opt/local/bin + DOC "Sphinx documentation generator") + +include(FindPackageHandleStandardArgs) + +find_package_handle_standard_args(Sphinx + DEFAULT_MSG + SPHINX_EXECUTABLE) + +mark_as_advanced(SPHINX_EXECUTABLE) diff --git a/doc/CMakeLists.txt b/doc/CMakeLists.txt new file mode 100644 index 0000000..bc9fdfa --- /dev/null +++ b/doc/CMakeLists.txt @@ -0,0 +1,35 @@ +## Copyright(c) 2017-2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +find_package(Doxygen) +if(DOXYGEN_FOUND) + add_subdirectory(doxygen) +endif(DOXYGEN_FOUND) + +find_package(Sphinx) +if(OPAE_BUILD_SPHINX_DOC AND SPHINX_EXECUTABLE) + add_subdirectory(sphinx) +endif(OPAE_BUILD_SPHINX_DOC AND SPHINX_EXECUTABLE) diff --git a/doc/doxygen/CMakeLists.txt b/doc/doxygen/CMakeLists.txt new file mode 100644 index 0000000..33457bc --- /dev/null +++ b/doc/doxygen/CMakeLists.txt @@ -0,0 +1,88 @@ +## Copyright(c) 2017, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +find_package(Perl) +set(PACKAGE_VERSION "${CMAKE_VERSION_MAJOR}.${CMAKE_VERSION_MINOR}.${CMAKE_VERSION_PATCH}") +set(PACKAGE ${CMAKE_PROJECT}) +set(ABS_SRCDIR ${OPAE_SDK_SOURCE}) +set(DOX_EXTRACT_ALL YES) +set(DOX_OUTPUT_DIRECTORY ${CMAKE_BINARY_DIR}/doc) +set(DOX_STRIP_FROM_PATH ${OPAE_SDK_SOURCE}) +set(DOX_STRIP_FROM_INC_PATH ${FPGA_INCLUDE_DIR}) +set(DOX_GENERATETODOLIST NO) +set(DOX_GENERATETESTLIST YES) +set(DOX_GENERATEBUGLIST NO) +set(DOX_GENERATEDEPRECATEDLIST YES) +set(DOX_LAYOUT_FILE ${CMAKE_CURRENT_SOURCE_DIR}/DoxygenLayout.xml ) +set(DOX_WARNINGS YES) +set(DOX_GENERATE_HTML YES) +set(DOX_GENERATE_LATEX YES) +set(DOX_USE_PDFLATEX NO) +set(DOX_GENERATE_RTF NO) +set(DOX_GENERATE_MAN YES) +set(DOX_GENERATE_XML YES) +set(DOX_GENERATE_TAGFILE ${CMAKE_BINARY_DIR}/doc/doxygen_sdk.tag) + +if(PERL_FOUND) + set(PERL ${PERL_EXECUTABLE}) +endif(PERL_FOUND) + +if(DOXYGEN_DOT_FOUND) + set(HAVE_DOT YES) +else(DOXYGEN_DOT_FOUND) + set(HAVE_DOT NO) +endif(DOXYGEN_DOT_FOUND) + +configure_file(${CMAKE_CURRENT_SOURCE_DIR}/Doxyfile.in + ${CMAKE_CURRENT_BINARY_DIR}/Doxyfile @ONLY) + +add_custom_target(doxygen + ${DOXYGEN_EXECUTABLE} ${CMAKE_CURRENT_BINARY_DIR}/Doxyfile + WORKING_DIRECTORY ${CMAKE_CURRENT_BINARY_DIR} + COMMENT "Generating API docs" VERBATIM + SOURCES ${CMAKE_CURRENT_BINARY_DIR}/Doxyfile) + + +if(DOX_GENERATE_HTML) + file(MAKE_DIRECTORY ${CMAKE_BINARY_DIR}/doc/html) + install(DIRECTORY ${CMAKE_BINARY_DIR}/doc/html DESTINATION share/opae COMPONENT dochtml) +endif() +if(DOX_GENERATE_LATEX) + file(MAKE_DIRECTORY ${CMAKE_BINARY_DIR}/doc/latex) + install(DIRECTORY ${CMAKE_BINARY_DIR}/doc/latex DESTINATION share/opae COMPONENT doclatex) +endif() +if(DOX_GENERATE_RTF) + file(MAKE_DIRECTORY ${CMAKE_BINARY_DIR}/doc/rtf) + install(DIRECTORY ${CMAKE_BINARY_DIR}/doc/rtf DESTINATION share/opae COMPONENT docrtf) +endif() +if(DOX_GENERATE_MAN) + file(MAKE_DIRECTORY ${CMAKE_BINARY_DIR}/doc/man) + install(DIRECTORY ${CMAKE_BINARY_DIR}/doc/man DESTINATION share/opae COMPONENT docman) +endif() +if(DOX_GENERATE_XML) + file(MAKE_DIRECTORY ${CMAKE_BINARY_DIR}/doc/xml) + install(DIRECTORY ${CMAKE_BINARY_DIR}/doc/xml DESTINATION share/opae COMPONENT docxml) +endif() diff --git a/doc/doxygen/Doxyfile.in b/doc/doxygen/Doxyfile.in new file mode 100644 index 0000000..3ec1cec --- /dev/null +++ b/doc/doxygen/Doxyfile.in @@ -0,0 +1,1765 @@ +# Doxyfile 1.8.5 + +# This file describes the settings to be used by the documentation system +# doxygen (www.doxygen.org) for a project. +# +# All text after a hash (#) is considered a comment and will be ignored. +# The format is: +# TAG = value [value, ...] +# For lists items can also be appended using: +# TAG += value [value, ...] +# Values that contain spaces should be placed between quotes (" "). + +#--------------------------------------------------------------------------- +# Project related configuration options +#--------------------------------------------------------------------------- + +# This tag specifies the encoding used for all characters in the config file +# that follow. The default is UTF-8 which is also the encoding used for all +# text before the first occurrence of this tag. Doxygen uses libiconv (or the +# iconv built into libc) for the transcoding. See +# http://www.gnu.org/software/libiconv for the list of possible encodings. + +DOXYFILE_ENCODING = UTF-8 + +# The PROJECT_NAME tag is a single word (or sequence of words) that should +# identify the project. Note that if you do not use Doxywizard you need +# to put quotes around the project name if it contains spaces. + +PROJECT_NAME = "OPAE C API" + +# The PROJECT_NUMBER tag can be used to enter a project or revision number. +# This could be handy for archiving the generated documentation or +# if some version control system is used. + +PROJECT_NUMBER = @PACKAGE@-@PACKAGE_VERSION@ + +# Using the PROJECT_BRIEF tag one can provide an optional one line description +# for a project that appears at the top of each page and should give viewer +# a quick idea about the purpose of the project. Keep the description short. + +PROJECT_BRIEF = "FPGA API" + +# With the PROJECT_LOGO tag one can specify an logo or icon that is +# included in the documentation. The maximum height of the logo should not +# exceed 55 pixels and the maximum width should not exceed 200 pixels. +# Doxygen will copy the logo to the output directory. + +PROJECT_LOGO = + +# The OUTPUT_DIRECTORY tag is used to specify the (relative or absolute) +# base path where the generated documentation will be put. +# If a relative path is entered, it will be relative to the location +# where doxygen was started. If left blank the current directory will be used. + +OUTPUT_DIRECTORY = @DOX_OUTPUT_DIRECTORY@ + +# If the CREATE_SUBDIRS tag is set to YES, then doxygen will create +# 4096 sub-directories (in 2 levels) under the output directory of each output +# format and will distribute the generated files over these directories. +# Enabling this option can be useful when feeding doxygen a huge amount of +# source files, where putting all generated files in the same directory would +# otherwise cause performance problems for the file system. + +CREATE_SUBDIRS = NO + +# The OUTPUT_LANGUAGE tag is used to specify the language in which all +# documentation generated by doxygen is written. Doxygen will use this +# information to generate all constant output in the proper language. +# The default language is English, other supported languages are: +# Afrikaans, Arabic, Brazilian, Catalan, Chinese, Chinese-Traditional, +# Croatian, Czech, Danish, Dutch, Esperanto, Farsi, Finnish, French, German, +# Greek, Hungarian, Italian, Japanese, Japanese-en (Japanese with English +# messages), Korean, Korean-en, Lithuanian, Norwegian, Macedonian, Persian, +# Polish, Portuguese, Romanian, Russian, Serbian, Serbian-Cyrillic, Slovak, +# Slovene, Spanish, Swedish, Ukrainian, and Vietnamese. + +OUTPUT_LANGUAGE = English + +# If the BRIEF_MEMBER_DESC tag is set to YES (the default) Doxygen will +# include brief member descriptions after the members that are listed in +# the file and class documentation (similar to JavaDoc). +# Set to NO to disable this. + +BRIEF_MEMBER_DESC = YES + +# If the REPEAT_BRIEF tag is set to YES (the default) Doxygen will prepend +# the brief description of a member or function before the detailed description. +# Note: if both HIDE_UNDOC_MEMBERS and BRIEF_MEMBER_DESC are set to NO, the +# brief descriptions will be completely suppressed. + +REPEAT_BRIEF = YES + +# This tag implements a quasi-intelligent brief description abbreviator +# that is used to form the text in various listings. Each string +# in this list, if found as the leading text of the brief description, will be +# stripped from the text and the result after processing the whole list, is +# used as the annotated text. Otherwise, the brief description is used as-is. +# If left blank, the following values are used ("$name" is automatically +# replaced with the name of the entity): "The $name class" "The $name widget" +# "The $name file" "is" "provides" "specifies" "contains" +# "represents" "a" "an" "the" + +ABBREVIATE_BRIEF = + +# If the ALWAYS_DETAILED_SEC and REPEAT_BRIEF tags are both set to YES then +# Doxygen will generate a detailed section even if there is only a brief +# description. + +ALWAYS_DETAILED_SEC = NO + +# If the INLINE_INHERITED_MEMB tag is set to YES, doxygen will show all +# inherited members of a class in the documentation of that class as if those +# members were ordinary class members. Constructors, destructors and assignment +# operators of the base classes will not be shown. + +INLINE_INHERITED_MEMB = NO + +# If the FULL_PATH_NAMES tag is set to YES then Doxygen will prepend the full +# path before files name in the file list and in the header files. If set +# to NO the shortest path that makes the file name unique will be used. + +FULL_PATH_NAMES = YES + +# If the FULL_PATH_NAMES tag is set to YES then the STRIP_FROM_PATH tag +# can be used to strip a user-defined part of the path. Stripping is +# only done if one of the specified strings matches the left-hand part of +# the path. The tag can be used to show relative paths in the file list. +# If left blank the directory from which doxygen is run is used as the +# path to strip. + +STRIP_FROM_PATH = @DOX_STRIP_FROM_PATH@ + +# The STRIP_FROM_INC_PATH tag can be used to strip a user-defined part of +# the path mentioned in the documentation of a class, which tells +# the reader which header file to include in order to use a class. +# If left blank only the name of the header file containing the class +# definition is used. Otherwise one should specify the include paths that +# are normally passed to the compiler using the -I flag. + +STRIP_FROM_INC_PATH = @DOX_STRIP_FROM_INC_PATH@ + +# If the SHORT_NAMES tag is set to YES, doxygen will generate much shorter +# (but less readable) file names. This can be useful if your file system +# doesn't support long names like on DOS, Mac, or CD-ROM. + +SHORT_NAMES = NO + +# If the JAVADOC_AUTOBRIEF tag is set to YES then Doxygen +# will interpret the first line (until the first dot) of a JavaDoc-style +# comment as the brief description. If set to NO, the JavaDoc +# comments will behave just like regular Qt-style comments +# (thus requiring an explicit @brief command for a brief description.) + +JAVADOC_AUTOBRIEF = NO + +# If the QT_AUTOBRIEF tag is set to YES then Doxygen will +# interpret the first line (until the first dot) of a Qt-style +# comment as the brief description. If set to NO, the comments +# will behave just like regular Qt-style comments (thus requiring +# an explicit \brief command for a brief description.) + +QT_AUTOBRIEF = NO + +# The MULTILINE_CPP_IS_BRIEF tag can be set to YES to make Doxygen +# treat a multi-line C++ special comment block (i.e. a block of //! or /// +# comments) as a brief description. This used to be the default behaviour. +# The new default is to treat a multi-line C++ comment block as a detailed +# description. Set this tag to YES if you prefer the old behaviour instead. + +MULTILINE_CPP_IS_BRIEF = YES + +# If the INHERIT_DOCS tag is set to YES (the default) then an undocumented +# member inherits the documentation from any documented member that it +# re-implements. + +INHERIT_DOCS = YES + +# If the SEPARATE_MEMBER_PAGES tag is set to YES, then doxygen will produce +# a new page for each member. If set to NO, the documentation of a member will +# be part of the file/class/namespace that contains it. + +SEPARATE_MEMBER_PAGES = NO + +# The TAB_SIZE tag can be used to set the number of spaces in a tab. +# Doxygen uses this value to replace tabs by spaces in code fragments. + +TAB_SIZE = 3 + +# This tag can be used to specify a number of aliases that acts +# as commands in the documentation. An alias has the form "name=value". +# For example adding "sideeffect=\par Side Effects:\n" will allow you to +# put the command \sideeffect (or @sideeffect) in the documentation, which +# will result in a user-defined paragraph with heading "Side Effects:". +# You can put \n's in the value part of an alias to insert newlines. + +ALIASES = + +# This tag can be used to specify a number of word-keyword mappings (TCL only). +# A mapping has the form "name=value". For example adding +# "class=itcl::class" will allow you to use the command class in the +# itcl::class meaning. + +TCL_SUBST = + +# Set the OPTIMIZE_OUTPUT_FOR_C tag to YES if your project consists of C +# sources only. Doxygen will then generate output that is more tailored for C. +# For instance, some of the names that are used will be different. The list +# of all members will be omitted, etc. + +OPTIMIZE_OUTPUT_FOR_C = YES + +# Set the OPTIMIZE_OUTPUT_JAVA tag to YES if your project consists of Java +# sources only. Doxygen will then generate output that is more tailored for +# Java. For instance, namespaces will be presented as packages, qualified +# scopes will look different, etc. + +OPTIMIZE_OUTPUT_JAVA = NO + +# Set the OPTIMIZE_FOR_FORTRAN tag to YES if your project consists of Fortran +# sources only. Doxygen will then generate output that is more tailored for +# Fortran. + +OPTIMIZE_FOR_FORTRAN = NO + +# Set the OPTIMIZE_OUTPUT_VHDL tag to YES if your project consists of VHDL +# sources. Doxygen will then generate output that is tailored for +# VHDL. + +OPTIMIZE_OUTPUT_VHDL = NO + +# Doxygen selects the parser to use depending on the extension of the files it +# parses. With this tag you can assign which parser to use for a given extension. +# Doxygen has a built-in mapping, but you can override or extend it using this +# tag. The format is ext=language, where ext is a file extension, and language +# is one of the parsers supported by doxygen: IDL, Java, Javascript, CSharp, C, +# C++, D, PHP, Objective-C, Python, Fortran, VHDL, C, C++. For instance to make +# doxygen treat .inc files as Fortran files (default is PHP), and .f files as C +# (default is Fortran), use: inc=Fortran f=C. Note that for custom extensions +# you also need to set FILE_PATTERNS otherwise the files are not read by doxygen. + +EXTENSION_MAPPING = + +# If you use STL classes (i.e. std::string, std::vector, etc.) but do not want +# to include (a tag file for) the STL sources as input, then you should +# set this tag to YES in order to let doxygen match functions declarations and +# definitions whose arguments contain STL classes (e.g. func(std::string); v.s. +# func(std::string) {}). This also makes the inheritance and collaboration +# diagrams that involve STL classes more complete and accurate. + +BUILTIN_STL_SUPPORT = YES + +# If you use Microsoft's C++/CLI language, you should set this option to YES to +# enable parsing support. + +CPP_CLI_SUPPORT = NO + +# Set the SIP_SUPPORT tag to YES if your project consists of sip sources only. +# Doxygen will parse them like normal C++ but will assume all classes use public +# instead of private inheritance when no explicit protection keyword is present. + +SIP_SUPPORT = NO + +# For Microsoft's IDL there are propget and propput attributes to indicate getter +# and setter methods for a property. Setting this option to YES (the default) +# will make doxygen replace the get and set methods by a property in the +# documentation. This will only work if the methods are indeed getting or +# setting a simple type. If this is not the case, or you want to show the +# methods anyway, you should set this option to NO. + +IDL_PROPERTY_SUPPORT = YES + +# If member grouping is used in the documentation and the DISTRIBUTE_GROUP_DOC +# tag is set to YES, then doxygen will reuse the documentation of the first +# member in the group (if any) for the other members of the group. By default +# all members of a group must be documented explicitly. + +DISTRIBUTE_GROUP_DOC = NO + +# Set the SUBGROUPING tag to YES (the default) to allow class member groups of +# the same type (for instance a group of public functions) to be put as a +# subgroup of that type (e.g. under the Public Functions section). Set it to +# NO to prevent subgrouping. Alternatively, this can be done per class using +# the \nosubgrouping command. + +SUBGROUPING = YES + +# When the INLINE_GROUPED_CLASSES tag is set to YES, classes, structs and +# unions are shown inside the group in which they are included (e.g. using +# @ingroup) instead of on a separate page (for HTML and Man pages) or +# section (for LaTeX and RTF). + +INLINE_GROUPED_CLASSES = YES + +# When the INLINE_SIMPLE_STRUCTS tag is set to YES, structs, classes, and +# unions with only public data fields will be shown inline in the documentation +# of the scope in which they are defined (i.e. file, namespace, or group +# documentation), provided this scope is documented. If set to NO (the default), +# structs, classes, and unions are shown on a separate page (for HTML and Man +# pages) or section (for LaTeX and RTF). + +INLINE_SIMPLE_STRUCTS = YES + +# When TYPEDEF_HIDES_STRUCT is enabled, a typedef of a struct, union, or enum +# is documented as struct, union, or enum with the name of the typedef. So +# typedef struct TypeS {} TypeT, will appear in the documentation as a struct +# with name TypeT. When disabled the typedef will appear as a member of a file, +# namespace, or class. And the struct will be named TypeS. This can typically +# be useful for C code in case the coding convention dictates that all compound +# types are typedef'ed and only the typedef is referenced, never the tag name. + +TYPEDEF_HIDES_STRUCT = YES + +# Similar to the SYMBOL_CACHE_SIZE the size of the symbol lookup cache can be +# set using LOOKUP_CACHE_SIZE. This cache is used to resolve symbols given +# their name and scope. Since this can be an expensive process and often the +# same symbol appear multiple times in the code, doxygen keeps a cache of +# pre-resolved symbols. If the cache is too small doxygen will become slower. +# If the cache is too large, memory is wasted. The cache size is given by this +# formula: 2^(16+LOOKUP_CACHE_SIZE). The valid range is 0..9, the default is 0, +# corresponding to a cache size of 2^16 = 65536 symbols. + +LOOKUP_CACHE_SIZE = 0 + +#--------------------------------------------------------------------------- +# Build related configuration options +#--------------------------------------------------------------------------- + +# If the EXTRACT_ALL tag is set to YES doxygen will assume all entities in +# documentation are documented, even if no documentation was available. +# Private class members and static file members will be hidden unless +# the EXTRACT_PRIVATE and EXTRACT_STATIC tags are set to YES + +EXTRACT_ALL = @DOX_EXTRACT_ALL@ + +# If the EXTRACT_PRIVATE tag is set to YES all private members of a class +# will be included in the documentation. + +EXTRACT_PRIVATE = YES + +# If the EXTRACT_STATIC tag is set to YES all static members of a file +# will be included in the documentation. + +EXTRACT_STATIC = YES + +# If the EXTRACT_LOCAL_CLASSES tag is set to YES classes (and structs) +# defined locally in source files will be included in the documentation. +# If set to NO only classes defined in header files are included. + +EXTRACT_LOCAL_CLASSES = YES + +# This flag is only useful for Objective-C code. When set to YES local +# methods, which are defined in the implementation section but not in +# the interface are included in the documentation. +# If set to NO (the default) only methods in the interface are included. + +EXTRACT_LOCAL_METHODS = YES + +# If this flag is set to YES, the members of anonymous namespaces will be +# extracted and appear in the documentation as a namespace called +# 'anonymous_namespace{file}', where file will be replaced with the base +# name of the file that contains the anonymous namespace. By default +# anonymous namespaces are hidden. + +EXTRACT_ANON_NSPACES = NO + +# If the HIDE_UNDOC_MEMBERS tag is set to YES, Doxygen will hide all +# undocumented members of documented classes, files or namespaces. +# If set to NO (the default) these members will be included in the +# various overviews, but no documentation section is generated. +# This option has no effect if EXTRACT_ALL is enabled. + +HIDE_UNDOC_MEMBERS = YES + +# If the HIDE_UNDOC_CLASSES tag is set to YES, Doxygen will hide all +# undocumented classes that are normally visible in the class hierarchy. +# If set to NO (the default) these classes will be included in the various +# overviews. This option has no effect if EXTRACT_ALL is enabled. + +HIDE_UNDOC_CLASSES = YES + +# If the HIDE_FRIEND_COMPOUNDS tag is set to YES, Doxygen will hide all +# friend (class|struct|union) declarations. +# If set to NO (the default) these declarations will be included in the +# documentation. + +HIDE_FRIEND_COMPOUNDS = NO + +# If the HIDE_IN_BODY_DOCS tag is set to YES, Doxygen will hide any +# documentation blocks found inside the body of a function. +# If set to NO (the default) these blocks will be appended to the +# function's detailed documentation block. + +HIDE_IN_BODY_DOCS = NO + +# The INTERNAL_DOCS tag determines if documentation +# that is typed after a \internal command is included. If the tag is set +# to NO (the default) then the documentation will be excluded. +# Set it to YES to include the internal documentation. + +INTERNAL_DOCS = NO + +# If the CASE_SENSE_NAMES tag is set to NO then Doxygen will only generate +# file names in lower-case letters. If set to YES upper-case letters are also +# allowed. This is useful if you have classes or files whose names only differ +# in case and if your file system supports case sensitive file names. Windows +# and Mac users are advised to set this option to NO. + +CASE_SENSE_NAMES = YES + +# If the HIDE_SCOPE_NAMES tag is set to NO (the default) then Doxygen +# will show members with their full class and namespace scopes in the +# documentation. If set to YES the scope will be hidden. + +HIDE_SCOPE_NAMES = NO + +# If the SHOW_INCLUDE_FILES tag is set to YES (the default) then Doxygen +# will put a list of the files that are included by a file in the documentation +# of that file. + +SHOW_INCLUDE_FILES = YES + +# If the FORCE_LOCAL_INCLUDES tag is set to YES then Doxygen +# will list include files with double quotes in the documentation +# rather than with sharp brackets. + +FORCE_LOCAL_INCLUDES = NO + +# If the INLINE_INFO tag is set to YES (the default) then a tag [inline] +# is inserted in the documentation for inline members. + +INLINE_INFO = YES + +# If the SORT_MEMBER_DOCS tag is set to YES (the default) then doxygen +# will sort the (detailed) documentation of file and class members +# alphabetically by member name. If set to NO the members will appear in +# declaration order. + +SORT_MEMBER_DOCS = NO + +# If the SORT_BRIEF_DOCS tag is set to YES then doxygen will sort the +# brief documentation of file, namespace and class members alphabetically +# by member name. If set to NO (the default) the members will appear in +# declaration order. + +SORT_BRIEF_DOCS = NO + +# If the SORT_MEMBERS_CTORS_1ST tag is set to YES then doxygen +# will sort the (brief and detailed) documentation of class members so that +# constructors and destructors are listed first. If set to NO (the default) +# the constructors will appear in the respective orders defined by +# SORT_MEMBER_DOCS and SORT_BRIEF_DOCS. +# This tag will be ignored for brief docs if SORT_BRIEF_DOCS is set to NO +# and ignored for detailed docs if SORT_MEMBER_DOCS is set to NO. + +SORT_MEMBERS_CTORS_1ST = YES + +# If the SORT_GROUP_NAMES tag is set to YES then doxygen will sort the +# hierarchy of group names into alphabetical order. If set to NO (the default) +# the group names will appear in their defined order. + +SORT_GROUP_NAMES = NO + +# If the SORT_BY_SCOPE_NAME tag is set to YES, the class list will be +# sorted by fully-qualified names, including namespaces. If set to +# NO (the default), the class list will be sorted only by class name, +# not including the namespace part. +# Note: This option is not very useful if HIDE_SCOPE_NAMES is set to YES. +# Note: This option applies only to the class list, not to the +# alphabetical list. + +SORT_BY_SCOPE_NAME = NO + +# If the STRICT_PROTO_MATCHING option is enabled and doxygen fails to +# do proper type resolution of all parameters of a function it will reject a +# match between the prototype and the implementation of a member function even +# if there is only one candidate or it is obvious which candidate to choose +# by doing a simple string match. By disabling STRICT_PROTO_MATCHING doxygen +# will still accept a match between prototype and implementation in such cases. + +STRICT_PROTO_MATCHING = NO + +# The GENERATE_TODOLIST tag can be used to enable (YES) or +# disable (NO) the todo list. This list is created by putting \todo +# commands in the documentation. + +GENERATE_TODOLIST = @DOX_GENERATETODOLIST@ + +# The GENERATE_TESTLIST tag can be used to enable (YES) or +# disable (NO) the test list. This list is created by putting \test +# commands in the documentation. + +GENERATE_TESTLIST = @DOX_GENERATETESTLIST@ + +# The GENERATE_BUGLIST tag can be used to enable (YES) or +# disable (NO) the bug list. This list is created by putting \bug +# commands in the documentation. + +GENERATE_BUGLIST = @DOX_GENERATEBUGLIST@ + +# The GENERATE_DEPRECATEDLIST tag can be used to enable (YES) or +# disable (NO) the deprecated list. This list is created by putting +# \deprecated commands in the documentation. + +GENERATE_DEPRECATEDLIST= @DOX_GENERATEDEPRECATEDLIST@ + +# The ENABLED_SECTIONS tag can be used to enable conditional +# documentation sections, marked by \if sectionname ... \endif. + +ENABLED_SECTIONS = + +# The MAX_INITIALIZER_LINES tag determines the maximum number of lines +# the initial value of a variable or macro consists of for it to appear in +# the documentation. If the initializer consists of more lines than specified +# here it will be hidden. Use a value of 0 to hide initializers completely. +# The appearance of the initializer of individual variables and macros in the +# documentation can be controlled using \showinitializer or \hideinitializer +# command in the documentation regardless of this setting. + +MAX_INITIALIZER_LINES = 30 + +# Set the SHOW_USED_FILES tag to NO to disable the list of files generated +# at the bottom of the documentation of classes and structs. If set to YES the +# list will mention the files that were used to generate the documentation. + +SHOW_USED_FILES = NO + +# Set the SHOW_FILES tag to NO to disable the generation of the Files page. +# This will remove the Files entry from the Quick Index and from the +# Folder Tree View (if specified). The default is YES. + +SHOW_FILES = YES + +# Set the SHOW_NAMESPACES tag to NO to disable the generation of the +# Namespaces page. +# This will remove the Namespaces entry from the Quick Index +# and from the Folder Tree View (if specified). The default is YES. + +SHOW_NAMESPACES = YES + +# The FILE_VERSION_FILTER tag can be used to specify a program or script that +# doxygen should invoke to get the current version for each file (typically from +# the version control system). Doxygen will invoke the program by executing (via +# popen()) the command , where is the value of +# the FILE_VERSION_FILTER tag, and is the name of an input file +# provided by doxygen. Whatever the program writes to standard output +# is used as the file version. See the manual for examples. + +FILE_VERSION_FILTER = + +# The LAYOUT_FILE tag can be used to specify a layout file which will be parsed +# by doxygen. The layout file controls the global structure of the generated +# output files in an output format independent way. The create the layout file +# that represents doxygen's defaults, run doxygen with the -l option. +# You can optionally specify a file name after the option, if omitted +# DoxygenLayout.xml will be used as the name of the layout file. + +LAYOUT_FILE = @DOX_LAYOUT_FILE@ + +# The CITE_BIB_FILES tag can be used to specify one or more bib files +# containing the references data. This must be a list of .bib files. The +# .bib extension is automatically appended if omitted. Using this command +# requires the bibtex tool to be installed. See also +# http://en.wikipedia.org/wiki/BibTeX for more info. For LaTeX the style +# of the bibliography can be controlled using LATEX_BIB_STYLE. To use this +# feature you need bibtex and perl available in the search path. + +CITE_BIB_FILES = + +#--------------------------------------------------------------------------- +# configuration options related to warning and progress messages +#--------------------------------------------------------------------------- + +# The QUIET tag can be used to turn on/off the messages that are generated +# by doxygen. Possible values are YES and NO. If left blank NO is used. + +QUIET = NO + +# The WARNINGS tag can be used to turn on/off the warning messages that are +# generated by doxygen. Possible values are YES and NO. If left blank +# NO is used. + +WARNINGS = @DOX_WARNINGS@ + +# If WARN_IF_UNDOCUMENTED is set to YES, then doxygen will generate warnings +# for undocumented members. If EXTRACT_ALL is set to YES then this flag will +# automatically be disabled. + +WARN_IF_UNDOCUMENTED = YES + +# If WARN_IF_DOC_ERROR is set to YES, doxygen will generate warnings for +# potential errors in the documentation, such as not documenting some +# parameters in a documented function, or documenting parameters that +# don't exist or using markup commands wrongly. + +WARN_IF_DOC_ERROR = YES + +# The WARN_NO_PARAMDOC option can be enabled to get warnings for +# functions that are documented, but have no documentation for their parameters +# or return value. If set to NO (the default) doxygen will only warn about +# wrong or incomplete parameter documentation, but not about the absence of +# documentation. + +WARN_NO_PARAMDOC = NO + +# The WARN_FORMAT tag determines the format of the warning messages that +# doxygen can produce. The string should contain the $file, $line, and $text +# tags, which will be replaced by the file and line number from which the +# warning originated and the warning text. Optionally the format may contain +# $version, which will be replaced by the version of the file (if it could +# be obtained via FILE_VERSION_FILTER) + +WARN_FORMAT = "$file:$line: $text" + +# The WARN_LOGFILE tag can be used to specify a file to which warning +# and error messages should be written. If left blank the output is written +# to stderr. + +WARN_LOGFILE = + +#--------------------------------------------------------------------------- +# configuration options related to the input files +#--------------------------------------------------------------------------- + +# The INPUT tag can be used to specify the files and/or directories that contain +# documented source files. You may enter file names like "myfile.cpp" or +# directories like "/usr/src/myproject". Separate the files or directories +# with spaces. + +INPUT = @ABS_SRCDIR@/common/include/opae @ABS_SRCDIR@/samples + +# This tag can be used to specify the character encoding of the source files +# that doxygen parses. Internally doxygen uses the UTF-8 encoding, which is +# also the default input encoding. Doxygen uses libiconv (or the iconv built +# into libc) for the transcoding. See http://www.gnu.org/software/libiconv for +# the list of possible encodings. + +INPUT_ENCODING = UTF-8 + +# If the value of the INPUT tag contains directories, you can use the +# FILE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp +# and *.h) to filter out the source-files in the directories. If left +# blank the following patterns are tested: +# *.c *.cc *.cxx *.cpp *.c++ *.d *.java *.ii *.ixx *.ipp *.i++ *.inl *.h *.hh +# *.hxx *.hpp *.h++ *.idl *.odl *.cs *.php *.php3 *.inc *.m *.mm *.dox *.py +# *.f90 *.f *.for *.vhd *.vhdl + +FILE_PATTERNS = *.c \ + *.cc \ + *.cxx \ + *.cpp \ + *.c++ \ + *.java \ + *.h \ + *.hh \ + *.hxx \ + *.hpp \ + *.h++ \ + *.xpm + +# The RECURSIVE tag can be used to turn specify whether or not subdirectories +# should be searched for input files as well. Possible values are YES and NO. +# If left blank NO is used. + +RECURSIVE = YES + +# The EXCLUDE tag can be used to specify files and/or directories that should be +# excluded from the INPUT source files. This way you can easily exclude a +# subdirectory from a directory tree whose root is specified with the INPUT tag. +# Note that relative paths are relative to the directory from which doxygen is +# run. + +EXCLUDE = + +# The EXCLUDE_SYMLINKS tag can be used to select whether or not files or +# directories that are symbolic links (a Unix file system feature) are excluded +# from the input. + +EXCLUDE_SYMLINKS = YES + +# If the value of the INPUT tag contains directories, you can use the +# EXCLUDE_PATTERNS tag to specify one or more wildcard patterns to exclude +# certain files from those directories. Note that the wildcards are matched +# against the file with absolute path, so to exclude all test directories +# for example use the pattern */test/* + +EXCLUDE_PATTERNS = */archive/* + +# The EXCLUDE_SYMBOLS tag can be used to specify one or more symbol names +# (namespaces, classes, functions, etc.) that should be excluded from the +# output. The symbol name can be a fully qualified name, a word, or if the +# wildcard * is used, a substring. Examples: ANamespace, AClass, +# AClass::ANamespace, ANamespace::*Test + +EXCLUDE_SYMBOLS = + +# The EXAMPLE_PATH tag can be used to specify one or more files or +# directories that contain example code fragments that are included (see +# the \include command). + +EXAMPLE_PATH = + +# If the value of the EXAMPLE_PATH tag contains directories, you can use the +# EXAMPLE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp +# and *.h) to filter out the source-files in the directories. If left +# blank all files are included. + +EXAMPLE_PATTERNS = + +# If the EXAMPLE_RECURSIVE tag is set to YES then subdirectories will be +# searched for input files to be used with the \include or \dontinclude +# commands irrespective of the value of the RECURSIVE tag. +# Possible values are YES and NO. If left blank NO is used. + +EXAMPLE_RECURSIVE = NO + +# The IMAGE_PATH tag can be used to specify one or more files or +# directories that contain image that are included in the documentation (see +# the \image command). + +IMAGE_PATH = + +# The INPUT_FILTER tag can be used to specify a program that doxygen should +# invoke to filter for each input file. Doxygen will invoke the filter program +# by executing (via popen()) the command , where +# is the value of the INPUT_FILTER tag, and is the name of an +# input file. Doxygen will then use the output that the filter program writes +# to standard output. +# If FILTER_PATTERNS is specified, this tag will be +# ignored. + +INPUT_FILTER = + +# The FILTER_PATTERNS tag can be used to specify filters on a per file pattern +# basis. +# Doxygen will compare the file name with each pattern and apply the +# filter if there is a match. +# The filters are a list of the form: +# pattern=filter (like *.cpp=my_cpp_filter). See INPUT_FILTER for further +# info on how filters are used. If FILTER_PATTERNS is empty or if +# non of the patterns match the file name, INPUT_FILTER is applied. + +FILTER_PATTERNS = + +# If the FILTER_SOURCE_FILES tag is set to YES, the input filter (if set using +# INPUT_FILTER) will be used to filter the input files when producing source +# files to browse (i.e. when SOURCE_BROWSER is set to YES). + +FILTER_SOURCE_FILES = NO + +# The FILTER_SOURCE_PATTERNS tag can be used to specify source filters per file +# pattern. A pattern will override the setting for FILTER_PATTERN (if any) +# and it is also possible to disable source filtering for a specific pattern +# using *.ext= (so without naming a filter). This option only has effect when +# FILTER_SOURCE_FILES is enabled. + +FILTER_SOURCE_PATTERNS = + +#--------------------------------------------------------------------------- +# configuration options related to source browsing +#--------------------------------------------------------------------------- + +# If the SOURCE_BROWSER tag is set to YES then a list of source files will +# be generated. Documented entities will be cross-referenced with these sources. +# Note: To get rid of all source code in the generated output, make sure also +# VERBATIM_HEADERS is set to NO. + +SOURCE_BROWSER = YES + +# Setting the INLINE_SOURCES tag to YES will include the body +# of functions and classes directly in the documentation. + +INLINE_SOURCES = NO + +# Setting the STRIP_CODE_COMMENTS tag to YES (the default) will instruct +# doxygen to hide any special comment blocks from generated source code +# fragments. Normal C and C++ comments will always remain visible. + +STRIP_CODE_COMMENTS = YES + +# If the REFERENCED_BY_RELATION tag is set to YES +# then for each documented function all documented +# functions referencing it will be listed. + +REFERENCED_BY_RELATION = YES + +# If the REFERENCES_RELATION tag is set to YES +# then for each documented function all documented entities +# called/used by that function will be listed. + +REFERENCES_RELATION = YES + +# If the REFERENCES_LINK_SOURCE tag is set to YES (the default) +# and SOURCE_BROWSER tag is set to YES, then the hyperlinks from +# functions in REFERENCES_RELATION and REFERENCED_BY_RELATION lists will +# link to the source code. +# Otherwise they will link to the documentation. + +REFERENCES_LINK_SOURCE = YES + +# If the USE_HTAGS tag is set to YES then the references to source code +# will point to the HTML generated by the htags(1) tool instead of doxygen +# built-in source browser. The htags tool is part of GNU's global source +# tagging system (see http://www.gnu.org/software/global/global.html). You +# will need version 4.8.6 or higher. + +USE_HTAGS = NO + +# If the VERBATIM_HEADERS tag is set to YES (the default) then Doxygen +# will generate a verbatim copy of the header file for each class for +# which an include is specified. Set to NO to disable this. + +VERBATIM_HEADERS = NO + +#--------------------------------------------------------------------------- +# configuration options related to the alphabetical class index +#--------------------------------------------------------------------------- + +# If the ALPHABETICAL_INDEX tag is set to YES, an alphabetical index +# of all compounds will be generated. Enable this if the project +# contains a lot of classes, structs, unions or interfaces. + +ALPHABETICAL_INDEX = YES + +# If the alphabetical index is enabled (see ALPHABETICAL_INDEX) then +# the COLS_IN_ALPHA_INDEX tag can be used to specify the number of columns +# in which this list will be split (can be a number in the range [1..20]) + +COLS_IN_ALPHA_INDEX = 5 + +# In case all classes in a project start with a common prefix, all +# classes will be put under the same header in the alphabetical index. +# The IGNORE_PREFIX tag can be used to specify one or more prefixes that +# should be ignored while generating the index headers. + +IGNORE_PREFIX = + +#--------------------------------------------------------------------------- +# configuration options related to the HTML output +#--------------------------------------------------------------------------- + +# If the GENERATE_HTML tag is set to YES (the default) Doxygen will +# generate HTML output. + +GENERATE_HTML = @DOX_GENERATE_HTML@ + +# The HTML_OUTPUT tag is used to specify where the HTML docs will be put. +# If a relative path is entered the value of OUTPUT_DIRECTORY will be +# put in front of it. If left blank `html' will be used as the default path. + +HTML_OUTPUT = html + +# The HTML_FILE_EXTENSION tag can be used to specify the file extension for +# each generated HTML page (for example: .htm,.php,.asp). If it is left blank +# doxygen will generate files with .html extension. + +HTML_FILE_EXTENSION = .html + +# The HTML_HEADER tag can be used to specify a personal HTML header for +# each generated HTML page. If it is left blank doxygen will generate a +# standard header. Note that when using a custom header you are responsible +# for the proper inclusion of any scripts and style sheets that doxygen +# needs, which is dependent on the configuration options used. +# It is advised to generate a default header using "doxygen -w html +# header.html footer.html stylesheet.css YourConfigFile" and then modify +# that header. Note that the header is subject to change so you typically +# have to redo this when upgrading to a newer version of doxygen or when +# changing the value of configuration settings such as GENERATE_TREEVIEW! + +HTML_HEADER = + +# The HTML_FOOTER tag can be used to specify a personal HTML footer for +# each generated HTML page. If it is left blank doxygen will generate a +# standard footer. + +HTML_FOOTER = + +# The HTML_STYLESHEET tag can be used to specify a user-defined cascading +# style sheet that is used by each HTML page. It can be used to +# fine-tune the look of the HTML output. If the tag is left blank doxygen +# will generate a default style sheet. Note that doxygen will try to copy +# the style sheet file to the HTML output directory, so don't put your own +# style sheet in the HTML output directory as well, or it will be erased! + +HTML_STYLESHEET = + +# The HTML_EXTRA_FILES tag can be used to specify one or more extra images or +# other source files which should be copied to the HTML output directory. Note +# that these files will be copied to the base HTML output directory. Use the +# $relpath$ marker in the HTML_HEADER and/or HTML_FOOTER files to load these +# files. In the HTML_STYLESHEET file, use the file name only. Also note that +# the files will be copied as-is; there are no commands or markers available. + +HTML_EXTRA_FILES = + +# The HTML_COLORSTYLE_HUE tag controls the color of the HTML output. +# Doxygen will adjust the colors in the style sheet and background images +# according to this color. Hue is specified as an angle on a colorwheel, +# see http://en.wikipedia.org/wiki/Hue for more information. +# For instance the value 0 represents red, 60 is yellow, 120 is green, +# 180 is cyan, 240 is blue, 300 purple, and 360 is red again. +# The allowed range is 0 to 359. + +HTML_COLORSTYLE_HUE = 220 + +# The HTML_COLORSTYLE_SAT tag controls the purity (or saturation) of +# the colors in the HTML output. For a value of 0 the output will use +# grayscales only. A value of 255 will produce the most vivid colors. + +HTML_COLORSTYLE_SAT = 100 + +# The HTML_COLORSTYLE_GAMMA tag controls the gamma correction applied to +# the luminance component of the colors in the HTML output. Values below +# 100 gradually make the output lighter, whereas values above 100 make +# the output darker. The value divided by 100 is the actual gamma applied, +# so 80 represents a gamma of 0.8, The value 220 represents a gamma of 2.2, +# and 100 does not change the gamma. + +HTML_COLORSTYLE_GAMMA = 80 + +# If the HTML_TIMESTAMP tag is set to YES then the footer of each generated HTML +# page will contain the date and time when the page was generated. Setting +# this to NO can help when comparing the output of multiple runs. + +HTML_TIMESTAMP = NO + +# If the HTML_DYNAMIC_SECTIONS tag is set to YES then the generated HTML +# documentation will contain sections that can be hidden and shown after the +# page has loaded. For this to work a browser that supports +# JavaScript and DHTML is required (for instance Mozilla 1.0+, Firefox +# Netscape 6.0+, Internet explorer 5.0+, Konqueror, or Safari). + +HTML_DYNAMIC_SECTIONS = NO + +# If the GENERATE_DOCSET tag is set to YES, additional index files +# will be generated that can be used as input for Apple's Xcode 3 +# integrated development environment, introduced with OSX 10.5 (Leopard). +# To create a documentation set, doxygen will generate a Makefile in the +# HTML output directory. Running make will produce the docset in that +# directory and running "make install" will install the docset in +# ~/Library/Developer/Shared/Documentation/DocSets so that Xcode will find +# it at startup. +# See http://developer.apple.com/tools/creatingdocsetswithdoxygen.html +# for more information. + +GENERATE_DOCSET = NO + +# When GENERATE_DOCSET tag is set to YES, this tag determines the name of the +# feed. A documentation feed provides an umbrella under which multiple +# documentation sets from a single provider (such as a company or product suite) +# can be grouped. + +DOCSET_FEEDNAME = "Doxygen generated docs" + +# When GENERATE_DOCSET tag is set to YES, this tag specifies a string that +# should uniquely identify the documentation set bundle. This should be a +# reverse domain-name style string, e.g. com.mycompany.MyDocSet. Doxygen +# will append .docset to the name. + +DOCSET_BUNDLE_ID = org.doxygen.Project + +# When GENERATE_PUBLISHER_ID tag specifies a string that should uniquely identify +# the documentation publisher. This should be a reverse domain-name style +# string, e.g. com.mycompany.MyDocSet.documentation. + +DOCSET_PUBLISHER_ID = org.doxygen.Publisher + +# The GENERATE_PUBLISHER_NAME tag identifies the documentation publisher. + +DOCSET_PUBLISHER_NAME = Publisher + +# If the GENERATE_HTMLHELP tag is set to YES, additional index files +# will be generated that can be used as input for tools like the +# Microsoft HTML help workshop to generate a compiled HTML help file (.chm) +# of the generated HTML documentation. + +GENERATE_HTMLHELP = NO + +# If the GENERATE_HTMLHELP tag is set to YES, the CHM_FILE tag can +# be used to specify the file name of the resulting .chm file. You +# can add a path in front of the file if the result should not be +# written to the html output directory. + +CHM_FILE = + +# If the GENERATE_HTMLHELP tag is set to YES, the HHC_LOCATION tag can +# be used to specify the location (absolute path including file name) of +# the HTML help compiler (hhc.exe). If non-empty doxygen will try to run +# the HTML help compiler on the generated index.hhp. + +HHC_LOCATION = + +# If the GENERATE_HTMLHELP tag is set to YES, the GENERATE_CHI flag +# controls if a separate .chi index file is generated (YES) or that +# it should be included in the master .chm file (NO). + +GENERATE_CHI = NO + +# If the GENERATE_HTMLHELP tag is set to YES, the CHM_INDEX_ENCODING +# is used to encode HtmlHelp index (hhk), content (hhc) and project file +# content. + +CHM_INDEX_ENCODING = + +# If the GENERATE_HTMLHELP tag is set to YES, the BINARY_TOC flag +# controls whether a binary table of contents is generated (YES) or a +# normal table of contents (NO) in the .chm file. + +BINARY_TOC = NO + +# The TOC_EXPAND flag can be set to YES to add extra items for group members +# to the contents of the HTML help documentation and to the tree view. + +TOC_EXPAND = YES + +# If the GENERATE_QHP tag is set to YES and both QHP_NAMESPACE and +# QHP_VIRTUAL_FOLDER are set, an additional index file will be generated +# that can be used as input for Qt's qhelpgenerator to generate a +# Qt Compressed Help (.qch) of the generated HTML documentation. + +GENERATE_QHP = NO + +# If the QHG_LOCATION tag is specified, the QCH_FILE tag can +# be used to specify the file name of the resulting .qch file. +# The path specified is relative to the HTML output folder. + +QCH_FILE = + +# The QHP_NAMESPACE tag specifies the namespace to use when generating +# Qt Help Project output. For more information please see +# http://doc.trolltech.com/qthelpproject.html#namespace + +QHP_NAMESPACE = org.doxygen.Project + +# The QHP_VIRTUAL_FOLDER tag specifies the namespace to use when generating +# Qt Help Project output. For more information please see +# http://doc.trolltech.com/qthelpproject.html#virtual-folders + +QHP_VIRTUAL_FOLDER = doc + +# If QHP_CUST_FILTER_NAME is set, it specifies the name of a custom filter to +# add. For more information please see +# http://doc.trolltech.com/qthelpproject.html#custom-filters + +QHP_CUST_FILTER_NAME = + +# The QHP_CUST_FILT_ATTRS tag specifies the list of the attributes of the +# custom filter to add. For more information please see +# +# Qt Help Project / Custom Filters. + +QHP_CUST_FILTER_ATTRS = + +# The QHP_SECT_FILTER_ATTRS tag specifies the list of the attributes this +# project's +# filter section matches. +# +# Qt Help Project / Filter Attributes. + +QHP_SECT_FILTER_ATTRS = + +# If the GENERATE_QHP tag is set to YES, the QHG_LOCATION tag can +# be used to specify the location of Qt's qhelpgenerator. +# If non-empty doxygen will try to run qhelpgenerator on the generated +# .qhp file. + +QHG_LOCATION = + +# If the GENERATE_ECLIPSEHELP tag is set to YES, additional index files +# will be generated, which together with the HTML files, form an Eclipse help +# plugin. To install this plugin and make it available under the help contents +# menu in Eclipse, the contents of the directory containing the HTML and XML +# files needs to be copied into the plugins directory of eclipse. The name of +# the directory within the plugins directory should be the same as +# the ECLIPSE_DOC_ID value. After copying Eclipse needs to be restarted before +# the help appears. + +GENERATE_ECLIPSEHELP = NO + +# A unique identifier for the eclipse help plugin. When installing the plugin +# the directory name containing the HTML and XML files should also have +# this name. + +ECLIPSE_DOC_ID = org.doxygen.Project + +# The DISABLE_INDEX tag can be used to turn on/off the condensed index (tabs) +# at top of each HTML page. The value NO (the default) enables the index and +# the value YES disables it. Since the tabs have the same information as the +# navigation tree you can set this option to NO if you already set +# GENERATE_TREEVIEW to YES. + +DISABLE_INDEX = NO + +# The GENERATE_TREEVIEW tag is used to specify whether a tree-like index +# structure should be generated to display hierarchical information. +# If the tag value is set to YES, a side panel will be generated +# containing a tree-like index structure (just like the one that +# is generated for HTML Help). For this to work a browser that supports +# JavaScript, DHTML, CSS and frames is required (i.e. any modern browser). +# Windows users are probably better off using the HTML help feature. +# Since the tree basically has the same information as the tab index you +# could consider to set DISABLE_INDEX to NO when enabling this option. + +GENERATE_TREEVIEW = NO + +# The ENUM_VALUES_PER_LINE tag can be used to set the number of enum values +# (range [0,1..20]) that doxygen will group on one line in the generated HTML +# documentation. Note that a value of 0 will completely suppress the enum +# values from appearing in the overview section. + +ENUM_VALUES_PER_LINE = 4 + +# If the treeview is enabled (see GENERATE_TREEVIEW) then this tag can be +# used to set the initial width (in pixels) of the frame in which the tree +# is shown. + +TREEVIEW_WIDTH = 250 + +# When the EXT_LINKS_IN_WINDOW option is set to YES doxygen will open +# links to external symbols imported via tag files in a separate window. + +EXT_LINKS_IN_WINDOW = NO + +# Use this tag to change the font size of Latex formulas included +# as images in the HTML documentation. The default is 10. Note that +# when you change the font size after a successful doxygen run you need +# to manually remove any form_*.png images from the HTML output directory +# to force them to be regenerated. + +FORMULA_FONTSIZE = 10 + +# Use the FORMULA_TRANPARENT tag to determine whether or not the images +# generated for formulas are transparent PNGs. Transparent PNGs are +# not supported properly for IE 6.0, but are supported on all modern browsers. +# Note that when changing this option you need to delete any form_*.png files +# in the HTML output before the changes have effect. + +FORMULA_TRANSPARENT = YES + +# Enable the USE_MATHJAX option to render LaTeX formulas using MathJax +# (see http://www.mathjax.org) which uses client side Javascript for the +# rendering instead of using prerendered bitmaps. Use this if you do not +# have LaTeX installed or if you want to formulas look prettier in the HTML +# output. When enabled you also need to install MathJax separately and +# configure the path to it using the MATHJAX_RELPATH option. + +USE_MATHJAX = NO + +# When MathJax is enabled you need to specify the location relative to the +# HTML output directory using the MATHJAX_RELPATH option. The destination +# directory should contain the MathJax.js script. For instance, if the mathjax +# directory is located at the same level as the HTML output directory, then +# MATHJAX_RELPATH should be ../mathjax. The default value points to the +# mathjax.org site, so you can quickly see the result without installing +# MathJax, but it is strongly recommended to install a local copy of MathJax +# before deployment. + +MATHJAX_RELPATH = http://www.mathjax.org/mathjax + +# The MATHJAX_EXTENSIONS tag can be used to specify one or MathJax extension +# names that should be enabled during MathJax rendering. + +MATHJAX_EXTENSIONS = + +# When the SEARCHENGINE tag is enabled doxygen will generate a search box +# for the HTML output. The underlying search engine uses javascript +# and DHTML and should work on any modern browser. Note that when using +# HTML help (GENERATE_HTMLHELP), Qt help (GENERATE_QHP), or docsets +# (GENERATE_DOCSET) there is already a search function so this one should +# typically be disabled. For large projects the javascript based search engine +# can be slow, then enabling SERVER_BASED_SEARCH may provide a better solution. + +SEARCHENGINE = NO + +# When the SERVER_BASED_SEARCH tag is enabled the search engine will be +# implemented using a PHP enabled web server instead of at the web client +# using Javascript. Doxygen will generate the search PHP script and index +# file to put on the web server. The advantage of the server +# based approach is that it scales better to large projects and allows +# full text search. The disadvantages are that it is more difficult to setup +# and does not have live searching capabilities. + +SERVER_BASED_SEARCH = NO + +#--------------------------------------------------------------------------- +# configuration options related to the LaTeX output +#--------------------------------------------------------------------------- + +# If the GENERATE_LATEX tag is set to YES (the default) Doxygen will +# generate Latex output. + +GENERATE_LATEX = @DOX_GENERATE_LATEX@ + +# The LATEX_OUTPUT tag is used to specify where the LaTeX docs will be put. +# If a relative path is entered the value of OUTPUT_DIRECTORY will be +# put in front of it. If left blank `latex' will be used as the default path. + +LATEX_OUTPUT = latex + +# The LATEX_CMD_NAME tag can be used to specify the LaTeX command name to be +# invoked. If left blank `latex' will be used as the default command name. +# Note that when enabling USE_PDFLATEX this option is only used for +# generating bitmaps for formulas in the HTML output, but not in the +# Makefile that is written to the output directory. + +LATEX_CMD_NAME = latex + +# The MAKEINDEX_CMD_NAME tag can be used to specify the command name to +# generate index for LaTeX. If left blank `makeindex' will be used as the +# default command name. + +MAKEINDEX_CMD_NAME = makeindex + +# If the COMPACT_LATEX tag is set to YES Doxygen generates more compact +# LaTeX documents. This may be useful for small projects and may help to +# save some trees in general. + +COMPACT_LATEX = NO + +# The PAPER_TYPE tag can be used to set the paper type that is used +# by the printer. Possible values are: a4, letter, legal and +# executive. If left blank a4wide will be used. + +PAPER_TYPE = a4wide + +# The EXTRA_PACKAGES tag can be to specify one or more names of LaTeX +# packages that should be included in the LaTeX output. + +EXTRA_PACKAGES = + +# The LATEX_HEADER tag can be used to specify a personal LaTeX header for +# the generated latex document. The header should contain everything until +# the first chapter. If it is left blank doxygen will generate a +# standard header. Notice: only use this tag if you know what you are doing! + +LATEX_HEADER = + +# The LATEX_FOOTER tag can be used to specify a personal LaTeX footer for +# the generated latex document. The footer should contain everything after +# the last chapter. If it is left blank doxygen will generate a +# standard footer. Notice: only use this tag if you know what you are doing! + +LATEX_FOOTER = + +# If the PDF_HYPERLINKS tag is set to YES, the LaTeX that is generated +# is prepared for conversion to pdf (using ps2pdf). The pdf file will +# contain links (just like the HTML output) instead of page references +# This makes the output suitable for online browsing using a pdf viewer. + +PDF_HYPERLINKS = YES + +# If the USE_PDFLATEX tag is set to YES, pdflatex will be used instead of +# plain latex in the generated Makefile. Set this option to YES to get a +# higher quality PDF documentation. + +USE_PDFLATEX = @DOX_USE_PDFLATEX@ + +# If the LATEX_BATCHMODE tag is set to YES, doxygen will add the \\batchmode. +# command to the generated LaTeX files. This will instruct LaTeX to keep +# running if errors occur, instead of asking the user for help. +# This option is also used when generating formulas in HTML. + +LATEX_BATCHMODE = YES + +# If LATEX_HIDE_INDICES is set to YES then doxygen will not +# include the index chapters (such as File Index, Compound Index, etc.) +# in the output. + +LATEX_HIDE_INDICES = YES + +# If LATEX_SOURCE_CODE is set to YES then doxygen will include +# source code with syntax highlighting in the LaTeX output. +# Note that which sources are shown also depends on other settings +# such as SOURCE_BROWSER. + +LATEX_SOURCE_CODE = NO + +# The LATEX_BIB_STYLE tag can be used to specify the style to use for the +# bibliography, e.g. plainnat, or ieeetr. The default style is "plain". See +# http://en.wikipedia.org/wiki/BibTeX for more info. + +LATEX_BIB_STYLE = plain + +#--------------------------------------------------------------------------- +# configuration options related to the RTF output +#--------------------------------------------------------------------------- + +# If the GENERATE_RTF tag is set to YES Doxygen will generate RTF output +# The RTF output is optimized for Word 97 and may not look very pretty with +# other RTF readers or editors. + +GENERATE_RTF = @DOX_GENERATE_RTF@ + +# The RTF_OUTPUT tag is used to specify where the RTF docs will be put. +# If a relative path is entered the value of OUTPUT_DIRECTORY will be +# put in front of it. If left blank `rtf' will be used as the default path. + +RTF_OUTPUT = rtf + +# If the COMPACT_RTF tag is set to YES Doxygen generates more compact +# RTF documents. This may be useful for small projects and may help to +# save some trees in general. + +COMPACT_RTF = NO + +# If the RTF_HYPERLINKS tag is set to YES, the RTF that is generated +# will contain hyperlink fields. The RTF file will +# contain links (just like the HTML output) instead of page references. +# This makes the output suitable for online browsing using WORD or other +# programs which support those fields. +# Note: wordpad (write) and others do not support links. + +RTF_HYPERLINKS = YES + +# Load style sheet definitions from file. Syntax is similar to doxygen's +# config file, i.e. a series of assignments. You only have to provide +# replacements, missing definitions are set to their default value. + +RTF_STYLESHEET_FILE = + +# Set optional variables used in the generation of an rtf document. +# Syntax is similar to doxygen's config file. + +RTF_EXTENSIONS_FILE = + +#--------------------------------------------------------------------------- +# configuration options related to the man page output +#--------------------------------------------------------------------------- + +# If the GENERATE_MAN tag is set to YES (the default) Doxygen will +# generate man pages + +GENERATE_MAN = @DOX_GENERATE_MAN@ + +# The MAN_OUTPUT tag is used to specify where the man pages will be put. +# If a relative path is entered the value of OUTPUT_DIRECTORY will be +# put in front of it. If left blank `man' will be used as the default path. + +MAN_OUTPUT = man + +# The MAN_EXTENSION tag determines the extension that is added to +# the generated man pages (default is the subroutine's section .3) + +MAN_EXTENSION = .3 + +# If the MAN_LINKS tag is set to YES and Doxygen generates man output, +# then it will generate one additional man file for each entity +# documented in the real man page(s). These additional files +# only source the real man page, but without them the man command +# would be unable to find the correct page. The default is NO. + +MAN_LINKS = YES + +#--------------------------------------------------------------------------- +# configuration options related to the XML output +#--------------------------------------------------------------------------- + +# If the GENERATE_XML tag is set to YES Doxygen will +# generate an XML file that captures the structure of +# the code including all documentation. + +GENERATE_XML = @DOX_GENERATE_XML@ + +# The XML_OUTPUT tag is used to specify where the XML pages will be put. +# If a relative path is entered the value of OUTPUT_DIRECTORY will be +# put in front of it. If left blank `xml' will be used as the default path. + +XML_OUTPUT = xml + +# The XML_SCHEMA tag can be used to specify an XML schema, +# which can be used by a validating XML parser to check the +# syntax of the XML files. + +XML_SCHEMA = + +# The XML_DTD tag can be used to specify an XML DTD, +# which can be used by a validating XML parser to check the +# syntax of the XML files. + +XML_DTD = + +# If the XML_PROGRAMLISTING tag is set to YES Doxygen will +# dump the program listings (including syntax highlighting +# and cross-referencing information) to the XML output. Note that +# enabling this will significantly increase the size of the XML output. + +XML_PROGRAMLISTING = YES + +#--------------------------------------------------------------------------- +# configuration options for the AutoGen Definitions output +#--------------------------------------------------------------------------- + +# If the GENERATE_AUTOGEN_DEF tag is set to YES Doxygen will +# generate an AutoGen Definitions (see autogen.sf.net) file +# that captures the structure of the code including all +# documentation. Note that this feature is still experimental +# and incomplete at the moment. + +GENERATE_AUTOGEN_DEF = NO + +#--------------------------------------------------------------------------- +# configuration options related to the Perl module output +#--------------------------------------------------------------------------- + +# If the GENERATE_PERLMOD tag is set to YES Doxygen will +# generate a Perl module file that captures the structure of +# the code including all documentation. Note that this +# feature is still experimental and incomplete at the +# moment. + +GENERATE_PERLMOD = NO + +# If the PERLMOD_LATEX tag is set to YES Doxygen will generate +# the necessary Makefile rules, Perl scripts and LaTeX code to be able +# to generate PDF and DVI output from the Perl module output. + +PERLMOD_LATEX = NO + +# If the PERLMOD_PRETTY tag is set to YES the Perl module output will be +# nicely formatted so it can be parsed by a human reader. +# This is useful +# if you want to understand what is going on. +# On the other hand, if this +# tag is set to NO the size of the Perl module output will be much smaller +# and Perl will parse it just the same. + +PERLMOD_PRETTY = YES + +# The names of the make variables in the generated doxyrules.make file +# are prefixed with the string contained in PERLMOD_MAKEVAR_PREFIX. +# This is useful so different doxyrules.make files included by the same +# Makefile don't overwrite each other's variables. + +PERLMOD_MAKEVAR_PREFIX = + +#--------------------------------------------------------------------------- +# Configuration options related to the preprocessor +#--------------------------------------------------------------------------- + +# If the ENABLE_PREPROCESSING tag is set to YES (the default) Doxygen will +# evaluate all C-preprocessor directives found in the sources and include +# files. + +ENABLE_PREPROCESSING = YES + +# If the MACRO_EXPANSION tag is set to YES Doxygen will expand all macro +# names in the source code. If set to NO (the default) only conditional +# compilation will be performed. Macro expansion can be done in a controlled +# way by setting EXPAND_ONLY_PREDEF to YES. + +MACRO_EXPANSION = YES + +# If the EXPAND_ONLY_PREDEF and MACRO_EXPANSION tags are both set to YES +# then the macro expansion is limited to the macros specified with the +# PREDEFINED and EXPAND_AS_DEFINED tags. + +EXPAND_ONLY_PREDEF = NO + +# If the SEARCH_INCLUDES tag is set to YES (the default) the includes files +# pointed to by INCLUDE_PATH will be searched when a #include is found. + +SEARCH_INCLUDES = YES + +# The INCLUDE_PATH tag can be used to specify one or more directories that +# contain include files that are not input files but should be processed by +# the preprocessor. + +INCLUDE_PATH = + +# You can use the INCLUDE_FILE_PATTERNS tag to specify one or more wildcard +# patterns (like *.h and *.hpp) to filter out the header-files in the +# directories. If left blank, the patterns specified with FILE_PATTERNS will +# be used. + +INCLUDE_FILE_PATTERNS = + +# The PREDEFINED tag can be used to specify one or more macro names that +# are defined before the preprocessor is started (similar to the -D option of +# gcc). The argument of the tag is a list of macros of the form: name +# or name=definition (no spaces). If the definition and the = are +# omitted =1 is assumed. To prevent a macro definition from being +# undefined via #undef or recursively expanded use the := operator +# instead of the = operator. + +PREDEFINED = + +# PREDEFINED = __cplusplus +# __linux__ +# __GNUC__ + +# If the MACRO_EXPANSION and EXPAND_ONLY_PREDEF tags are set to YES then +# this tag can be used to specify a list of macro names that should be expanded. +# The macro definition that is found in the sources will be used. +# Use the PREDEFINED tag if you want to use a different macro definition that +# overrules the definition found in the source code. + +EXPAND_AS_DEFINED = + +# EXPAND_AS_DEFINED = NVSFileIO + +# If the SKIP_FUNCTION_MACROS tag is set to YES (the default) then +# doxygen's preprocessor will remove all references to function-like macros +# that are alone on a line, have an all uppercase name, and do not end with a +# semicolon, because these will confuse the parser if not removed. + +SKIP_FUNCTION_MACROS = YES + +#--------------------------------------------------------------------------- +# Configuration::additions related to external references +#--------------------------------------------------------------------------- + +# The TAGFILES option can be used to specify one or more tagfiles. +# Optionally an initial location of the external documentation +# can be added for each tagfile. The format of a tag file without +# this location is as follows: +# +# TAGFILES = file1 file2 ... +# Adding location for the tag files is done as follows: +# +# TAGFILES = file1=loc1 "file2 = loc2" ... +# where "loc1" and "loc2" can be relative or absolute paths or +# URLs. If a location is present for each tag, the installdox tool +# does not have to be run to correct the links. +# Note that each tag file must have a unique name +# (where the name does NOT include the path) +# If a tag file is not located in the directory in which doxygen +# is run, you must also specify the path to the tagfile here. + +TAGFILES = + +# When a file name is specified after GENERATE_TAGFILE, doxygen will create +# a tag file that is based on the input files it reads. + +GENERATE_TAGFILE = @DOX_GENERATE_TAGFILE@ + +# If the ALLEXTERNALS tag is set to YES all external classes will be listed +# in the class index. If set to NO only the inherited external classes +# will be listed. + +ALLEXTERNALS = NO + +# If the EXTERNAL_GROUPS tag is set to YES all external groups will be listed +# in the modules index. If set to NO, only the current project's groups will +# be listed. + +EXTERNAL_GROUPS = YES + +# The PERL_PATH should be the absolute path and name of the perl script +# interpreter (i.e. the result of `which perl'). + +PERL_PATH = @PERL@ + +#--------------------------------------------------------------------------- +# Configuration options related to the dot tool +#--------------------------------------------------------------------------- + +# If the CLASS_DIAGRAMS tag is set to YES (the default) Doxygen will +# generate a inheritance diagram (in HTML, RTF and LaTeX) for classes with base +# or super classes. Setting the tag to NO turns the diagrams off. Note that +# this option also works with HAVE_DOT disabled, but it is recommended to +# install and use dot, since it yields more powerful graphs. + +CLASS_DIAGRAMS = YES + +# You can define message sequence charts within doxygen comments using the \msc +# command. Doxygen will then run the mscgen tool (see +# http://www.mcternan.me.uk/mscgen/) to produce the chart and insert it in the +# documentation. The MSCGEN_PATH tag allows you to specify the directory where +# the mscgen tool resides. If left empty the tool is assumed to be found in the +# default search path. + +MSCGEN_PATH = + +# If set to YES, the inheritance and collaboration graphs will hide +# inheritance and usage relations if the target is undocumented +# or is not a class. + +HIDE_UNDOC_RELATIONS = YES + +# If you set the HAVE_DOT tag to YES then doxygen will assume the dot tool is +# available from the path. This tool is part of Graphviz, a graph visualization +# toolkit from AT&T and Lucent Bell Labs. The other options in this section +# have no effect if this option is set to NO (the default) + +HAVE_DOT = @HAVE_DOT@ + +# The DOT_NUM_THREADS specifies the number of dot invocations doxygen is +# allowed to run in parallel. When set to 0 (the default) doxygen will +# base this on the number of processors available in the system. You can set it +# explicitly to a value larger than 0 to get control over the balance +# between CPU load and processing speed. + +DOT_NUM_THREADS = 0 + +# By default doxygen will use the Helvetica font for all dot files that +# doxygen generates. When you want a differently looking font you can specify +# the font name using DOT_FONTNAME. You need to make sure dot is able to find +# the font, which can be done by putting it in a standard location or by setting +# the DOTFONTPATH environment variable or by setting DOT_FONTPATH to the +# directory containing the font. + +DOT_FONTNAME = Helvetica + +# The DOT_FONTSIZE tag can be used to set the size of the font of dot graphs. +# The default size is 10pt. + +DOT_FONTSIZE = 10 + +# By default doxygen will tell dot to use the Helvetica font. +# If you specify a different font using DOT_FONTNAME you can use DOT_FONTPATH to +# set the path where dot can find it. + +DOT_FONTPATH = + +# If the CLASS_GRAPH and HAVE_DOT tags are set to YES then doxygen +# will generate a graph for each documented class showing the direct and +# indirect inheritance relations. Setting this tag to YES will force the +# CLASS_DIAGRAMS tag to NO. + +CLASS_GRAPH = YES + +# If the COLLABORATION_GRAPH and HAVE_DOT tags are set to YES then doxygen +# will generate a graph for each documented class showing the direct and +# indirect implementation dependencies (inheritance, containment, and +# class references variables) of the class with other documented classes. + +COLLABORATION_GRAPH = YES + +# If the GROUP_GRAPHS and HAVE_DOT tags are set to YES then doxygen +# will generate a graph for groups, showing the direct groups dependencies + +GROUP_GRAPHS = YES + +# If the UML_LOOK tag is set to YES doxygen will generate inheritance and +# collaboration diagrams in a style similar to the OMG's Unified Modeling +# Language. + +UML_LOOK = YES + +# If set to YES, the inheritance and collaboration graphs will show the +# relations between templates and their instances. + +TEMPLATE_RELATIONS = YES + +# If the ENABLE_PREPROCESSING, SEARCH_INCLUDES, INCLUDE_GRAPH, and HAVE_DOT +# tags are set to YES then doxygen will generate a graph for each documented +# file showing the direct and indirect include dependencies of the file with +# other documented files. + +INCLUDE_GRAPH = YES + +# If the ENABLE_PREPROCESSING, SEARCH_INCLUDES, INCLUDED_BY_GRAPH, and +# HAVE_DOT tags are set to YES then doxygen will generate a graph for each +# documented header file showing the documented files that directly or +# indirectly include this file. + +INCLUDED_BY_GRAPH = YES + +# If the CALL_GRAPH and HAVE_DOT options are set to YES then +# doxygen will generate a call dependency graph for every global function +# or class method. Note that enabling this option will significantly increase +# the time of a run. So in most cases it will be better to enable call graphs +# for selected functions only using the \callgraph command. + +CALL_GRAPH = NO + +# If the CALLER_GRAPH and HAVE_DOT tags are set to YES then +# doxygen will generate a caller dependency graph for every global function +# or class method. Note that enabling this option will significantly increase +# the time of a run. So in most cases it will be better to enable caller +# graphs for selected functions only using the \callergraph command. + +CALLER_GRAPH = NO + +# If the GRAPHICAL_HIERARCHY and HAVE_DOT tags are set to YES then doxygen +# will generate a graphical hierarchy of all classes instead of a textual one. + +GRAPHICAL_HIERARCHY = YES + +# If the DIRECTORY_GRAPH, SHOW_DIRECTORIES and HAVE_DOT tags are set to YES +# then doxygen will show the dependencies a directory has on other directories +# in a graphical way. The dependency relations are determined by the #include +# relations between the files in the directories. + +DIRECTORY_GRAPH = YES + +# The DOT_IMAGE_FORMAT tag can be used to set the image format of the images +# generated by dot. Possible values are svg, png, jpg, or gif. +# If left blank png will be used. If you choose svg you need to set +# HTML_FILE_EXTENSION to xhtml in order to make the SVG files +# visible in IE 9+ (other browsers do not have this requirement). + +DOT_IMAGE_FORMAT = png + +# If DOT_IMAGE_FORMAT is set to svg, then this option can be set to YES to +# enable generation of interactive SVG images that allow zooming and panning. +# Note that this requires a modern browser other than Internet Explorer. +# Tested and working are Firefox, Chrome, Safari, and Opera. For IE 9+ you +# need to set HTML_FILE_EXTENSION to xhtml in order to make the SVG files +# visible. Older versions of IE do not have SVG support. + +INTERACTIVE_SVG = NO + +# The tag DOT_PATH can be used to specify the path where the dot tool can be +# found. If left blank, it is assumed the dot tool can be found in the path. + +DOT_PATH = + +# The DOTFILE_DIRS tag can be used to specify one or more directories that +# contain dot files that are included in the documentation (see the +# \dotfile command). + +DOTFILE_DIRS = + +# The MSCFILE_DIRS tag can be used to specify one or more directories that +# contain msc files that are included in the documentation (see the +# \mscfile command). + +MSCFILE_DIRS = + +# The DOT_GRAPH_MAX_NODES tag can be used to set the maximum number of +# nodes that will be shown in the graph. If the number of nodes in a graph +# becomes larger than this value, doxygen will truncate the graph, which is +# visualized by representing a node as a red box. Note that doxygen if the +# number of direct children of the root node in a graph is already larger than +# DOT_GRAPH_MAX_NODES then the graph will not be shown at all. Also note +# that the size of a graph can be further restricted by MAX_DOT_GRAPH_DEPTH. + +DOT_GRAPH_MAX_NODES = 50 + +# The MAX_DOT_GRAPH_DEPTH tag can be used to set the maximum depth of the +# graphs generated by dot. A depth value of 3 means that only nodes reachable +# from the root by following a path via at most 3 edges will be shown. Nodes +# that lay further from the root node will be omitted. Note that setting this +# option to 1 or 2 may greatly reduce the computation time needed for large +# code bases. Also note that the size of a graph can be further restricted by +# DOT_GRAPH_MAX_NODES. Using a depth of 0 means no depth restriction. + +MAX_DOT_GRAPH_DEPTH = 1000 + +# Set the DOT_TRANSPARENT tag to YES to generate images with a transparent +# background. This is disabled by default, because dot on Windows does not +# seem to support this out of the box. Warning: Depending on the platform used, +# enabling this option may lead to badly anti-aliased labels on the edges of +# a graph (i.e. they become hard to read). + +DOT_TRANSPARENT = NO + +# Set the DOT_MULTI_TARGETS tag to YES allow dot to generate multiple output +# files in one run (i.e. multiple -o and -T options on the command line). This +# makes dot run faster, but since only newer versions of dot (>1.8.10) +# support this, this feature is disabled by default. + +DOT_MULTI_TARGETS = YES + +# If the GENERATE_LEGEND tag is set to YES (the default) Doxygen will +# generate a legend page explaining the meaning of the various boxes and +# arrows in the dot generated graphs. + +GENERATE_LEGEND = YES + +# If the DOT_CLEANUP tag is set to YES (the default) Doxygen will +# remove the intermediate dot files that are used to generate +# the various graphs. + +DOT_CLEANUP = YES diff --git a/doc/doxygen/DoxygenLayout.xml b/doc/doxygen/DoxygenLayout.xml new file mode 100644 index 0000000..8890d83 --- /dev/null +++ b/doc/doxygen/DoxygenLayout.xml @@ -0,0 +1,188 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/doc/sphinx/CMakeLists.txt b/doc/sphinx/CMakeLists.txt new file mode 100644 index 0000000..3e3cf38 --- /dev/null +++ b/doc/sphinx/CMakeLists.txt @@ -0,0 +1,107 @@ +## Copyright(c) 2017-2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +project(sphinx) + +# configured documentation tools and intermediate build results +set(BINARY_BUILD_DIR "${CMAKE_BINARY_DIR}/sphinx/_build") + +# Sphinx cache with pickled ReST documents +set(SPHINX_CACHE_DIR "${CMAKE_BINARY_DIR}/sphinx/_doctrees") + +# Documentation number +if(HASH_ARCHIVES) + set(SPHINX_DOC_RELEASE "${OPAE_VERSION}.${OPAE_GIT_COMMIT_HASH}") +else() + set(SPHINX_DOC_RELEASE "${OPAE_VERSION}") +endif() + +# HTML output directory +set(SPHINX_HTML_DIR "${CMAKE_BINARY_DIR}/sphinx/html/${SPHINX_DOC_RELEASE}") + +# MAN output directory +set(SPHINX_MAN_DIR "${CMAKE_BINARY_DIR}/sphinx/man/${SPHINX_DOC_RELEASE}") + +configure_file( + "${CMAKE_CURRENT_SOURCE_DIR}/conf.py.in" + "${BINARY_BUILD_DIR}/conf.py" + @ONLY) + +configure_file( + "${CMAKE_CURRENT_SOURCE_DIR}/index.rst.in" + "${BINARY_BUILD_DIR}/index.rst" + @ONLY) + +add_custom_target(copy-doxygen-files ALL + COMMAND ${CMAKE_COMMAND} -E copy_directory ${CMAKE_BINARY_DIR}/doc/xml ${BINARY_BUILD_DIR}/doxygen_xml + DEPENDS doxygen) + +add_custom_target(copy-markdown-files ALL + COMMAND ${CMAKE_COMMAND} -E copy_directory ${CMAKE_SOURCE_DIR}/doc/src ${BINARY_BUILD_DIR}/docs) + +add_custom_target(copy-include-files ALL + COMMAND ${CMAKE_COMMAND} -E copy_directory ${CMAKE_SOURCE_DIR}/opae-libs/include ${BINARY_BUILD_DIR}/docs/fpga_api/include + DEPENDS copy-markdown-files) + +add_custom_target(copy-sample-files ALL + COMMAND ${CMAKE_COMMAND} -E copy_directory ${CMAKE_SOURCE_DIR}/samples ${BINARY_BUILD_DIR}/docs/fpga_api/samples + DEPENDS copy-include-files) + +add_custom_target(copy-python-files ALL + COMMAND ${CMAKE_COMMAND} -E copy ${CMAKE_SOURCE_DIR}/opae-libs/pyopae/README.md ${BINARY_BUILD_DIR}/docs/README.md + DEPENDS copy-markdown-files) + + +add_custom_target(docs ALL + COMMAND ${CMAKE_COMMAND} -E env "PYTHONPATH=${LIBRARY_OUTPUT_PATH}/python${OPAE_PYTHON_VERSION}" + ${SPHINX_EXECUTABLE} + -q -b html + -c "${BINARY_BUILD_DIR}" + -d "${SPHINX_CACHE_DIR}" + "${BINARY_BUILD_DIR}" + "${SPHINX_HTML_DIR}" + WORKING_DIRECTORY "${BINARY_BUILD_DIR}" + COMMENT "Building HTML documentation with Sphinx") + +add_custom_target(manpages ALL + COMMAND ${CMAKE_COMMAND} -E env "PYTHONPATH=${LIBRARY_OUTPUT_PATH}/python${OPAE_PYTHON_VERSION}" + ${SPHINX_EXECUTABLE} + -q -b man + -c "${BINARY_BUILD_DIR}" + -d "${SPHINX_CACHE_DIR}" + "${BINARY_BUILD_DIR}" + "${SPHINX_MAN_DIR}" + WORKING_DIRECTORY "${BINARY_BUILD_DIR}" + COMMENT "Building manpages with Sphinx") + +add_dependencies(docs + copy-doxygen-files + copy-markdown-files + copy-include-files + copy-sample-files + copy-python-files + doxygen + _opae) diff --git a/doc/sphinx/conf.py.in b/doc/sphinx/conf.py.in new file mode 100644 index 0000000..602802c --- /dev/null +++ b/doc/sphinx/conf.py.in @@ -0,0 +1,202 @@ +# -*- coding: utf-8 -*- +# + +import sys +import os +import shutil + + + +# Pandoc-based markdown parser for sphinx +from recommonmark.parser import CommonMarkParser + +# Enabled extensions +extensions = [ + 'sphinx.ext.autodoc', + 'sphinx.ext.autosummary', + 'sphinx.ext.todo', + 'sphinx.ext.coverage', + 'sphinx.ext.napoleon', + 'sphinx.ext.imgmath', + 'sphinx.ext.ifconfig', + 'sphinx.ext.viewcode', + 'breathe' +] + +# numpy style documentation with Napoleon +napoleon_google_docstring = False +napoleon_use_param = False +napoleon_use_ivar = True + +# Use breathe to include doxygen documents +breathe_projects = {'FPGA-API' : 'doxygen_xml/'} +breathe_default_project = 'FPGA-API' + +# Do not use this; if _contrib markdown plugin was used +source_parsers = { '.md': CommonMarkParser} + +# Add any paths that contain templates here, relative to this directory. +templates_path = ['_templates'] + +# The encoding of source files. +source_encoding = 'utf-8-sig' + +# The suffix of source filenames. +source_suffix = ['.md', '.rst'] + +# The master toctree document. +master_doc = 'index' + +# General information about the project. +project = u'OPAE' +copyright = u'2017 Intel Corporation' +author = u'Intel DCG FPT SW' + +# The version info for the project you're documenting +# +# The short X.Y version. +version = u'@OPAE_VERSION@' + +# The full version, including alpha/beta/rc tags. +release = u'@OPAE_VERSION@' + +# This is also used if you do content translation via gettext catalogs. +# Usually you set "language" from the command line for these cases. +language = 'en' + +# List of patterns, relative to source directory, that match files and +# directories to ignore when looking for source files. +exclude_patterns = ['_build', 'Thumbs.db', '.DS_Store'] + +# The default language to highlight source code in. +highlight_language = 'c' + +# The name of the Pygments (syntax highlighting) style to use. +pygments_style = 'sphinx' + +# If true, `todo` and `todoList` produce output, else they produce nothing. +todo_include_todos = True + +# -- Options for HTML output --------------------------------------------- + +# The theme to use for HTML and HTML Help pages. See the documentation for +# a list of builtin themes. + +# html_extra_path = ['../../doc/html'] + +# Add any paths that contain custom themes here, relative to this directory. +html_theme_path = ['_themes'] + +import sphinx_rtd_theme +html_theme_path += [sphinx_rtd_theme.get_html_theme_path()] +html_theme = "sphinx_rtd_theme" + +# Theme options are theme-specific and customize the look and feel of a theme +# further. For a list of options available for each theme, see the +# documentation. +#html_theme_options = {} + +# The name for this set of Sphinx documents. If None, it defaults to +# " v documentation". +html_title = u'OPAE' + +# Output file base name for HTML help builder. +htmlhelp_basename = 'IntelFPGADocumentation' + +# -- Options for LaTeX output --------------------------------------------- + +latex_elements = { +# The paper size ('letterpaper' or 'a4paper'). +#'papersize': 'letterpaper', + +# The font size ('10pt', '11pt' or '12pt'). +#'pointsize': '10pt', + +# Additional stuff for the LaTeX preamble. +#'preamble': '', + +# Latex figure (float) alignment +#'figure_align': 'htbp', +} + +# Grouping the document tree into LaTeX files. List of tuples +# (source start file, target name, title, +# author, documentclass [howto, manual, or own class]). + +# Split document toctrees +quick_start_doc = 'docs/fpga_api/quick_start/readme' +prog_guide_doc = 'docs/fpga_api/prog_guide/readme' +drv_arch_doc = 'docs/drv_arch/drv_arch' +#hssi_config_doc = 'docs/fpga_tools/hssi_config/readme' +#hssi_loopback_doc = 'docs/fpga_tools/hssi_loopback/readme' +hssi_tuner_doc = 'docs/fpga_tools/mhssi_tuner/readme' +alaska_fw_loader_doc = 'docs/fpga_tools/alaska_fw_loader/readme' +fpga_tools_doc = 'docs/fpga_tools/readme' +ase_userguide_doc = 'docs/ase_userguide/ase_userguide' +api_build_doc = 'docs/build_chain/fpga_api/api_build' +driver_build_doc = 'docs/build_chain/fpga_driver/driver_build' +install_guide_doc = 'docs/install_guide/installation_guide' + + +latex_documents = [ + (quick_start_doc, 'quick_start.tex', u'Intel FPGA Quick Start Guide', u'FPT SW Development Team', 'howto'), + (prog_guide_doc, 'prog_guide.tex', u'Intel FPGA Programming Guide', u'FPT SW Development Team', 'howto'), + (fpga_tools_doc, 'fpga_tools.tex', u'Intel FPGA Tools', u'FPT SW Development Team', 'howto'), + # (fpgainfo_doc, 'fpgainfo.tex', u'fpgainfo', u'FPT SW Development Team', 'howto'), + (ase_userguide_doc, 'ase_userguide.tex', u'Intel AFU Simulation Environment (ASE) User Guide', u'FPT SW Development Team', 'howto'), + (api_build_doc, 'api_build.tex', u'apiBuild', u'FPT SW Development Team', 'howto'), + (driver_build_doc, 'driver_build.tex', u'Building the Intel FPGA driver', u'FPT SW Development Team', 'howto'), + (install_guide_doc, 'install_guide.tex', u'Intel FPGA Software Stack Installation Guide', u'FPT SW Development Team', 'howto'), + (drv_arch_doc, 'drv_arch.tex', u'FPGA Driver Architecture', u'FPT SW Development Team', 'manual'), + # (hssi_config_doc, 'hssi_config.tex', u'HSSI config manual', u'FPT SW Development Team', 'howto'), + # (hssi_loopback_doc, 'hssi_loopback.tex', u'HSSI loopback manual', u'FPT SW Development Team', 'manual'), + ] + +# -- Options for manual page output --------------------------------------- + +# One entry per manual page. List of tuples +# (source start file, name, description, authors, manual section). +man_pages = [ +# (master_doc, 'intel-fpga', u'Intel FPGA Documentation', +# [author], 1), + ("docs/fpga_tools/fpgabist/fpgabist", 'fpgabist', u'Perform self-diagnostic tests on supported FPGA platforms', [author], 8), + ("docs/fpga_tools/fpgaport/fpgaport", 'fpgaport', u'Enable/Disable virtualization', [author], 8), + ("docs/fpga_tools/fpgaflash/fpgaflash", 'fpgaflash', u'Update static FIM image loaded from flash at power-on', [author], 8), + ("docs/fpga_tools/fpgaflash/superrsu", 'super-rsu', u'Flash image files and command an Intel PAC device to perform RSU', [author], 8), + ("docs/fpga_tools/coreidle/coreidle", 'coreidle', u'Adjust number of active cores to account for FPGA power consumption', [author], 8), + ("docs/fpga_tools/fpgaconf/fpgaconf", 'fpgaconf', u'Configure green bitstreams to an FPGA', [author], 8), + ("docs/fpga_tools/fpgad/fpgad", 'fpgad', u'Log errors and generate events', [author], 8), + ("docs/fpga_tools/fpgadiag/README", 'fpgadiag', u'FPGA diagnosis and testing tool', [author], 8), + ("docs/fpga_tools/fpgainfo/fpgainfo", 'fpgainfo', u'FPGA information tool', [author], 8), + ("docs/fpga_tools/fpgamux/fpgamux", 'fpgamux', u'Software MUX for running multiple AFU tests in one GBS', [author], 8), + ("docs/fpga_tools/pac_hssi_config/pac_hssi_config", 'pac_hssi_config', u'Access Ethernet transceivers for designs', [author], 8), + ("docs/fpga_tools/hssi_loopback/readme", 'hssi_loopback', u'Interact with a packet generator GBS', [author], 8), + ("docs/fpga_tools/hssi_config/readme", 'hssi_config', u'Read from or write to HSSI registers', [author], 8), + ("docs/fpga_tools/mmlink/mmlink", 'mmlink', u'Enable remote SignalTAP debugging', [author], 8), + ("docs/fpga_tools/userclk/userclk", 'userclk', u'Set AFU high and low clock frequency', [author], 8), + ("docs/fpga_api/fpga_api", 'fpga_api', u'OPAE C API', [author], 8), + ("docs/fpga_api/fpga_cxx_api", 'fpga_cxx_api', u'OPAE C++ API', [author], 8), + ("docs/fpga_api/fpga_python_api", 'fpga_python_api', u'OPAE Python API', [author], 8), + ("docs/fpga_api/plug_guide/readme", 'plug_guide', u'OPAE Plugin API', [author], 8), + ("docs/fpga_api/prog_guide/readme", 'prog_guide', u'OPAE Programming Guide', [author], 8), + ("docs/fpga_api/quick_start/readme", 'quick_start', u'OPAE Quick Start Guide', [author], 8), + ("index", 'index', u'Manual page index', [author], 8) + +] + +# If true, show URL addresses after external links. +#man_show_urls = False + +# Example configuration for intersphinx: refer to the Python standard library. +intersphinx_mapping = {'https://docs.python.org/': None} + +# app setup hook to enable AutoStructify (for ```eval_rst blocks) +def setup(app): + app.add_config_value('recommonmark_config', { + # 'url_resolver': lambda url: github_doc_root + url, + 'auto_toc_tree_section': 'Contents', + 'enable_eval_rst': True, + 'enable_auto_doc_ref': True, + 'enable_math': True, + 'enable_inline_math': True, + }, True) diff --git a/doc/sphinx/index.rst.in b/doc/sphinx/index.rst.in new file mode 100644 index 0000000..3ac30bd --- /dev/null +++ b/doc/sphinx/index.rst.in @@ -0,0 +1,87 @@ +Open Programmable Acceleration Engine +===================================== + +The main documentation for the site is organized into following sections: + +* :ref:`user-docs` +* :ref:`api-docs` +* :ref:`driver-docs` +* :ref:`tools-docs` + +.. _user-docs: + +.. toctree:: + :maxdepth: 1 + :glob: + :caption: OPAE User Guides + + docs/fpga_api/quick_start/readme + docs/install_guide/installation_guide + docs/fpga_api/prog_guide/readme + docs/ase_userguide/ase_userguide + docs/README + +.. _api-docs: + +.. toctree:: + :maxdepth: 1 + :glob: + :caption: OPAE Libraries + + docs/build_chain/fpga_api/api_build + docs/fpga_api/fpga_api + docs/fpga_api/fpga_cxx_api + docs/fpga_api/fpga_python_api + docs/fpga_api/plug_guide/readme + +.. _driver-docs: + +.. toctree:: + :maxdepth: 1 + :glob: + :caption: OPAE Linux Kernel Drivers + + docs/drv_arch/drv_arch + docs/build_chain/fpga_driver/driver_build + +.. _tools-docs: + +.. toctree:: + :maxdepth: 1 + :glob: + :caption: OPAE FPGA Tools + + docs/fpga_tools/coreidle/coreidle + docs/fpga_tools/fpgabist/fpgabist + docs/fpga_tools/fpgainfo/fpgainfo + docs/fpga_tools/fpgaconf/fpgaconf + docs/fpga_tools/fpgad/fpgad + docs/fpga_tools/fpgadiag/README + docs/fpga_tools/fpgaflash/fpgaflash + docs/fpga_tools/fpgaflash/superrsu + docs/fpga_tools/super-rsu/super-rsu + docs/fpga_tools/fpgamux/fpgamux + docs/fpga_tools/fpgaport/fpgaport + docs/fpga_tools/mmlink/mmlink + docs/fpga_tools/packager/packager + docs/fpga_tools/userclk/userclk + +.. _afu-tools-docs: + +.. toctree:: + :maxdepth: 1 + :glob: + :caption: OPAE AFU Tools + + docs/fpga_tools/hssi_config/readme + docs/fpga_tools/hssi_loopback/readme + + +.. _opae_ups_drv: + +.. toctree:: + :maxdepth: 1 + :glob: + :caption: OPAE support on Intel FPGA PCIe driver and Linux PCIe FPGA DFL driver + + docs/fpga_dfl_drv/fpga_dfl_drv diff --git a/doc/sphinx/requirements.txt b/doc/sphinx/requirements.txt new file mode 100644 index 0000000..f06bf6c --- /dev/null +++ b/doc/sphinx/requirements.txt @@ -0,0 +1,9 @@ +requests==2.20.0 +docutils==0.14 +pygments==2.2.0 +sphinx==1.6.5 +sphinx-rtd-theme==0.4.3 +sphinx_fontawesome==0.0.6 +sphinxcontrib-pandoc-markdown==1.6.8 +breathe==4.0.0 +travis-sphinx diff --git a/doc/src/ase_userguide/ase_error_example.png b/doc/src/ase_userguide/ase_error_example.png new file mode 100644 index 0000000..5c25819 Binary files /dev/null and b/doc/src/ase_userguide/ase_error_example.png differ diff --git a/doc/src/ase_userguide/ase_overview.png b/doc/src/ase_userguide/ase_overview.png new file mode 100644 index 0000000..fb00aa7 Binary files /dev/null and b/doc/src/ase_userguide/ase_overview.png differ diff --git a/doc/src/ase_userguide/ase_overview_rev1.PNG b/doc/src/ase_userguide/ase_overview_rev1.PNG new file mode 100644 index 0000000..a2ebeb7 Binary files /dev/null and b/doc/src/ase_userguide/ase_overview_rev1.PNG differ diff --git a/doc/src/ase_userguide/ase_server_client_process.png b/doc/src/ase_userguide/ase_server_client_process.png new file mode 100644 index 0000000..902a819 Binary files /dev/null and b/doc/src/ase_userguide/ase_server_client_process.png differ diff --git a/doc/src/ase_userguide/ase_server_client_process_rev1.PNG b/doc/src/ase_userguide/ase_server_client_process_rev1.PNG new file mode 100644 index 0000000..1b48bdb Binary files /dev/null and b/doc/src/ase_userguide/ase_server_client_process_rev1.PNG differ diff --git a/doc/src/ase_userguide/ase_server_client_process_rev2.PNG b/doc/src/ase_userguide/ase_server_client_process_rev2.PNG new file mode 100644 index 0000000..a38aa90 Binary files /dev/null and b/doc/src/ase_userguide/ase_server_client_process_rev2.PNG differ diff --git a/doc/src/ase_userguide/ase_userguide.md b/doc/src/ase_userguide/ase_userguide.md new file mode 100644 index 0000000..8c26011 --- /dev/null +++ b/doc/src/ase_userguide/ase_userguide.md @@ -0,0 +1,1012 @@ +# Intel® Accelerator Functional Unit (AFU) Simulation Environment (ASE) User Guide # + +```eval_rst +.. toctree:: + +.. highlight:: c + +.. highlight:: console +``` + +## Intended Audience ## + +The *Accelerator Functional Unit (AFU) Accelerator Simulation Environment (ASE) User Guide* addresses both beginning and experienced developers. To be successful, you should have knowledge and experience +in the following areas: + +* C/C++ +* Verilog/SystemVerilog +* RTL simulators such as Synopsys VCS-MX\* or Mentor Graphics ModelSim-SE* or QuestaSim\* + +Alternatively, you can create a team that includes developers who specialize in either RTL or software development. +Previous FPGA place and route (PAR) experience is not required to be successful, but PAR experience is also a useful skill. + +## Introduction ## + +The ASE provides a consistent transaction-level hardware interface and software API +that allows you to develop a production-quality Accelerated Functional Unit (AFU) and host software application. +The ASE supports both the Intel Xeon® Processor with Integrated FPGA and the Intel Acceleration Stack for Intel +Xeon CPU with FPGAs also called the Intel Programmable Acceleration Card (PAC). The figures in this user guide illustrate +the Intel PAC with Arria® 10 GX FPGA. The figures show a local memory that is only available on the PAC. + +To use the ASE Environment you must have source code in a language that RTL simulators can interpret. The following languages are possible: + +* Verilog +* SystemVerilog +* VHDL + +```eval_rst +.. note:: + The ASE supports one AFU and one application at a time. The ASE does not support multiple-slot simulation. +``` + +![Supported Platforms](platform_rev1.PNG "Supported Platforms") + +### AFU Simulation Environment (ASE) Overview ### +ASE is a dual-process simulator. One process runs an AFU RTL simulation. The other process connects to software that +runs on the RTL AFU simulation. This unified simulation environment reduces AFU hardware and software development time. +The OPAE software distribution includes the ASE. + +![Block Diagram](ase_overview_rev1.PNG "Block Diagram") + +The ASE provides two interfaces: + +* Software: OPAE API implemented in the C programming language. + +* Hardware: Core Cache Interface (CCI-P) specification implemented in SystemVerilog. + +Use these interfaces to deploy your IP on the Integrated FPGA Platform or the Intel PAC with Arria 10 GX FPGA. + +### ASE Capabilities ### + +* The ASE provides a protocol checker to ensure protocol correctness. The ASE also provides methods to identify potential issues early, +before in-system deployment. + +* The ASE can help identify certain lock conditions and Configuration and Status Registers (CSR) address mapping and pointer +math errors. + +* The ASE tracks memory requested from the accelerator. The memory model immediately +flags illegal memory transactions to locations outside of requested memory spaces. Consequently, you can fix incorrect memory +accesses early, during the simulation phase. + +* The ASE does not guarantee that you can synthesize an AFU. After you verify the AFU RTL functionality in the ASE, +use the ASE and the Intel Quartus® Prime Pro Edition software iteratively to generate the Accelerator Function (AF). + +* The ASE provides a data hazard checker that identifies CCI-P traffic patterns that may cause Write After Write (WAW), +Read After Write (RAW), and Write After Read (WAR) hazards. Debug these transactions using a waveform viewer +or avoid them by using a relevant [Memory Properties Factory](https://github.com/OPAE/intel-fpga-bbb/wiki/BBB_cci_mpf) +(MPF) shim. + +* The ASE does not require administrator privileges. After installing all the required tools, you can run the ASE on a plain +vanilla user Linux machine. + +### ASE Limitations ### + +When using ASE in the application development cycle, consider the following limitations: + +* The ASE is a transaction-level simulator. It does not model either Intel UPI- or PCIe-specific packet structures and protocol layers. + +* The ASE does not simulate caching and is not a cache simulator. It cannot reliably simulate cache collisions or +capacity issues. + +* Although ASE models some latency parameters, it cannot model real-time system-specific latency. It is also not +an accurate timing simulation of the design or latency and bandwidth of the real system. The ASE models enable +you to develop functionally correct accelerators. + +* The ASE does not simulate multi-AFU or multi-socket configurations. + + +### ASE-Based AFU Design Workflow ### + +![ASE-based AFU Design Workflow](workflow_rev1.PNG "The ASE based AFU Design Workflow") + +AFU development using the ASE includes the following four stages: + +1. Learning/Training: Learn to use ASE and understand the interface specifications and platform. Review sample code to get an +understanding of the CCI-P specification and OPAE API function calls. Run samples in an ASE simulation. + +2. Development Phase: Use the ASE to develop AFU RTL and software application in a single workflow. Develop RTL from the specification +or by modifying existing sample RTL. The ASE includes a behavioral model of the FPGA Interface Manager (FIM) IP that +provides immediate feedback on functionality during the development phase. The ASE flags errors in CCI-P protocols, +transactions, and memory accesses. Consequently, you can fix these errors before moving to the time-consuming bitstream +generation phase. + +3. Bitstream Generation: Once AFU RTL and software are functionally correct, open the AFU RTL in the Intel Quartus Prime Pro +Edition software. Run the place and route (PAR) tools for your platform. + + Use the Synthesis reports to correct problems in the AFU RTL. Then, return to the development phase and revalidate in ASE. + Bitstream generation can take hours depending on design complexity, area, and so on. After successful bitstream generation, + perform timing analysis to check for timing corners, setup and hold violations, clock closure, and so on. After correcting + failures found during timing analysis, revalidate in the ASE environment. When the AFU is error-free, generate the Accelerator + Function (AF) bitstream that represents the AFU. + +4. In-system Deployment: Test the AF in system hardware. Use Signal Tap to debug problems. Develop platform-specific software +optimizations. + +![Synthesize the AFU Design](portability_rev1.PNG "Synthesize the AFU Design") + +The AFU RTL code and OPAE software code you create in the ASE is compatible with the Intel Quartus Prime PAR software if the following two conditions are true: +* The AFU RTL code is synthesizable. +* The AFU RTL code meets timing. + +In the simulation environment, complete the following steps to create an AF bitstream and program the hardware: +1. Compile the AFU RTL in either the Synopsys VCS-MX or in the Mentor Graphics ModelSim-SE + or QuestaSim simulators. +2. Compile the software application for an ASE-specific implementation of the OPAE API. +3. Synthesize the AFU RTL in the Intel Quartus Prime Pro software to generate a bitstream. +4. Program the hardware using this bitstream. + +```eval_rst +.. note:: + The ASE only operates using the AFU RTL source code. It cannot take the AF bitstream as input. +``` + +## System Requirements ## + +The OPAE software release includes the ASE. The current OPAE ASE release supports both Acceleration Stack for the Intel Xeon Processor +with Integrated FPGA and Acceleration Stack for the Intel PAC card. + +The ASE is available only on 64-bit Linux operating systems with one of the following simulators: +* 64-bit Synopsys* RTL Simulator (minimum version VCS-MX H2013.06-SP1) +* 32 and 64-bit Mentor Graphics* Modelsim SE Simulator (minimum version 10.5a) +* 64-bit Mentor Graphics* QuestaSim Simulator (minimum version 10.4d) + +ASE performance is better on a 64-bit RTL simulator. +Consult your RTL simulator vendor for Synopsys or Mentor Graphics for specific simulation requirements. + +The ASE uses Inter-Process Communication (IPC) constructs. Under most circumstances these constructs operate without glitches. +The following Linux locations should exist and be writeable. In most Linux distributions, ```/dev/shm``` comes pre-mounted as a +default option. + +Here are the other ASE requirements: + +* C-Compiler: gcc 4.8.5 or above + + * Boost Development libraries + * UUID Development libraries + * JSON Development libraries + * Please see the dependencies of the OPAE System library build process + +* CMake: version 2.8.11 or above +* GLIBC: version 2.19 or above +* Python: version 2.7 or above +* Intel Quartus Prime Pro Edition: The ASE must find the ```$QUARTUS_HOME/eda/sim_lib/``` directory. You specify this directory +during project definition in the Intel Quartus Prime Pro Edition software. + +The ASE provides the ```env_check.sh``` bash script in the ```/sw/opae-x.x.x/ase/scripts``` directory. Run this script to +verify the your installation. + +Check the RTL simulator product information for supported operating systems, installation notes, and other related information. +The RTL simulator must be able to perform the following functions: + +* Compilation of the SystemVerilog Direct Programming Interface (DPI) constructs +* Compilation of the standard examples that are included in the installation +* Support for SystemC + + +## Package Description ## + +Download the ASE as source or in RPM format. + +The source directory tree is: + +```{.shell} + + OPAE_BASEDIR + |-- ase + | |-- api + | | -- src + | |-- in + | |-- rtl + | | -- dcp_emif_model + | |-- scripts + | |-- sw + | + |-- cmake + |-- common + | |-- include + | | |-- opae + | | |--safe_string + | + |-- doc + |-- libopae + |-- libopaecxx + |-- platforms + |-- pyopae + |-- safe_string + |-- samples + |-- scripts + |-- tools + +``` + +This directory tree shows the package structure of the ASE distribution. The following directories implement and run the ASE simulator: + +* ```ase```: This is the ASE simulator implementation directory. It contains the following subdirectories: + * ```api/src```: This directory contains the OPAE Intel ASE implementation as a compiled library. You can link statically + or dynamically to this library. + * ```rtl```: This directory contains the RTL components of the ASE. You can compile this RTL for either platform. + * ```dcp_emif_model```: This is the local DDR memory model for PAC card. Compile this model for PAC + mode simulations. + * ```scripts```: This directory contains several useful scripts. Refer to the [ASE Scripts](#ase-scripts) + Section for more information. + * ``` sw```: This directory contains the software components of the ASE. All simulations require the software components. + The GNU Compiler Collection (GCC) compiles these components. +* ```common```: This directory contains the OPAE library definitions. It defines various macros for access to an FPGA in +an OPAE context. +* ```libopae```: This library is the Intel platform-specific implementation of the OPAE API. +* ```platforms```: This directory contains scripts and RTL to manage the connection between a platform and the AFU top-level interface. +* ```scripts```: This directory contains test build scripts. +* ```tools```: This directory contains the FPGA tools including the fpgadiag and fpgaconfig tools. + + +### ASE Scripts ### + +The ASE distribution under the ```ase/scripts``` includes several scripts. Use these scripts to initialize, set up, +and clean an existing ASE simulation environment. + +#### Simulation Tool Set Up #### + +Use ```ase/scripts/ase_setup_template.sh``` as a template script to set up the required tools. This script has many empty +placeholders for site- and environment-specific information. Consult your Electronic Design Automation (EDA) tools administrator, +or the RTL simulator user guides for help setting up the tools. + +#### ASE Environment Check #### + +This script checks the status of the OS distribution, distro, and available system libraries. This check is a non-exhaustive. +It looks for only the most important dependencies, such as the GCC version, GLIBC version, and so on. + +```{.bash} + + $ ./ase/scripts/env_check.sh + +``` + +#### AFU Simulation Using the ASE #### + +Before configuring the ASE, follow the instructions for building the OPAE SDK and ensure that either the OPAE +installed ```bin``` or the OPAE build tree ```bin``` directory is on your shell's ```PATH```. + +To simulate an AFU, replicate the ASE source tree and add the AFU-specific configuration. The OPAE installation includes +several scripts to accomplish this task. The primary script, ```afu_sim_setup```, is in the OPAE ```bin``` +directory. + +Refer to the [ASE Example](#ase-example) section below for a sample workload definition and execution flow. + +#### afu_sim_setup ### + +The ```afu_sim_setup``` script reads a file containing a list of RTL sources (\) and configures a simulation +environment for the specified sources. The ```afu_sim_setup``` command copies your base ASE environment to the \. + +```{.bash} + + $ afu_sim_setup --sources= + +``` +* The only required argument to the `afu_sim_setup` command is the directory for the new AFU environment. Here are the +optional arguments: + +* ```--help``` The ```help``` argument lists all the arguments to ```afu_sim_setup```. +* ```--platform```: The ```platform```argument specifies any platform defined in the platform database, including both + the Integrated FPGA Platform or the Intel PAC. This argument is generally not required when a hardware platform + release is installed. In that case, the OPAE_PLATFORM_ROOT environment variable points to the hardware release, + which defines the platform. + +`afu_sim_setup` is a wrapper for the following scripts. You can also access both of these scripts directly: + +* ```rtl_src_config```: This script transforms the list of RTL sources into simulator configuration files. + +* `generate_ase_environment.py`: This script instantiates your simulated platform configuration. + +#### rtl_src_config #### + +The ```/platforms/scripts/rtl_src_config``` script maps a simple text file containing a list of RTL source files to an +ASE configuration file for simulation or an Intel Quartus Prime Pro configuration file for synthesis. ```rtl_src_config``` also +defines preprocessor variables. Source configuration files may be hierarchical, with one file including another. ```rtl_src_config``` +can construct ASE-based simulation trees or Quartus build trees. + +Run ```rtl_src_config --help``` for a list of options and the required command syntax. + +#### generate_ase_environment.py #### + +The ```/ase/scripts/generate_ase_environment.py``` generates platform configuration files. ```afu_sim_setup``` invokes it +automatically. A legacy mode in ```generate_ase_environment.py``` performs a brute-force check of the specified AFU RTL +directories, attempting to define a compilation. This brute-force mode is +imperfect and lists every file ending in ```.sv, .vs, .vhd, or .v``` and directories separated by ```+```. It also may fail +when compilation is order-dependent. + +Run ```generate_ase_environment.py --help``` for a list of arguments. + +* The script requires a directory path to your RTL AFU. +* ```-t```: The default for the optional ```-t``` (tool) argument is ```VCS```. For Mentor tools, use the ```QUESTA``` option. +* ```-p```: The default for the optional ```-p``` (platform) argument is ```intg_xeon``` which stands for the Integrated FPGA +Platform. Use ```discrete``` for the Intel PAC. +* ```-x```: The optional exclusions argument lists exclusions for path searches. + +The Synopsys and Mentor Graphics RTL simulators generate the following scripts. + +* Synopsys: Creates ```synopsys_sim.setup``` and ```vcs_run.tcl``` in the ```VCS``` configuration directory. +* Mentor Graphics: Creates ```vsim_run.tcl``` in the ```QUESTA``` configuration directory. + +The run-time simultation uses the ```.tcl``` files. + +Details on generated files: +* ```vlog_files.list```: Lists all the Verilog and SystemVerilog files found in the AFU directory path. +* ```vhdl_files.list```: Lists all the VHDL files found in the AFU directory path. +* ```ase_sources.mk```: Ties the above two files into ```DUT_VLOG_SRC_LIST``` and ```DUT_VHD_SRC_LIST``` Makefile variables. + * ```ASE_PLATFORM```: Sets the platform type to the default type or the type you specify. + * Set additional VCS or QUESTA options using the ```SNPS_{VLOGAN,VHDLAN,VCS}_OPT``` or ```MENT_{VLOG,VCOM,VSIM}_OPT``` options + in the Makefile. Refer to [ASE Makefile Variables](#ase-makefile-variables) for more information. + +The simulation files use absolute paths when possible. To improve portability across users and groups, substitute environment +variables in the generated files that build and run the simulator. + +```eval_rst +.. note:: + You must manually check this file for correctness before using it in the simulation. +``` + +#### Cleaning the ASE Environment #### + +Use the ASE cleanup script located in ```scripts/ipc_clean.py``` to kill zombie simulation processes and temporary files left +behind by failed simulation processes or crashes. + +```{.bash} + + $ ./ase/scripts/ipc_clean.py + + ############################################################ + # # + # ASE IPC Cleanup script # + # # + ############################################################ + IPC mounts seem to be readable... will attempt cleaning up IPC constructs by user ' user_foo ' + Removing .ase_ready file ... + Type 'y' to clean up all zombie ase_simv processes : y + Going ahead with cleaning up ASE processes opened by user_foo + $ + + +``` + +## ASE Usage ## + +The AFU ASE is a server-client simulation environment. The AFU RTL is the server process. The software application +compiled and linked to the OPAE ASE library is the client process. Communication between server and client uses named pipes. +The ASE abstracts most of the simulation infrastructure. You do not need to modify it. + +![ASE Server-Client Process Flow](ase_server_client_process_rev2.PNG "ASE Server-Client Process Flow") + + +* **Server Process**: + * The server process interfaces to 3rd-Party RTL Simulator packages. The server process currently supports Mentor + Modelsim-SE\* Questasim\* and Synopsys CS-MX\* via the SystemVerilog-DPI library and simulator software interface. + * Named pipes implement communication to the client. Named pipes also implement control, status and session management. + The server process includes a pipe event monitoring engine. + * SystemVerilog manages the CCI-P interface. All CCI-P events are logged and time stamped. + * The server also includes a CCI-P protocol checker that checks CCI-P transactions originating in the AFU. This checker + identifies CCI-P protocol issues, hazards, race conditions, and so on. + * The buffer allocation calls map to POSIX Shared Memory (```/dev/shm```). The server-client processes share information + about these buffers using named pipes. + +.. note:: +``` +The Physical addresses generated in ASE are not realistic and are not replicable in-system. +``` + +* **Client Process**: + * The client implements an OPAE interface and a library to access the ASE platform functionality including MMIO, + Buffer management, and session control. The features available depend on the platform you specify at build time. + These functions are available using the OPAE API. + * The client process also provides a physical memory model that simulates the RTL AFU access to physical + addresses. The physical memory model simulates address translation from virtual addresses to physical addresses. + * A compiled program compiles and links to the ASE implementation of OPAE library. All OPAE calls route to ASE + instead of the OPAE platform driver. + +Separate build scripts build the server and client processes. + +* Server: A makefile in the ```ase``` directory compiles the ASE server process, containing the ASE Software, SystemVerilog + engines and the AFU RTL logic code. +* Client: The main ```cmake``` script in the root of the distribution builds the OPAE library implementations for the System + and ASE. The cmake script installs the library in the ```lib``` directory. + +### AFU Build Instructions ### + +#### ASE Application (Client) Build Instructions #### + +Follow these instructions if you are building the OPAE SDK from a source tar file. Otherwise go directly to the Application build step. + +```eval_rst +.. note:: + In these instructions, the directory ``/tmp/opae/`` is the base directory where you install the OPAE API software + distribution. The ``/tmp`` prefix may point to any system or user directory. + + The ASE Source directory points to ``/tmp/opae/ase/`` +``` + +* To build the OPAE libraries: + +```{.bash} + + # Change directory to the opae directory + $ cd /tmp/opae/ + + # Check contents + $ ls + ase cmake common doc libopae platforms safe_string samples scripts tools AUTHORS CMakeLists.txt COPYING + README RELEASE_NOTES + + # Create an out-of-build directory, and change to it + $ mkdir mybuild + $ cd mybuild + + # Configure the software build using cmake (see options) + # $ cmake + # Install directory, in this example it is /myinst/ + + $ cmake ../ -DOPAE_BUILD_SIM=YES -DCMAKE_INSTALL_PREFIX=/tmp/opae/myinst/ + -- The C compiler identification is GNU 4.8.4 + -- The CXX compiler identification is GNU 4.8.4 + -- Check for working C compiler: /usr/bin/cc + -- Check for working C compiler: /usr/bin/cc -- works + . + . + . + -- Configuring done + -- Generating done + -- Build files have been written to: /tmp/opae/mybuild + + # Build and install libraries + $ make + $ make install + + # The environment Variable PATH should point to /myinst/bin + # Check library install paths + $ cd /tmp/opae/myinst/ + $ ls + doc include lib + + $ ls lib + libopae-c-ase.so libopae-c.so libopae-c.so.0 libopae-c.so.0.1.0 ... + +``` + +Build the software application for the ASE using ```libopae-c-ase.so``` and the ```gcc``` command. +You can build the software application using one of the following three methods: + +##### Dynamically Linking libopae-c-ase.so ##### + +```{.bash} + + # Change directory to /opae/samples/ + # The UUID code requires the -luuid argument + # Linking to the ASE library requires the -lopae-c-ase argument + # + $ gcc -g -o hello_fpga hello_fpga.c -L /tmp/opae/myinst/lib/ -I /tmp/opae/myinst/include/ -luuid -lpthread -lopae-c-ase -std=c99 + + # When running the application be sure the relevant LD_LIBRARY_PATH variable is set + $ ./hello_fpga + +``` +##### Dynamically Linking to the System Library and then Overriding It ##### + +The ASE implementation of the OPAE library simulates system behavior. Consequently, the application may also link dynamically +to the System library, `libopae-c.so` and `libopae-c-ase.so`, and then override the system library with the +```LD_PRELOAD``` environment. + +```{.bash} + + # Change directory to /opae/samples/ + # The UUID code requires the -luuid argument + # Linking to the ASE library requires the -lopae-c-ase argument + # + $ gcc -g -o hello_fpga hello_fpga.c -L /tmp/opae/myinst/lib/ -I /tmp/opae/myinst/include/ -luuid -lpthread -lopae-c -std=c99 + + # When running the application be sure the relevant LD_LIBRARY_PATH variable is set + # You must also set the ASE_WORKDIR environment variable + $ LD_PRELOAD=libopae-c-ase.so ./hello_fpga + +``` + +##### Compiling the libopae-c-ase.so Library with the OPAE Software Application ##### + +Use the OPAE ASE library implementation and compile it to the OPAE software application directly in one command using GCC. + +```{.bash} + + # Compile command + $ gcc -g -o hello_fpga_ase hello_fpga.c /tmp/opae/myinst/lib/libopae-c-ase.so -I /tmp/opae/myinst/include/ -std=c99 -luuid + + # When running the application, start the simulator, then set LD_LIBRARY_PATH and ASE_WORKDIR + $ ./hello_fpga_ase + +``` + +#### ASE Simulator (Server) Build Instructions #### + +ASE uses a platform differentiation key in the simulator Makefile to enable different platform features and produces a +simulator configuration based on the differentiation key. These keys are set automatically by ```afu_sim_setup``` and +```generate_ase_environment.py```. + +You must note the following required build configurations: + +| Configuration | Description | Default | +|:---------------|:------------|:--------| +| ```ASE_PLATFORM``` | This is the platform differentiator. It must be set in ```ase_sources.mk``` to point to the required simulator features.
```FPGA_PLATFORM_INTG_XEON``` and ```FPGA_PLATFORM_DISCRETE``` are the only legal values. | ```FPGA_PLATFORM_INTG_XEON``` | +| ```SIMULATOR``` | This must be set in ```ase_sources.mk``` to point to the correct RTL simulator.
```VCS``` and ```QUESTA``` are the only legal values. | ```VCS``` | +|```DUT_VLOG_SRC_LIST```, ```DUT_VHDL_SRC_LIST```, and ```DUT_INCDIR``` | These options point to AFU Verilog, VHDL and include path settings required for RTL simulators to build the simulation model correctly. | None supplied — You must specify this. | + +For more information on other switches, refer to [ASE Makefile targets](#ase-makefile-targets) + +Use the ```scripts/generate_ase_environment.py``` script to generate the AFU-specific files for the simulator build. +This script is not infallible and +requires manual inspection of generated configurations to achieve correct results. + +```eval_rst +.. note:: + Edit `ase_sources.mk` to add AFU RTL-specific define macros or include paths. +``` + +You may use the [Generate ASE Environment](#generate_ase_environment.py) script to generate the required AFU ```ase_sources.mk``` and ```vlog_files.list``` files. + +```{.bash} + + # change to ASE directory + $ cd /tmp/opae/ase/ + + # Use the scripts/generate_ase_environment.py + $ ./scripts/generate_ase_environment.py -t VCS -p discrete + . + . + . + # Edit files as needed. + + $ ls + ase_sources.mk vcs_run.tcl synopsys_sim.setup vlog_files.list ... + +``` +You may need to check ```vlog_files.list``` and ```ase_sources.mk``` files for correctness. Now, build the simulator +with a 'make' command. + +```{.shell} + + $ cd /ase/ + $ make + . + . + + $ ls work/ase_simv + work/ase_simv + +``` + +### ASE Runtime Instructions ### + +The ASE server-client simulator makes the server before the client. Here are the run-time options: + +```{.bash} + + $ make sim \ + [SIMULATOR=] \ + [ASE_CONFIG=] \ + [ASE_SCRIPT=] + +``` + +Use two terminal windows to start the simulation. + +* Terminal 1: Runs `make sim`. The ASE initializes and the AFU issues a reset and then waits for incoming transactions. +The software application must wait until the "Ready for Simulation" message displays. + +Specify the environment variable ```ASE_WORKDIR``` Terminal 1. + +```{.shell} + + # Invoke the simulator + $ make sim + SIMULATOR=VCS + CC=gcc + ################################################################# + # # + # OPAE Intel(R) Xeon(R) + FPGA Library # + # AFU Simulation Environment (ASE) # + # # + ################################################################# + ASE platform set to MCP_SKYLAKE mode + . + . + . + SIM-SV: Transaction Logger started + SIM-SV: Simulator started... + SIM-C : +CONFIG /tmp/opae/ase/ase.cfg file found ! + SIM-C : +SCRIPT /tmp/opae/ase/ase_regress.sh file found ! + SIM-C : PID of simulator is 41819 + SIM-C : Reading /tmp/opae/ase/ase.cfg configuration file + SIM-C : ASE was started in Mode 1 (Server-Client without SIMKILL) + ASE mode ... Server-Client mode without SIMKILL + Inactivity kill-switch ... DISABLED + Reuse simulation seed ... ENABLED + ASE Seed ... 1234 + ASE Transaction view ... ENABLED + User Clock Frequency ... 312.500000 MHz, T_uclk = 3200 ps + Amount of physical memory ... 128 GB + + . + . + . + SIM-C : ** ATTENTION : BEFORE running the software application ** + Set env(ASE_WORKDIR) in the terminal where application will run (copy-and-paste) => + $SHELL | Run: + ---------|--------------------------------------------------- + bash/zsh | export ASE_WORKDIR=/tmp/opae/ase/work + tcsh/csh | setenv ASE_WORKDIR /tmp/opae/ase/work + For any other $SHELL, consult your Linux administrator + + SIM-C : Ready for simulation... + SIM-C : Press CTRL-C to close simulator... + + +``` + +You can close Terminal 1 ```make sim```by issuing a ```SIGTERM``` to the relevant ```ase_simv``` process or by typing ```CTRL-C```. + +* Terminal: First set the environment variable ```ASE_WORKDIR``` as specified in Terminal 1. In this example ```ASE_WORKDIR``` +is set to ```/tmp/opae/ase/work```. Then, start the software application. + +```{.bash} + + # Set ASE_WORKDIR environment variable + $ export ASE_WORKDIR=/tmp/opae/ase/work/ + + # Run the application + $ export LD_LIBRARY_PATH=/tmp/opae/myinst/lib/ + $ LD_PRELOAD=libopae-c-ase.so ./hello_fpga + +``` + + +```eval_rst +.. note:: + After the application exits, the simulation is complete. Close the simulator to allow the waveform dump + process to complete. In Terminal 1, type the ``CTRL-C`` command. +``` + + +```{.bash} + + + + + . + . + . + SIM-C : Ready to run next test + 818602500C0Tx AlmFull toggled from 1 to 0 + + 818602500C1Tx AlmFull toggled from 1 to 0 + + + + ^CSIM-C : Closing message queue and unlinking... + SIM-C : Unlinking Shared memory regions.... + SIM-C : Session code file removed + SIM-C : Removing message queues and buffer handles ... + SIM-C : Cleaning session files... + SIM-C : Simulation generated log files + Transactions file | $ASE_WORKDIR/ccip_transactions.tsv + Workspaces info | $ASE_WORKDIR/workspace_info.log + ASE seed | $ASE_WORKDIR/ase_seed.txt + + SIM-C : Tests run => 1 + SIM-C : Sending kill command... + SIM-SV: Simulation kill command received... + + Transaction count | VA VL0 VH0 VH1 | MCL-1 MCL-2 MCL-4 + ======================================================================================== + MMIOWrReq 9 | + MMIORdReq 2 | + MMIORdRsp 2 | + UMsgHint 0 | + UMsgData 0 | + RdReq 16384 | 0 0 16384 0 | 16384 0 0 + RdResp 16384 | 0 0 16384 0 | + WrReq 16385 | 0 0 16385 0 | 16385 0 0 + WrResp 16385 | 0 0 16385 0 | 16385 0 0 + WrFence 1 | 0 0 1 0 | + WrFenRsp 1 | 0 0 1 0 | + + $finish called from file "/tmp/opae/ase/rtl/ccip_emulator.sv", line 2657. + $finish at simulation time 1514962500 + V C S S i m u l a t i o n R e p o r t + Time: 1514962500 ps + CPU Time: 142.500 seconds; Data structure size: 1.1Mb + Tue Jun 20 13:29:13 2017 + +``` + +Upon completion, the simulation generates the following files: + +* Waveform dump: ```make wave``` opens the waveform for the selected tool. + + * ```inter.vpd```: VCS Waveform file + * ```vsim.wlf```: Mentor/Questa waveform file. + +* ```$ASE_WORKDIR/ccip_transactions.tsv```: CCI-P Events log listing all events observed on the CCI-P interface. The timestamps +indicate the corresponding time interval in the waveform dump VPD file. +* ```$ASE_WORKDIR/workspace_info.log```: Information about buffers the simulation opened. +* ```$ASE_WORKDIR/ase_seed.txt```: Information about the simulation seed. +* ```$ASE_WORKDIR/ccip_warnings_and_errors.txt```: Information about CCI-P warnings and errors. + + + +### Recommendations ### + +1. The ASE ```ccip_logger.sv``` module logs CCI-P events for designs that use the CCI-P protocol. This module allows +you to compare transactions and traffic flow through the CCI-P interfaces. + +```eval_rst +.. note:: + Use a different file name for each instantiation of the of the ``ccip_logger``. +``` + +![ASE CCI-P Logger Reuse](ccip_logger_reuse_rev1.PNG "ASE CCI-P Logger Reuse") + +2. The ASE shutdowns simulations gracefully, closing all mutexes, locks, POSIX structures safely before exiting via the +```$finish``` statement in SystemVerilog. If your AFU design uses ```$error``` or ```$finish``` to identify error conditions +while simulating, consider using the handle ```start_simkill_countdown()``` instead. ```start_simkill_countdown()``` calls +```$finish``` after completing the steps for a graceful shutdown. + +3. You can script ASE simulations. When the simulator is ready for use, the simulation writes a lock file, +```$ASE_WORKDIR/.ase_ready```, to the work directory. + +```{.bash} + + # Start Simulator in background + make sim & + + # Wait for simulator readiness + # When .ase_ready is available in the $ASE_WORKDIR, the ASE is ready for simulation + while [ ! -f $ASE_WORKDIR/.ase_ready.pid ] + do + sleep 1 + done + + # Start application + cd $PATH_TO_APPLICATION + + # Export ASE_WORKDIR variable + export ASE_WORKDIR={Path to simulator mentioned in ASE green printout} + ./Application + +``` + +4. To reduce simulation time, make the following changes to the default simulation settings: + * Turn OFF wave dumps: Check your RTL vendor's recommendation on switching off wave form dumps. In some cases, is possible + to generate wave dumps for specific module hierarchies. + * Turn OFF display messages by setting ```ENABLE_CL_VIEW = 0``` in ```ase.cfg```. + +5. To generate random CCI-P transactions for every run, modify the ASE latency model, ```$ASE_SRCDIR/rtl/platform.vh```. +The ```*_LATRANGE``` constraint defines a min/max pair: + +```{.verilog} + + `define X_LATRANGE min, max + +``` + +The ```min``` and ```max``` values are the minimum and maximum cycle counts for responses returning to the AFU. Simulation +assigns transactions a random latency in the (min, max) range. The larger the difference between (min, max), the larger the +standard deviation of latency for a given type of transaction. You can specify different (min, max) values for different +transaction types. + +```eval_rst +.. note:: + The latency values specified for simulation are for testing only. They may not accurately represent the real + latencies of your system hardware. +``` + + +```{.verilog} + + /* + * Latency model + * Coded as a Min,Max tuple + * ------------------------------------------------------- + * RDLINE_LATRANGE : ReadLine turnaround time + * WRLINE_LATRANGE : WriteLine turnaround time + * UMSG_LATRANGE : UMsg latency + * INTR_LATRANGE : Interrupt turnaround time + * + * LAT_UNDEFINED : Undefined latency + * + */ + `define MMIO_LATENCY 15 + `define RDLINE_S_LATRANGE 20,118 + `define RDLINE_I_LATRANGE 20,118 + `define WRLINE_M_LATRANGE 20,118 + `define WRLINE_I_LATRANGE 20,118 + `define UMSG_START2HINT_LATRANGE 39,41 + `define UMSG_HINT2DATA_LATRANGE 41,45 + `define UMSG_START2DATA_LATRANGE 82,85 + `define INTR_LATRANGE 10,15 + + `define LAT_UNDEFINED 300 + + `define RDWR_VL_LATRANGE 20,118 + `define RDWR_VH_LATRANGE 140,180 + + `define ASE_MAX_LATENCY 300 + +``` + +6. The ASE includes a CCI-P protocol checker, ```$ASE_SRCDIR/rtl/ccip_checker.sv```. Use this module to verify CCI-P compliance. +The checker sniffs transactions, conditions and header settings and flags warnings or errors. Identify multiple issue +classes in the ```hw/ccip_sniffer.sv```. The ASE logs all warnings and errors in ```$ASE_WORKDIR/ccip_warnings_and_errors.txt```. + +![ASE Error Example](ase_error_example.png "ASE Error Example") + +* Memory errors in transactions: Memory errors have the highest severity. The simulation stops immediately. The ASE logs + memory errors, including timestamps, in ```$ASE_WORKDIR/ase_memory_error.log```. + +* Protocol warnings: Warnings do not stop the simulation. The ASE logs warnings in ```$ASE_WORKDIR/ccip_warning_and_errors.txt```. + +* The ASE may also log memory hazards (RAW, WAR and WAW hazards). + + +## ASE Example ## + +A tutorial for CCI-P systems is available in a separate [Basic Building Blocks repository](https://github.com/OPAE/intel-fpga-bbb) +in the [samples/tutorial](https://github.com/OPAE/intel-fpga-bbb/tree/master/samples/tutorial) tree. The first example, +[01_hello_world](https://github.com/OPAE/intel-fpga-bbb/tree/master/samples/tutorial/01_hello_world) follows the +```afu_sim_setup``` flow described above. Start with the tutorial's +[README](https://github.com/OPAE/intel-fpga-bbb/blob/master/samples/tutorial/README) file for configuration and execution +instructions. The example defines a set of sources and walks through the process of creating an ASE tree, running the +simulator and connecting it to a host program. + + + + + + + + + +## Operation Reference ## + +### ASE Simulator Makefile Switches ### + +The ASE Makefile template comprises many targets and switches. Build your own compilation script for more complex simulations. + +For a complete listing including the versions supported, see [System Requirements](#system-requirements) section. + +#### ASE Makefile Build Flow #### + +Complete the following steps to compile ASE Simulator: + +1. Compile Software objects of ASE into a library file + *First compile the Software components located in the ```ase/sw/``` directory into a software library. + +```eval_rst +.. note:: + Define ``SIM_SIDE`` as a compilation macro when compiling the ASE simulator objects. The ``SIM_SIDE`` + switch is for simulation software, not the OPAE ASE software library. +``` + +2. Compile The ASE SystemVerilog files located in the ```ase/rtl/``` directory. + Compile ASE RTL the platform components for the Integrated FPGA Platform or the Intel PAC into the + simulation databases. For the PAC, compile the embedded memory interface (EMIF) Memory controller + model into the ASE environment. If the simulation requires the Intel FPGA Gate libraries, compile + the models into the ASE environment. + +3. Compile AFU components into the ASE environment. + Use the RTL simulator software tools to compile the AFU components. Use Synopsys or Mentor utilities to compile + VHDL or SystemVerilog components. + +#### ASE Makefile Targets #### + +| Target | Description | +|:-------------------|:------------| +| ```all``` | Default build target, builds a simulator in Synopsys VCS-MX | +| ```header``` | Print version and preamble | +| ```check``` | Environment check | +| ```sw_build``` | Build ```ase/sw/``` components into a software library. The ```SIM_SIDE``` switch builds the simulator software components | +| ```help``` | Print help information | +| ```vcs_build``` | Synopsys VCS-MX template build flow | +| ```questa_build``` | Mentor Graphics Modelsim-SE/QuestaSim template build flow | +| ```sim``` | Start the ASE Simulator | +| ```wave``` | Open selected RTL waveform viewer | +| ```clean``` | Clean build, simulation, and log files | +| ```distclean``` | Clean distribution - removes the AFU configuration files | + + +#### ASE Makefile Variables #### + +| Makefile target | Description | Default value | +|:-------------------|:------------|:--------------| +| ```VCS_HOME``` | Synopsys VCS-MX installation path | Installation tool specific | +| ```MTI_HOME``` | Mentor installation path | Installation tool specific | +| ```QUARTUS_HOME``` | Intel Quartus Prime Pro installation path | Installation tool specific | +| ```ASE_PLATFORM``` | Platform selection switch:
Specify either tightly-coupled FPGA or programmable FPGA acceleration card using ```FPGA_PLATFORM_INTG_XEON``` and ```FPGA_PLATFORM_DISCRETE```, respectively | ```FPGA_PLATFORM_INTG_XEON``` | +| ```SIMULATOR``` | Simulator Key to environment
Defined values ```VCS``` or ```QUESTA``` | ```VCS``` | +| ```ASE_SRCDIR``` | ASE source location | Current source path | +| ```ASE_WORKDIR``` | Location where ASE runs, usually ```$ASE_SRCDIR/work``` | Environment-specific execution path | +| ```WORK``` | Simulation library compilation location | ```work``` | +| ```ASE_DISABLE_LOGGER``` | Switch to disable logger build | ```0``` | +| ```ASE_DISABLE_CHECKER```| Switch to disable checker build
WARNING: Disabling this checker may have side effects on protocol correctness | ```0``` | +| ```GLS_SIM``` | Enable gate simulation build | ```1``` | +| ```GLS_VERILOG_OPT``` | Libraries that enable Intel gate simulation | Quartus EDA simulation library paths| +| ```ASE_CONFIG``` | ASE Run-time configuration file (described [here](#ase-runtime-configuration-options)) | ```$ASE_SRCDIR/ase.cfg``` | +| ```ASE_SCRIPT``` | ASE Regression script path | ```$ASE_SRCDIR/ase_regress.sh``` | +| ```TIMESCALE``` | Simulator timescale | ```1ps/1ps``` | +| ```ASEHW_FILE_LIST``` | ASE RTL File list | Refer to ```ase/Makefile``` | +| ```ASE_MEM_FILE_LIST``` | ASE RTL file list for programmable FPGA acceleration card mode memory | Refer to ```ase/Makefile``` | +| ```ASE_INCDIR``` | ASE Include directory paths | Refer to ```ase/Makefile``` | +| ```ASE_TOP``` | ASE top level entity (must not be changed) | ```ase_top``` | +| ```CC_OPT``` | ASE Software Library compiler build options| ```-g -m64 -fPIC -D SIM_SIDE=1 -I $(ASE_SRCDIR)/sw/ -D SIMULATOR=VCS -D $(ASE_PLATFORM) -Wall -I $(VCS_HOME)/include/ ``` | +| ```ASE_LD_SWITCHES``` | ASE Software Linker switches | ```-lrt -lpthread -lstdc++ -luuid``` | +| ```SNPS_VHDLAN_OPT``` | Synopsys VCS-MX VHDL compile options (add extra options to ```ase_sources.mk```) | Refer to ```ase/Makefile``` | +| ```SNPS_VLOGAN_OPT``` | Synopsys VCS-MX SystemVerilog compile options (add extra options to ```ase_sources.mk```) | Refer to ```ase/Makefile``` | +| ```SNPS_VCS_OPT``` | Synopsys VCS-MX options for building simulator executable (add extra options to ```ase_sources.mk```) | Refer to ```ase/Makefile``` | +| ```SNPS_SIM_OPT``` | Synopsys VCS-MX Simulation options (add extra options may to ```ase_sources.mk```) | Refer to ```ase/Makefile``` | +| ```MENT_VCOM_OPT``` | Mentor Modelsim-SE/Questasim VHDL compile options (add extra options to ```ase_sources.mk```) | Refer to ```ase/Makefile``` | +| ```MENT_VLOG_OPT``` | Mentor Modelsim-SE/Questasim {System}Verilog compile options (add extra options to ```ase_sources.mk```) | Refer to ```ase/Makefile``` | +| ```MENT_VSIM_OPT``` | Mentor Modelsim-SE/Questasim VHDL simulation options (add extra options to ```ase_sources.mk```) | Refer to ```ase/Makefile``` | +| ```DUT_VLOG_SRC_LIST``` | Points to a text file listing AFU {System}Verilog files (usually ```vlog_files.list```) | User generated | +| ```DUT_VHDL_SRC_LIST``` | Points to a text file listing AFU VHDL files (usually ```vhdl_files.list``` | User generated | +| ```DUT_INCDIR``` | Lists AFU include directories separated by a '\+' (usually in ```ase_sources.mk```) | User generated | + + +### ASE Runtime Configuration Options ### + +The ASE configuration file configures simulator behavior. An example configuration script is available at ```ase/ase.cfg``` + + +| Switch Name | Default | Description | +|:-------------------------|:-----------------------------------|:------------| +| ```ASE_MODE``` | 1 | ASE mode has the following valid values:
1 : Standard Server-Client Mode
2 : Simulator stops after ```ASE_TIMEOUT``` clocks
3 : Software shuts down simulator when client application releases session
4 : Regression mode invoked by script
>=5 : Ignored (revert to ```ASE_MODE=1```) | +| ```ASE_TIMEOUT``` | 50000 (only if ```ASE_MODE=2```) | Watchdog timer shuts down simulator after ```ASE_TIMEOUT``` clocks of CCI-P interface inactivity. | +| ```ASE_NUM_TESTS``` | 500 (only if ```ASE_MODE=4```) | Number of tests in regression mode. If incorrectly set, the simulator may exit pre-maturely or stall waiting for tests to get started. | +| ```ENABLE_REUSE_D``` | 1 | When set to 1, reuses the simulation seed, so that CCI-P transactions replay with the previous addresses.
When set to 0, obtains a new seed. | +| ```ASE_SEED``` | 1234 (only if ```ENABLE_REUSE_SEED=1```) | ASE seed setting, enabled when ```ENABLE_REUSE_SEED``` is set to 1, otherwise the simulations uses a different seed.
At the end of the simulation, the ASE writes the current seed to ```$ASE_WORKDIR/ase_seed.txt```. | +| ```ENABLE_CL_VIEW``` | 1 | The ASE prints all CCI-P transactions. On long simulation runs, setting ```ENABLE_CL_VIEW``` to 0 may reduce simulation time. | +| ```PHYS_MEMORY_AVAILABLE_GB``` | 32 | Restricts ASE address generation the specified memory range. | + + +### Logging Verbosity Control ### + +ASE provides the following three levels for logging message verbosity. By default, these messages print to ```stdout```: + +* ASE_INFO: Prints mandatory information messages required to specify operation. +* ASE_ERR: Prints error messages during operation. +* ASE_MSG: Prints general messages indicating check points in the ASE. Suppress these messages by setting the environment +variable ```ASE_LOG``` to ```0```. + +The following command suppresses the ASE_MSG category: + +````{.bash} + + $ ASE_LOG=0 LD_PRELOAD=libopae-c-ase ./hello_fpga + +```` + You cannot suppress warnings and errors. + + +### Troubleshooting and Error Reference ### + +The following list of ASE errors and warnings is not comprehensive: + +| Observation | Problem | Next Steps | +|:--------------------------|:------------------|:---------------------| +| Either all transactions are not seen or simulation ends earlier than expected. | ASE Simulation inactivity is too short for the application use-case to be successfully simulated in the ASE. | If using ```ASE_MODE=2``` (Daemon with timeout), in the ```ase.cfg``` file, increase the ```ASE_TIMEOUT``` setting or disable ```ASE_TIMEOUT```. | +| ASE simulation build error - compilation, or linking failed | GCC version might be too old. | In the ```ase``` directory try the following command
``` $ make sw_build ```
ASE builds correctly with GCC 4.8.5 or higher. Use the ```ase/scripts/env_check.sh``` script to identify issues. | +| Synopsys VCS-MX dumped stack while compiling or running | Possible corruption of compiled objects or problems with incremental compilation. | Clean the ASE environment using
```$ make clean```
If this command fails, clean the distribution with
```$ ./distclean.sh```
then rebuild the simulation. | +| ERROR: Too many open files | Past ASE simulation runs did not close cleanly and may have left behind open IPC instances. | Use the ``` $ASE_SRCDIR/scripts/ipc_clean.py ``` script to clean IPC instances.
Check if the [System Requirements](#system-requirements) have been met.
If problems continue, increase resource limits for your Linux distribution. | +| ``` $ASE_WORKDIR``` environment variable has not been set up | Application cannot find a valid simulation session | Follow the steps printed when the ASE simulation starts. These instructions are in green text. | +| ``` .ase_timestamp``` cannot be opened at `````` | Simulator may not have been started yet. Note that when started, the simulator prints:
Ready for Simulation
```$ASE_WORKDIR``` may not set up correctly. | Check the ASE\_WORKDIR environment variable.
```$ echo $ASE_WORKDIR ```
Wait for simulator to print:
```Ready for Simulation``` | +| ```ase_sources.mk: No such file or directory``` | ASE Environment has not been generated. | Generate an AFU RTL listing (in ```vlog_files.list``` and ``` ase_sources.mk```) configuration.
You can use ```ase/scripts/generate_ase_environment.py```to generate these files. | +| An ASE instance is probably still running in current directory. | An ASE simulation is already running in the ```$ASE_WORKDIR``` directory. | If the simulation process is unusable or unreachable, use the ```ase/scripts/ipc_clean.py``` script to clean the simulation temporary files using:
```$ make clean```.
Then rebuild the simulator. | + +## Revision History ## + + | Document Version | Intel Acceleration Stack Version | Changes | + | ---------------- |------------------------------------|----------| + |2018.04.16 | 1.1 Alpha.
(Supported with Intel Quartus Prime Pro Edition 17.1.1.) | Made the following changes:
* Added ```afu_sim_setup``` script which reads a file containing a list of RTL sources and constructs an ASE tree to compile and simulate.
* Added ```/platforms/scripts/rtl_src_config``` script which maps a simple text file containing a list of RTL source files to an ASE configuration.
* Updated figures to show the hardware available in the Intel PAC with Arria® 10 GX FPGA. | diff --git a/doc/src/ase_userguide/ccip_logger_reuse.png b/doc/src/ase_userguide/ccip_logger_reuse.png new file mode 100644 index 0000000..fb64334 Binary files /dev/null and b/doc/src/ase_userguide/ccip_logger_reuse.png differ diff --git a/doc/src/ase_userguide/ccip_logger_reuse_rev1.PNG b/doc/src/ase_userguide/ccip_logger_reuse_rev1.PNG new file mode 100644 index 0000000..79ce3f2 Binary files /dev/null and b/doc/src/ase_userguide/ccip_logger_reuse_rev1.PNG differ diff --git a/doc/src/ase_userguide/mcp_platform.png b/doc/src/ase_userguide/mcp_platform.png new file mode 100644 index 0000000..cac47be Binary files /dev/null and b/doc/src/ase_userguide/mcp_platform.png differ diff --git a/doc/src/ase_userguide/platform_rev1.PNG b/doc/src/ase_userguide/platform_rev1.PNG new file mode 100644 index 0000000..d460a40 Binary files /dev/null and b/doc/src/ase_userguide/platform_rev1.PNG differ diff --git a/doc/src/ase_userguide/portability.png b/doc/src/ase_userguide/portability.png new file mode 100644 index 0000000..d1197c3 Binary files /dev/null and b/doc/src/ase_userguide/portability.png differ diff --git a/doc/src/ase_userguide/portability_rev1.PNG b/doc/src/ase_userguide/portability_rev1.PNG new file mode 100644 index 0000000..9e0a2d0 Binary files /dev/null and b/doc/src/ase_userguide/portability_rev1.PNG differ diff --git a/doc/src/ase_userguide/workflow.png b/doc/src/ase_userguide/workflow.png new file mode 100644 index 0000000..1c59bcd Binary files /dev/null and b/doc/src/ase_userguide/workflow.png differ diff --git a/doc/src/ase_userguide/workflow_rev1.PNG b/doc/src/ase_userguide/workflow_rev1.PNG new file mode 100644 index 0000000..a375e81 Binary files /dev/null and b/doc/src/ase_userguide/workflow_rev1.PNG differ diff --git a/doc/src/build_chain/fpga_api/api_build.md b/doc/src/build_chain/fpga_api/api_build.md new file mode 100644 index 0000000..56cbaeb --- /dev/null +++ b/doc/src/build_chain/fpga_api/api_build.md @@ -0,0 +1,48 @@ +Building OPAE SDK Artifacts +=========================== + +Steps +----- + +1. Fetch the OPAE SDK source tree +2. Configure the OPAE SDK CMake project +3. Build OPAE SDK targets + +The example below lists commands that can be used to fetch and build OPAE SDK. + +``` {.bash} +# fetch the source +git clone https://github.com/OPAE/opae-sdk.git +cd opae-sdk +# configure CMake +cmake .. +# build +make + + +``` + +For a list of targets that can be built, type `make help` from the build +directory. + +CMake options that may be set during the configuration include the following: + + +``` +|----------------------------|-----------------------|-------------------------------------|---------------------------------------|----------------| +| cmake flag | Optional or Mandatory | Purpose | Valid values | Default value | +|----------------------------|-----------------------|-------------------------------------|---------------------------------------|----------------| +| -DCMAKE_BUILD_TYPE | Optional | Set compiler flags | Debug/Release/Coverage/RelWithDebInfo | RelWithDebInfo | +| -DOPAE_BUILD_LEGACY | Optional | Enable/disable opae-legacy.git | ON/OFF | OFF | +| -DOPAE_BUILD_SPHINX_DOC | Optional | Enable/disable documentation build | ON/OFF | OFF | +| -DOPAE_BUILD_TESTS | Optional | Enable/disable building unit tests | ON/OFF | OFF | +| -DOPAE_INSTALL_RPATH | Optional | Enable/disable rpath for install | ON/OFF | OFF | +| -DOPAE_BUILD_LIBOPAE_CXX | Optional | Enable/disable OPAE C++ bindings | ON/OFF | ON | +| -DOPAE_BUILD_LIBOPAE_PY | Optional | Enable/disable OPAE Python bindings | ON/OFF | ON | +| -DOPAE_BUILD_PYTHON_DIST | Optional | Enable/disable Python Distribution | ON/OFF | OFF | +| -DOPAE_ENABLE_MOCK | Optional | Enable/disable mocks for unit tests | ON/OFF | OFF | +| -DOPAE_BUILD_SIM | Optional | Enable/disable opae-sim.git | ON/OFF | OFF | + +``` + + diff --git a/doc/src/build_chain/fpga_driver/driver_build.md b/doc/src/build_chain/fpga_driver/driver_build.md new file mode 100644 index 0000000..f75cd9f --- /dev/null +++ b/doc/src/build_chain/fpga_driver/driver_build.md @@ -0,0 +1,33 @@ +Building the OPAE Intel FPGA driver (out-of-tree) +============================================ + +The Intel FPGA driver included with OPAE SDK releases is packaged as an RPM or +DEB package as well as a source tarball. Starting with OPAE SDK release of 1.4, +the driver can be built from source out-of-tree but requires the following +packages: + +For RPM package managers (Red Hat, CentOS, Fedora, etc.) +* kernel-headers +* kernel-devel +* gcc +* make + +For DEB package managers (Debian, Ubuntu, etc.) +* kernel-headers-generic +* gcc +* make + +After installation of necessary distribution packages, follow the steps in the +example below to build the Intel Kernel driver. +_NOTE_ The example below references Intel FPGA Kernel driver version 2.0.2. but +can be applied to later versions. + + +``` {.bash} + +tar zxf opae-intel-fpga-driver-2.0.2-1.tar.gz +cd opae-intel-fpga-driver-2.0.2 +make + +``` + diff --git a/doc/src/drv_arch/Driver_Organization.png b/doc/src/drv_arch/Driver_Organization.png new file mode 100644 index 0000000..83a7ab7 Binary files /dev/null and b/doc/src/drv_arch/Driver_Organization.png differ diff --git a/doc/src/drv_arch/FPGA_PCIe_Device.png b/doc/src/drv_arch/FPGA_PCIe_Device.png new file mode 100644 index 0000000..2c9f2cd Binary files /dev/null and b/doc/src/drv_arch/FPGA_PCIe_Device.png differ diff --git a/doc/src/drv_arch/FPGA_PCIe_Device_SRIOV.png b/doc/src/drv_arch/FPGA_PCIe_Device_SRIOV.png new file mode 100644 index 0000000..1455257 Binary files /dev/null and b/doc/src/drv_arch/FPGA_PCIe_Device_SRIOV.png differ diff --git a/doc/src/drv_arch/drv_arch.md b/doc/src/drv_arch/drv_arch.md new file mode 100644 index 0000000..d4c9625 --- /dev/null +++ b/doc/src/drv_arch/drv_arch.md @@ -0,0 +1,219 @@ +# Open Programmable Accelerator Engine (OPAE) Linux Device Driver Architecture # + +.. toctree:: + +.. highlight:: c + +.. highlight:: sh + +.. highlight:: console + +The OPAE FPGA Linux Device Driver provides interfaces for user-space applications to +configure, enumerate, open, and access FPGA accelerators on platforms equipped +with Intel FPGA solutions. The OPAE FPGA driver also enables system-level management functions such +as FPGA reconfiguration and virtualization. + +## Hardware Architecture ## + +The Linux Operating System treats the FPGA hardware as a PCIe\* device. A predefined data structure, +Device Feature List (DFL), allows for dynamic feature discovery in an Intel +FPGA solution. + +![FPGA PCIe Device](FPGA_PCIe_Device.png "FPGA PCIe Device") + +The Linux Device Driver implements PCIe Single Root I/O Virtualization (SR-IOV) for the creation of +Virtual Functions (VFs). The device driver can release individual accelerators +for assignment to virtual machines (VMs). + +![Virtualized FPGA PCIe Device](FPGA_PCIe_Device_SRIOV.png "Virtualized FPGA PCIe Device") + +## FPGA Management Engine (FME) ## + +The FPGA Management Engine provides error reporting, reconfiguration, performance reporting, and other +infrastructure functions. Each FPGA has one FME which is always accessed through the Physical +Function (PF). The Intel Xeon® Processor with Integrated FPGA also performs power and thermal management. +These functions are not available on the Intel Programmable Acceleration Card (PAC). + +User-space applications can acquire exclusive access to the FME using `open()`, +and release it using `close()`. Device access may be managed by standard Linux +interfaces and tools. + +.. Note:: + +``` + If an application terminates without freeing the FME or Port resources, Linux closes all + file descriptors owned by the terminating process, freeing those resources. +``` + +## Port ## + +A Port represents the interface between two components: +* The FPGA Interface Manager (FIM) which is part of the static FPGA fabric +* The Accelerator Function Unit (AFU) which is the partially reconfigurable region + +The Port controls the communication from software to the AFU and makes features such as reset and debug available. + +## Accelerator Function Unit (AFU) ## + +An AFU attaches to a Port. The AFU provides a 256 KB memory mapped I/O (MMIO) region for accelerator-specific control registers. + +* Use `open()` on the Port device to acquire access to an AFU associated with the Port device. +* Use `close()`on the Port device to release the AFU associated with the Port device. +* Use `mmap()` on the Port device to map accelerator MMIO regions. + +## Partial Reconfiguration (PR) ## + +Use PR to reconfigure an AFU from a bitstream file. Successful reconfiguration has the following requirement: + +* You must generate the reconfiguration AFU for the exact FIM. The AFU and FIM are compatible if their interface IDs match. +You can verify this match by comparing the interface ID in the bitstream header against the interface ID that is +exported by the driver in sysfs. + +In all other cases PR fails and may cause system instability. + +.. note:: + +``` + Platforms that support 512-bit Partial Reconfiguration require + binutils >= version 2.25. +``` + +Close any software programs accessing the FPGA, including those running in a virtualized host before +initiating PR. For virtualized environments, the recommended sequence is as +follows: + +1. Unload the driver from the guest +2. Release the VF from the guest + +.. note:: + +``` + NOTE: Releasing the VF from the guest while an application on the guest is + still accessing its resources may lead to VM instabilities. We recommend + closing all applications accessing the VF in the guest before releasing the + VF. +``` +3. Disable SR-IOV +4. Perform PR +5. Enable SR-IOV +6. Assign the VF to the guest +7. Load the driver in the guest + +## FPGA Virtualization ## + +To enable accelerator access from applications running on a VM, create a VF for +the port using the following process: + +1. Release the Port from the PF using the associated ioctl on the FME device. + +2. Use the following command to enable SR-IOV and VFs. Each VF can own a single Port with an AFU. In the following command, +N is the number of Port released from the PF. + +```console + echo N > $PCI_DEVICE_PATH/sriov_numvfs +``` +.. note:: + +``` + NOTE: The number, 'N', cannot be greater than the number of supported VFs. + This can be read from $PCI_DEVICE_PATH/sriov_totalvfs. +``` + +3. Pass the VFs through to VMs using hypervisor interfaces. + +4. Access the AFU on a VF from applications running on the VM using the same driver inside the VM. + +.. Note:: + +`` +Creating VFs is only supported for port devices. Consequently, PR and other management functions are only available through +the PF. +``` +## Driver Organization ## + +### PCIe Module Device Driver ### + +!## Driver Organization ## + +### PCIe Module Device Driver ### + +![Driver Organization](Driver_Organization.png "Driver Organization") + + + + +FPGA devices appear as a PCIe devices. Once enumeration detects a PCIe PF or VF, the Linux OS loads the FPGA PCIe +device driver. The device driver performs the following functions: + +1. Walks through the Device Feature List in PCIe device base address register (BAR) memory to discover features +and their sub-features and creates necessary platform devices. +2. Enables SR-IOV. +3. Introduces the feature device infrastructure, which abstracts operations for sub-features and provides common functions +to feature device drivers. + +### PCIe Module Device Driver Functions ### + +The PCIe Module Device Driver performs the following functions: + +1. PCIe discovery, device enumeration, and feature discovery. +2. Creates sysfs directories for the device, FME, and Port. +3. Creates the platform driver instances, causing the Linux kernel to load their respective drivers. + +### FME Platform Module Device Driver ### + +The FME Platform Module Device Driver loads automatically after the PCIe driver creates the +FME Platform Module. It provides the following features for FPGA management: + +1. Power and thermal management, error reporting, performance reporting, and other infrastructure functions. You can access +these functions via sysfs interfaces the FME driver provides. + +2. Partial Reconfiguration. During PR sub-feature initialization, the FME driver registers the FPGA Manager framework +to support PR. When the FME receives the relevant ioctl request from user-space, it invokes the common interface +function from the FPGA Manager to reconfigure the AFU using PR. + +3. Port management for virtualization (releasing/assigning port device). + +After a port device is released, you can use the PCIe driver SR-IOV interfaces to create/destroy VFs. + +For more information, refer to "FPGA Virtualization". + +### FME Platform Module Device Driver Functions ### + +The FME Platform Module Device Driver performs the the following functions: + +* Creates the FME character device node. +* Creates the FME sysfs files and implements the FME sysfs file accessors. +* Implements the FME private feature sub-drivers. +* FME private feature sub-drivers: + * FME Header + * Partial Reconfiguration + * Global Error + * Global Performance + +### Port Platform Module Device Driver ### + +After the PCIe Module Device Driver creates the Port Platform Module device, +the FPGA Port and AFU driver are loaded. This module provides an +interface for user-space applications to access the individual +accelerators, including basic reset control on the Port, AFU MMIO region +export, DMA buffer mapping service, and remote debug functions. + +### Port Platform Module Device Driver Functions ### + +The Port Platform Module Device Driver performs the the following functions: + +* Creates the Port character device node. +* Creates the Port sysfs files and implements the Port sysfs file accessors. +* Implements the following Port private feature sub-drivers. + * Port Header + * AFU + * Port Error + * Signal Tap + +### OPAE FPGA Driver Interface ### +The user-space interface consists of a sysfs hierarchy and ioctl requests. Most +kernel attributes can be accessed/modified via sysfs nodes in this hierarchy. +More complex I/O operations are controlled via ioctl requests. The OPAE API +implementation, libopae-c, has been designed to use this interface to +interact with the OPAE FPGA kernel drivers. + diff --git a/doc/src/drv_arch/fme_init_flow.mscgen b/doc/src/drv_arch/fme_init_flow.mscgen new file mode 100644 index 0000000..a8f836c --- /dev/null +++ b/doc/src/drv_arch/fme_init_flow.mscgen @@ -0,0 +1,12 @@ +msc { + hscale = "2"; + + fme_probe,fme_dev_init,fpga_dev_feature_init,feature_instance_init,fpga_register_dev_ops; + + fme_probe=>fme_dev_init; + + fme_probe=>fpga_dev_feature_init; + fpga_dev_feature_init=>feature_instance_init; + + fme_probe=>fpga_register_dev_ops; +} diff --git a/doc/src/drv_arch/fme_init_flow.png b/doc/src/drv_arch/fme_init_flow.png new file mode 100644 index 0000000..9fd6167 Binary files /dev/null and b/doc/src/drv_arch/fme_init_flow.png differ diff --git a/doc/src/drv_arch/pci_init_flow.mscgen b/doc/src/drv_arch/pci_init_flow.mscgen new file mode 100644 index 0000000..71c579f --- /dev/null +++ b/doc/src/drv_arch/pci_init_flow.mscgen @@ -0,0 +1,39 @@ +msc { + hscale = "1"; + + ccidrv_init,b,c,d,e,cci_pci_probe,f,g,h,i,j,k,l,m,n,o,p,q,r,s; + +// parse_feature_list,parse_feature,parse_feature_afus,parse_feature_fme, +// build_info_create_dev,h,create_feature_instance,build_info_add_sub_feature, +// i,j,k; + + +// idr_init,alloc_chrdev_region,class_create,pci_register_driver, +// cci_pci_probe,create_init_drvdata,cci_pci_create_feature_devs, +// build_info_alloc_and_init,parse_feature_list,parse_feature,parse_feature_afus, +// parse_feature_fme,build_info_create_dev,idr_alloc,create_feature_instance,build_info_add_sub_feature, +// feature_platform_data_add,parse_ports_from_fme,build_info_commit_dev; + + ccidrv_init=>b [ label="idr_init" ]; + ccidrv_init=>c [ label="alloc_chrdev_region" ]; + ccidrv_init=>d [ label="class_create" ]; + ccidrv_init=>e [ label="pci_register_driver" ]; + + cci_pci_probe=>f [ label="create_init_drvdata" ]; + cci_pci_probe=>g [ label="cci_pci_create_feature_devs" ]; + + g=>h [ label="build_info_alloc_and_init" ]; + g=>i [ label="parse_feature_list" ]; + + i=>j [ label="parse_feature" ]; + j=>k [ label="parse_feature_afus" ]; + k=>l [ label="parse_feature_fme" ]; + l=>m [ label="build_info_create_dev" ]; + m=>n [ label="idr_alloc" ]; + k=>o [ label="create_feature_instance" ]; + o=>p [ label="build_info_add_sub_feature" ]; + p=>q [ label="feature_platform_data_add" ]; + + g=>r [ label="parse_ports_from_fme" ]; + g=>s [ label="build_info_commit_dev" ]; +} diff --git a/doc/src/drv_arch/port_init_flow.mscgen b/doc/src/drv_arch/port_init_flow.mscgen new file mode 100644 index 0000000..7394f72 --- /dev/null +++ b/doc/src/drv_arch/port_init_flow.mscgen @@ -0,0 +1,12 @@ +msc { + hscale = "2"; + + afu_probe,afu_dev_init,fpga_dev_feature_init,feature_instance_init,fpga_register_dev_ops; + + afu_probe=>afu_dev_init; + + afu_probe=>fpga_dev_feature_init; + fpga_dev_feature_init=>feature_instance_init; + + afu_probe=>fpga_register_dev_ops; +} diff --git a/doc/src/drv_arch/port_init_flow.png b/doc/src/drv_arch/port_init_flow.png new file mode 100644 index 0000000..f21e179 Binary files /dev/null and b/doc/src/drv_arch/port_init_flow.png differ diff --git a/doc/src/fpga_api/fpga_api.rst b/doc/src/fpga_api/fpga_api.rst new file mode 100644 index 0000000..eacb232 --- /dev/null +++ b/doc/src/fpga_api/fpga_api.rst @@ -0,0 +1,176 @@ +==================== +OPAE C API Reference +==================== + +The reference documentation for the OPAE C API is grouped into the following +sections: + +.. contents:: + :local: + + +Types +===== + +The OPAE C API defines a number of types; most prominent are the types +`fpga_token`, `fpga_handle`, and `fpga_properties`. All regular types are +defined in [types.h](#types-h), while the values of enumeration types are +defined in [types_enum.h](#types-enum-h). + +types.h +------- + +.. doxygenfile:: include/opae/types.h + +types_enum.h +------------ + +.. doxygenfile:: include/opae/types_enum.h + + +Enumeration API +=============== + +The OPAE enumeration API allows selective discovery of FPGA resources. When +enumerating resources, a list of filter criteria can be passed to the +respective function to select a subset of all resources in the system. The +fpgaEnumerate() function itself then returns a list of fpga_tokens denoting +resources, which can be used in subsequent API calls. + +Filter criteria are specified using one or more fpga_properties object. These +objects need to be created using fpgaGetProperties() (defined in +) before being passed to fpgaEnumerate(). Individual +attributes of an fpga_properties object are set using specific accessors, +which are also defined in . + +enum.h +------ + +.. doxygenfile:: include/opae/enum.h + +properties.h +------------ + +.. doxygenfile:: include/opae/properties.h + +Access API +========== + +The access API provides functions for opening and closing FPGA resources. +Opening a resource yields an fpga_handle, which denotes ownership and can be +used in subsequent API calls to interact with a specific resource. Ownership +can be exclusive or shared. + +access.h +-------- + +.. doxygenfile:: include/opae/access.h + +Event API +========= + +The event API provides functions and types for handling asynchronous events +such as errors or accelerator interrupts. + +To natively support asynchronous event, the driver for the FPGA platform +needs to support events natively (in which case the OPAE C library will +register the event directly with the driver). For some platforms that do not +support interrupt-driven event delivery, you need to run the FPGA Daemon +(fpgad) to enable asynchronous OPAE events. fpgad will act as a proxy for the +application and deliver asynchronous notifications for registered events. + +event.h +------- + +.. doxygenfile:: include/opae/event.h + + +MMIO and Shared Memory APIs +=========================== + +These APIs feature functions for mapping and accessing control registers +through memory-mapped IO (mmio.h), allocating and sharing system memory +buffers with an accelerator (buffer.h), and using low-latency notifications +(umsg.h). + +mmio.h +------ + +.. doxygenfile:: include/opae/mmio.h + +buffer.h +-------- + +.. doxygenfile:: include/opae/buffer.h + +umsg.h +------ + +.. doxygenfile:: include/opae/umsg.h + + +Management API +============== + +The management APIs define functions for reconfiguring an FPGA (writing new +partial bitstreams) as well as assigning accelerators to host interfaces. + +manage.h +-------- + +.. doxygenfile:: include/opae/manage.h + +Metrics API +============== + +The metrics APIs define functions for discovery/enumeration of metrics information +and reading metrics values. + +metrics.h +-------- + +.. doxygenfile:: include/opae/metrics.h + +SysObject +========= + +The SysObject API can be used to get system objects by name. Names used with +the SysObject API are driver-specific and may not be compatible across plugins +and/or drivers. For example, SysObject names used with the xfpga plugin will +apply to the OPAE Linux Kernel driver and refer to sysfs nodes under the sysfs +tree for the resource used with the SysObject API. + + +sysobject.h +------- + +.. doxygenfile:: include/opae/sysobject.h + +Utilities +========= + +Functions for mapping fpga_result values to meaningful error strings are +provided by the utilities API. + +utils.h +------- + +.. doxygenfile:: include/opae/utils.h + + +Samples +======= + +Code samples demonstrate how to use OPAE C API. + +hello_fpga.c +------------ + +.. doxygenfile:: samples/hello_fpga.c + +hello_events.c +-------------- + +.. doxygenfile:: samples/hello_events.c + + diff --git a/doc/src/fpga_api/fpga_cxx_api.rst b/doc/src/fpga_api/fpga_cxx_api.rst new file mode 100644 index 0000000..3bc2d2e --- /dev/null +++ b/doc/src/fpga_api/fpga_cxx_api.rst @@ -0,0 +1,181 @@ +=========================== +OPAE C++ Core API Reference +=========================== + +The reference documentation for the OPAE C++ Core API is grouped into the following +sections: + +.. contents:: + :local: + +Overview +======== + +The OPAE C++ API enables C++ developers with the means to use FPGA resources +by integrating the OPAE software stack into C++ applications. + +Goals +===== + +Simplicity +---------- + +Keep the API as small and lightweight as possible. Although features such as +system validation and orchestration are beyond the scope of this API, using +this API for their development should be relatively easy. + +Extensibility and Interoperability +---------------------------------- + +While keeping to the goal of simplicity, the OPAE C++ API is designed to allow +for better reuse by either extending the API or by integrating with other +languages. + +Modern C++ Coding Practices +--------------------------- + +The OPAE C++ API uses the C++ 11 standard library and makes use of its features +whenever practical. The OPAE C++ API is also designed to require the minimum +number of third-party libraries/dependencies. + +Error Handling +-------------- + +The OPAE C++ API is designed to throw exceptions when appropriate. The +structure of OPAE C++ exceptions is similar to the error codes in the +OPAE C API. This gives users of the API more freedom on error handling +while providing better debug information in cases of failure. + +Coding Style +------------ + +For formatting of the OPAE C++ API complies with most of the recommendations +of the Google C++ style. For example, the OPAE C++ API uses: + +* opening braces on the same line as their scope definition +* spaces instead of tabs for indentation +* indentation of two spaces + +Fundamental Types +================= + +Basic types for the OPAE C++ API are found in the `opae::fpga::types` +namespace. They serve as an adapter layer between the OPAE C API and +the OPAE C++ layer. Aside from providing a C++ binding to the C +fundamental types, these types also: + +* manage the lifetime and scope of the corresponding C struct. + * For example a C++ destructor will take care of calling the + appropriate C function to release the data structure being + wrapped. +* provide a friendly syntax for using the OPAE C type. + +Most classes in this namespace have a `c_type()` method that returns +the C data structure being wrapped, making it easy to use the OPAE C++ +type with the OPAE C API. Alternatively, most classes in this namespace +have implicit conversion operators that enable interoperability with +the OPAE C API. + +Properties +---------- + +C++ class `properties` wraps `fpga_properties` and uses `pvalue` +and `guid_t` to get and set properties stored in an instance of +an `fpga_properties`. `pvalue` and `guid_t` are designed to call +an accessor method in the OPAE C API to either read property +values or write them. Most accessor methods in the OPAE C API +share a similar signature, so `pvalue` generalizes them into +common operations that translate into calling the corresponding +C API function. `guid_t` follows similar patterns when reading +or assigning values. + +pvalue.h +-------- + +.. doxygenfile:: include/opae/cxx/core/pvalue.h + +properties.h +------------ + +.. doxygenfile:: include/opae/cxx/core/properties.h + +Resource Classes +---------------- + +The `token`, `handle`, and `shared_buffer` classes are used to +enumerate and access FPGA resources. `properties` are used to +narrow the search space for `token`'s. Before enumerating the +accelerator resources in the system, applications can produce +one or more `properties` objects whose values are set to the +desired characteristics for the resource. For example, an +application may search for an accelerator resource based on +its guid. + +Once one or more `token`'s have been enumerated, the application +must choose which `token`'s to request. The `token` is then +converted to a `handle` by requesting that a `handle` object +be allocated and opened for it. + +Once a `handle` has been successfully opened, the application +can read and write the associated configuration and status +space. Additionally, the application may use the `handle` to +allocate `shared_buffer`'s or to register `event`'s. The +`shared_buffer` and `event` objects retain a reference to +their owning `handle` so that the `handle` does not lose +scope before freeing the `shared_buffer` and `event` objects. + +token.h +-------- + +.. doxygenfile:: include/opae/cxx/core/token.h + +handle.h +-------- + +.. doxygenfile:: include/opae/cxx/core/handle.h + +shared_buffer.h +-------- + +.. doxygenfile:: include/opae/cxx/core/shared_buffer.h + +errors.h +------- + +.. doxygenfile:: include/opae/cxx/core/errors.h + +events.h +------- + +.. doxygenfile:: include/opae/cxx/core/events.h + +sysobject.h +------- + +.. doxygenfile:: include/opae/cxx/core/sysobject.h + +Exceptions +---------- + +When the OPAE C++ API encounters an error from the OPAE C +API, it captures the current source code location and +the error code into an object of type `except`, then +throws the `except`. Applications should implement the +appropriate catch blocks required to respond to runtime +exceptions. + +except.h +-------- + +.. doxygenfile:: include/opae/cxx/core/except.h + +Misc +---- + +The `version` class wraps the OPAE C version API. + +version.h +-------- + +.. doxygenfile:: include/opae/cxx/core/version.h + diff --git a/doc/src/fpga_api/fpga_python_api.rst b/doc/src/fpga_api/fpga_python_api.rst new file mode 100644 index 0000000..5f8c4b2 --- /dev/null +++ b/doc/src/fpga_api/fpga_python_api.rst @@ -0,0 +1,58 @@ +========================= +OPAE Python API Reference +========================= + +The reference documentation for the OPAE Python API and is grouped into the +following sections: + +.. contents:: + :local: + + +Module Types, Methods, and Constants +==================================== +.. automodule:: opae.fpga + :members: enumerate, open, register_event, allocate_shared_buffer, errors, DEVICE, ACCELERATOR, OPEN_SHARED, EVENT_ERROR, EVENT_INTERRUPT, EVENT_POWER_THERMAL, ACCELERATOR_ASSIGNED, ACCELERATOR_UNASSIGNED, RECONF_FORCE + + + + +Fundamental Types +================= + + +Properties +---------- +.. autoclass:: opae.fpga.properties + :members: __init__, accelerator_state, bbs_id, bbs_version, bus, capabilities, device, function, model, num_interrupts, num_mmio, num_slots, object_id, parent, socket_id, vendor_id + + +Token +----- +.. autoclass:: opae.fpga.token + :members: + +Handle +------ +.. autoclass:: opae.fpga.handle + :members: __enter__, __exit__, close, reset, read_csr32, read_csr64, write_csr32, write_csr64 + +Event +----- +.. autoclass:: opae.fpga.event + :members: os_object + +Shared Buffer +------------- +.. autoclass:: opae.fpga.shared_buffer + :members: size, wsid, iova, fill, compare, memoryview + +Error +----- +.. autoclass:: opae.fpga.error + :members: name, can_clear, read_value + +SysObject +--------- +.. autoclass:: opae.fpga.sysobject + :members: __getattr__, __getitem__, find, read64, write64, size, bytes diff --git a/doc/src/fpga_api/plug_guide/readme.md b/doc/src/fpga_api/plug_guide/readme.md new file mode 100644 index 0000000..9653d53 --- /dev/null +++ b/doc/src/fpga_api/plug_guide/readme.md @@ -0,0 +1,388 @@ +# Plugin Developer's Guide # + +```eval_rst +.. toctree:: +``` + +## Overview ## + +Beginning with OPAE C library version 1.2.0, OPAE implements a plugin-centric +model. This guide serves as a reference to define the makeup of an OPAE C API +plugin and to describe a sequence of steps that one may follow when constructing +an OPAE C API plugin. + +## Plugin Required Functions ## + +An OPAE C API plugin is a runtime-loadable shared object library, also known as +a module. On Linux systems, the *dl* family of APIs from libdl are used to +interact with shared objects. Refer to "man dlopen" and "man dlsym" for examples +of using the libdl API. + +An OPAE C API plugin implements one required function. This function is required +to have C linkage, so that its name is not mangled. + +```c + int opae_plugin_configure(opae_api_adapter_table *table, const char *config); +``` + +During initialization, the OPAE plugin manager component loads each plugin, +searching for its `opae_plugin_configure` function. If none is found, then +the plugin manager rejects that plugin. When it is found, `opae_plugin_configure` +is called passing a pointer to a freshly-created `opae_api_adapter_table` and +a buffer consisting of configuration data for the plugin. + +The job of the `opae_plugin_configure` function is to populate the given adapter +table with each of the plugin's API entry points and to consume and comprehend +the given configuration data in preparation for initialization. + +## OPAE API Adapter Table ## + +The adapter table is a data structure that contains function pointer entry points +for each of the OPAE APIs implemented by a plugin. In this way, it adapts the +plugin-specific behavior to the more general case of a flat C API. Note that +OPAE applications are only required to link with opae-c. In other words, the +name of the plugin library should not appear on the linker command line. In this +way, plugins are truly decoupled from the OPAE C API, and they are required to +adapt to the strict API specification by populating the adapter table only. No +other linkage is required nor recommended. + +`adapter.h` contains the definition of the `opae_api_adapter_table`. An abbreviated +version is depicted below, along with supporting type `opae_plugin`: + +```c + typedef struct _opae_plugin { + char *path; + void *dl_handle; + } opae_plugin; + + typedef struct _opae_api_adapter_table { + + struct _opae_api_adapater_table *next; + opae_plugin plugin; + + fpga_result (*fpgaOpen)(fpga_token token, fpga_handle *handle, + int flags); + + fpga_result (*fpgaClose)(fpga_handle handle); + + ... + + fpga_result (*fpgaEnumerate)(const fpga_properties *filters, + uint32_t num_filters, fpga_token *tokens, + uint32_t max_tokens, + uint32_t *num_matches); + + ... + + // configuration functions + int (*initialize)(void); + int (*finalize)(void); + + // first-level query + bool (*supports_device)(const char *device_type); + bool (*supports_host)(const char *hostname); + + } opae_api_adapter_table; +``` + +Some points worth noting are that the adapter tables are organized in memory by +adding them to a linked list data structure. This is the use of the `next` +structure member. (The list management is handled by the plugin manager.) +The `plugin` structure member contains the handle to the shared object instance, +as created by `dlopen`. This handle is used in the plugin's `opae_plugin_configure` +to load plugin entry points. A plugin need only implement the portion of the +OPAE C API that a target application needs. Any API entry points that are not +supported should be left as NULL pointers (the default) in the adapter table. +When an OPAE API that has no associated entry point in the adapter table is +called, the result for objects associated with that plugin will be +`FPGA_NOT_SUPPORTED`. + +The following code illustrates a portion of the `opae_plugin_configure` for +a theoretical OPAE C API plugin libfoo.so: + +```c + /* foo_plugin.c */ + + int opae_plugin_configure(opae_api_adapter_table *table, const char *config) + { + adapter->fpgaOpen = dlsym(adapter->plugin.dl_handle, "foo_fpgaOpen"); + adapter->fpgaClose = + dlsym(adapter->plugin.dl_handle, "foo_fpgaClose"); + + ... + + adapter->fpgaEnumerate = + dlsym(adapter->plugin.dl_handle, "foo_fpgaEnumerate"); + + ... + + return 0; + } +``` + +Notice that the implementations of the API entry points for plugin libfoo.so +are prefixed with `foo_`. This is the recommended practice to avoid name +collisions and to enhance the debugability of the application. Upon successful +configuration, `opae_plugin_configure` returns 0 to indicate success. A +non-zero return value indicates failure and causes the plugin manager to +reject the plugin from futher consideration. + +## Plugin Optional Functions ## + +Once the plugin manager loads and configures each plugin, it uses the adapter +table to call back into the plugin so that it can be made ready for runtime. +This is the job of the `opae_plugin_initialize` entry point, whose signature +is defined as: + +```c + int opae_plugin_initialize(void); +``` + +The function takes no parameters, as the configuration data was already given +to the plugin by `opae_plugin_configure`. `opae_plugin_initialize` returns 0 +if no errors were encountered during initialization. A non-zero return code +indicates that plugin initialization failed. A plugin makes its +`opae_plugin_initialize` available to the plugin manager by populating the +adapter table's `initialize` entry point as shown: + +```c + /* foo_plugin.c */ + + int foo_plugin_initialize(void) + { + ... + + return 0; /* success */ + } + + int opae_plugin_configure(opae_api_adapter_table *table, const char *config) + { + ... + + adapter->initialize = + dlsym(adapter->plugin.dl_handle, "foo_plugin_initialize"); + + ... + + return 0; + } +``` + +If a plugin does not implement an `opae_plugin_initialize` entry point, then +the `initialize` member of the adapter table should be left uninitialized. +During plugin initialization, if a plugin has no `opae_plugin_initialize` +entry in its adapter table, the plugin initialization step will be skipped, +and the plugin will be considered to have initialized successfully. + +Once plugin initialization is complete for all loaded plugins, the system +is considered to be running and fully functional. + +During teardown, the plugin manager uses the adapter table to call into each +plugin's `opae_plugin_finalize` entry point, whose signature is defined as: + +```c + int opae_plugin_finalize(void); +``` + +`opae_plugin_finalize` returns 0 if no errors were encountered during teardown. +A non-zero return code indicates that plugin teardown failed. A plugin makes +its `opae_plugin_finalize` available to the plugin manager by populating the +adapter table's `finalize` entry point as shown: + +```c + /* foo_plugin.c */ + + int foo_plugin_finalize(void) + { + ... + + return 0; /* success */ + } + + int opae_plugin_configure(opae_api_adapter_table *table, const char *config) + { + ... + + adapter->finalize = + dlsym(adapter->plugin.dl_handle, "foo_plugin_finalize"); + + ... + + return 0; + } +``` + +If a plugin does not implement an `opae_plugin_finalize` entry point, then +the `finalize` member of the adapter table should be left uninitialized. +During plugin cleanup, if a plugin has no `opae_plugin_finalize` entry +point in its adapter table, the plugin finalize step will be skipped, and +the plugin will be considered to have finalized successfully. + +In addition to `initialize` and `finalize`, an OPAE C API plugin has two +further optional entry points that relate to device enumeration. During +enumeration, when a plugin is being considered for a type of device, the +plugin may provide input on that decision by exporting an +`opae_plugin_supports_device` entry point in the adapter table: + +```c + bool opae_plugin_supports_device(const char *device_type); +``` + +`opae_plugin_supports_device` returns true if the given device type is +supported and false if it is not. A false return value from +`opae_plugin_supports_device` causes device enumeration to skip the +plugin. + +Populating the `opae_plugin_supports_device` is done as: + +```c + /* foo_plugin.c */ + + bool foo_plugin_supports_device(const char *device_type) + { + if (/* device_type is supported */) + return true; + + ... + + return false; + } + + int opae_plugin_configure(opae_api_adapter_table *table, const char *config) + { + ... + + adapter->supports_device = + dlsym(adapter->plugin.dl_handle, "foo_plugin_supports_device"); + + ... + + return 0; + } +``` + +```eval_rst +.. note:: + The `opae_plugin_supports_device` mechanism serves as a placeholder only. + It is not implemented in the current version of the OPAE C API. +``` + +Similarly to determining whether a plugin supports a type of device, a plugin +may also answer questions about network host support by populating an +`opae_plugin_supports_host` entry point in the adapter table: + +```c + bool opae_plugin_supports_host(const char *hostname); +``` + +`opae_plugin_supports_host` returns true if the given hostname is supported +and false if it is not. A false return value from `opae_plugin_supports_host` +causes device enumeration to skip the plugin. + +Populating the `opae_plugin_supports_host` is done as: + +```c + /* foo_plugin.c */ + + bool foo_plugin_supports_host(const char *hostname) + { + if (/* hostname is supported */) + return true; + + ... + + return false; + } + + int opae_plugin_configure(opae_api_adapter_table *table, const char *config) + { + ... + + adapter->supports_host = + dlsym(adapter->plugin.dl_handle, "foo_plugin_supports_host"); + + ... + + return 0; + } +``` + +```eval_rst +.. note:: + The `opae_plugin_supports_host` mechanism serves as a placeholder only. + It is not implemented in the current version of the OPAE C API. +``` + +## Plugin Construction ## + +The steps required to implement an OPAE C API plugin, libfoo.so, are: + +* Create foo\_plugin.c: implements `opae_plugin_configure`, +`opae_plugin_initialize`, `opae_plugin_finalize`, `opae_plugin_supports_device`, +and `opae_plugin_supports_host` as described in the previous sections. +* Create foo\_plugin.h: implements function prototypes for each of the +plugin-specific OPAE C APIs. + +```c + /* foo_plugin.h */ + + fpga_result foo_fpgaOpen(fpga_token token, fpga_handle *handle, + int flags); + + fpga_result foo_fpgaClose(fpga_handle handle); + + ... + + fpga_result foo_fpgaEnumerate(const fpga_properties *filters, + uint32_t num_filters, fpga_token *tokens, + uint32_t max_tokens, + uint32_t *num_matches); + ... +``` + +* Create foo\_types.h: implements plugin-specific types for opaque data +structures. + +```c + /* foo_types.h */ + + struct _foo_token { + ... + }; + + struct _foo_handle { + ... + }; + + struct _foo_event_handle { + ... + }; + + struct _foo_object { + ... + }; +``` + +* Create foo\_enum.c: implements `foo_fpgaEnumerate`, +`foo_fpgaCloneToken`, and `foo_fpgaDestroyToken`. +* Create foo\_open.c: implements `foo_fpgaOpen`. +* Create foo\_close.c: implements `foo_fpgaClose`. +* Create foo\_props.c: implements `foo_fpgaGetProperties`, +`foo_fpgaGetPropertiesFromHandle`, `foo_fpgaUpdateProperties` +* Create foo\_mmio.c: implements `foo_fpgaMapMMIO`, `foo_fpgaUnmapMMIO` +`foo_fpgaWriteMMIO64`, `foo_fpgaReadMMIO64`, `foo_fpgaWriteMMIO32`, +`foo_fpgaReadMMIO32`. +* Create foo\_buff.c: implements `foo_fpgaPrepareBuffer`, +`foo_fpgaReleaseBuffer`, `foo_fpgaGetIOAddress`. +* Create foo\_error.c: implements `foo_fpgaReadError`, `foo_fpgaClearError`, +`foo_fpgaClearAllErrors`, `foo_fpgaGetErrorInfo`. +* Create foo\_event.c: implements `foo_fpgaCreateEventHandle`, +`foo_fpgaDestroyEventHandle`, `foo_fpgaGetOSObjectFromEventHandle`, +`foo_fpgaRegisterEvent`, `foo_fpgaUnregisterEvent`. +* Create foo\_reconf.c: implements `foo_fpgaReconfigureSlot`. +* Create foo\_obj.c: implements `foo_fpgaTokenGetObject`, +`foo_fpgaHandleGetObject`, `foo_fpgaObjectGetObject`, +`foo_fpgaDestroyObject`, `foo_fpgaObjectGetSize`, `foo_fpgaObjectRead`, +`foo_fpgaObjectRead64`, `foo_fpgaObjectWrite64`. +* Create foo\_clk.c: implements `foo_fpgaSetUserClock`, +`foo_fpgaGetUserClock`. diff --git a/doc/src/fpga_api/prog_guide/FPGA-lib-1.png b/doc/src/fpga_api/prog_guide/FPGA-lib-1.png new file mode 100644 index 0000000..f815ca6 Binary files /dev/null and b/doc/src/fpga_api/prog_guide/FPGA-lib-1.png differ diff --git a/doc/src/fpga_api/prog_guide/FPGA-lib-2.png b/doc/src/fpga_api/prog_guide/FPGA-lib-2.png new file mode 100644 index 0000000..fc92a24 Binary files /dev/null and b/doc/src/fpga_api/prog_guide/FPGA-lib-2.png differ diff --git a/doc/src/fpga_api/prog_guide/FPGA-lib-3.PNG b/doc/src/fpga_api/prog_guide/FPGA-lib-3.PNG new file mode 100644 index 0000000..626cc58 Binary files /dev/null and b/doc/src/fpga_api/prog_guide/FPGA-lib-3.PNG differ diff --git a/doc/src/fpga_api/prog_guide/readme.md b/doc/src/fpga_api/prog_guide/readme.md new file mode 100644 index 0000000..6eecdc1 --- /dev/null +++ b/doc/src/fpga_api/prog_guide/readme.md @@ -0,0 +1,386 @@ +# OPAE C API Programming Guide # + +.. toctree:: + +## Overview ## +The OPAE C library (*libopae-c*) is a lightweight user-space library that +provides abstractions for FPGA resources in a compute environment. The OPAE C library +builds on the driver stack that supports the FPGA device, abstracting +hardware- and OS-specific details. It provides access to the underlying FPGA +resources as a set of features available to software programs +running on the host. These features include the acceleration logic +preconfigured on the FPGA and functions to manage and reconfigure +the FPGA. The library enables your applications to +transparently and seamlessly benefit from FPGA-based acceleration. + +![Layered architecture](./FPGA-lib-1.png "A user space library built on top of FPGA driver stack") + + +By providing a unified C API, the library supports different FPGA +integration and deployment models, ranging from single-node systems with one or +a few FPGA devices to large-scale FPGA deployments in a data center. +At one end of the spectrum, the API supports a simple application using a PCIe link to reconfigure +the FPGA with different accelerator functions. At the other end of the spectrum, resource +management and orchestration services in a data center can use this API to +discover and select FPGA resources and then allocate them for use by acceleration workloads. + +## OPAE Role ## + +The OPAE provides a common base layer for a wide range of +applications without sacrificing performance or efficiency. The abstraction layer limits +the details of the FPGA hardware that software applications must handle. + +The OPAE provides consistent interfaces to crucial components of the platform. The OPAE does not constrain +frameworks and applications by making optimizations with limited applicability. When the OPAE does +provide convenience functions or optimizations, they are optional. + +For example, the OPAE provides an interface to allocate physically contiguous +buffers in system memory that user-space software and an accelerator can share. +This interface enables the most basic feature set of +allocating and sharing a large page of memory in one API call. However, it +does *not* provide a malloc()-like interface backed by a memory pool or slab +allocator. Higher layers of the software stack can make such +domain-specific optimizations. + + +## Intel Accelerator Stack Hardware Terminology ## + +The following terms define the hardware and hardware processes involved in creating an accelerator function. + +* FPGA: [Field Programmable Gate Array](https://en.wikipedia.org/wiki/Field-programmable_gate_array) +is a discrete or integrated device connecting to a host CPU via PCIe or other type of interconnects. +* Accelerator Function Unit (AFU): The AFU is the supplied implementation of an accelerator, typically +in HDL. AFUs implement a function such as compression, encryption, or mathematical operations. +The Quartus Prime Pro software synthesizes the RTL logic into a bitstream. +* Accelerator Function (AF): The AF is the compiled binary for an AFU. An AF is a raw binary file (.rbf) +bitstream. A tool (_fpgaconf_) reconfigures the FPGA using an AF bitstream. +* Reconfiguration: The process of reprogramming the FPGA with a different AF. + +## OPAE Software Concepts Reflected in the C API ## + +The following OPAE data structures and functions integrate AFUs into the OPAE environment. +The OPAE C API models these data structures and functions. For more information on the object +models refer to the [Object model](#object-models) section. + +* Accelerator: An accelerator is an allocable accelerator function implemented in an FPGA. +An accelerator tracks the _ownership_ of an AFU (or part of it) for a process that uses it. +Multiple processes can share an accelerator. +* Device: The OPAE enumerates and models two device types: the FPGA and the AFU. +* Events: Events are asynchronous notifications. The FPGA driver +triggers particular events to indicate error conditions. Accelerator logic can also +define its own events. User applications can choose to be +notified when particular events occur and respond appropriately. +* Shared memory buffers: Software allocates shared memory buffers in user process memory +on the host. Shared memory buffers facilitate data transfers between the user process and the +accelerator that it owns. + +## OPAE Library ## +Linking with this library is straightforward. +Code using the OPAE library should include the header file `fpga.h`. Taking the GCC +compiler on Linux as an example, here is the simplest compile and link command: + +`gcc myprog.c -I
-L
-lopae-c -luuid -ljson-c -lpthread` + +.. note:: + +``` +The OPAE library uses the third-party `libuuid` and `libjson-c` libraries that are not distributed with +the OPAE library. Make sure to install these libraries. +``` + +## Sample Code ## +The library source includes two code samples. Use these samples +to learn how to call functions in the library. Build and run these samples +to determine if your installation and environment are set up properly. + +Refer to the [Running the Hello FPGA Example](https://www.altera.com/content/altera-www/global/en_us/index/documentation/dnv1485190478614.html#vks1498593668425) chapter in the _Intel® Acceleration Stack +Quick Start Guide for for Intel Programmable Acceleration Card with Intel Arria® 10 GX FPGA_ for more information about using the sample code. + + +## High-Level Directory Structure ## +Building and installing the OPAE library results in the following directory structure on the Linux OS. +Windows and MacOS have similar directories and files. + +|Directory & Files |Contents | +|------------------|---------| +|include/opae |Directory containing all header files| +|include/opae/fpga.h |Top-level header for user code to include| +|include/opae/access.h |Header file for accelerator acquire/release, MMIO, memory management, event handling, and so on | +|include/opae/bitstream.h |Header file for bitstream manipulation functions | +|include/opae/common.h |Header file for error reporting functions | +|include/opae/enum.h |Header file for AFU enumeration functions | +|include/opae/manage.h |Header file for FPGA management functions | +|include/opae/types.h |Various type definitions | +|lib |Directory containing shared library files | +|lib/libopae-c.so |The shared dynamic library for linking with the user application | +|doc |Directory containing API documentation | +|doc/html |Directory for documentation of HTML format +|doc/latex |Directory for documentation of LaTex format +|doc/man |Directory for documentation of Unix man page format + +## Basic Application Flow ## +The figure below shows the basic application flow from the +viewpoint of a user-process. + +![Basic flow](./FPGA-lib-3.PNG "Basic application flow") + +## API Components ## +The API object model abstracts the physical FPGA device and +available functions. It is a generalized model and extends to +describe any FPGA type. + +### Object Models ### +* `fpga_objtype`: An enum type that represents the type of an FPGA resource, either `FPGA_DEVICE` or `FPGA_ACCELERATOR`. +An `FPGA_DEVICE` object corresponds to a physical FPGA device. Only `FPGA_DEVICE` objects can invoke management functions. +The `FPGA_ACCELERATOR` represents an instance of an AFU. +* `fpga_token`: An opaque type that represents a resource known to, but not +necessarily owned by, the calling process. The calling process must own a +resource before it can invoke functions of the resource. +* `fpga_handle`: An opaque type that represents a resource owned by the +calling process. The API functions `fpgaOpen()` and `fpgaClose()` acquire and release ownership of a resource that an `fpga_handle` represents. (Refer to the [Functions](#functions) section for more information.) +* `fpga_properties`: An opaque type for a properties object. Your +applications use these properties to query and search for appropriate resources. The +[FPGA Resource Properties](#fpga-resource-properties) section documents properties visible to your +applications. +* `fpga_event_handle`: An opaque handle the FPGA driver uses to notify your +application about an event. +* `fpga_event_type`: An enum type that represents the types of events. The following are valid values: +`FPGA_EVENT_INTERRUPT`, `FPGA_EVENT_ERROR`, and `FPGA_EVENT_POWER_THERMAL`. (The Intel Programmable Acceleration Card (PAC) with +Intel Arria 10 GX FPGA does not handle thermal and power events.) +* `fpga_result`: An enum type to represent the result of an API function. If the +function returns successfully the result is `FPGA_OK`. Otherwise, the result is +the appropriate error codes. Function `fpgaErrStr()` translates an error code +into human-readable strings. + +### Functions ### +The table below groups important API calls by their functionality. For more information about each of the functions, refer to the +[OPAE C API reference manual](https://opae.github.io/0.13.0/docs/fpga_api/fpga_api.html). + +|Functionality |API Call |FPGA |Accelerator|Description | +|:--------|:----------|:-----:|:-----:|:-----------------------| +|Enumeration | ```fpgaEnumerate()``` |Yes| Yes| Query FPGA resources that match certain properties | +|Enumeration: Properties | ```fpga[Get, Update, Clear, Clone, Destroy Properties]()``` |Yes| Yes| Manage ```fpga_properties``` life cycle | +| | ```fpgaPropertiesGet[Prop]()``` | Yes| Yes|Get the specified property *Prop*, from the [FPGA Resource Properties](#fpga-resource-properties) table | +| | ```fpgaPropertiesSet[Prop]()``` | Yes| Yes|Set the specified property *Prop*, from the [FPGA Resource Properties](#fpga-resource-properties) table | +|Access: Ownership | ```fpga[Open, Close]()``` | Yes| Yes|Acquire/release ownership | +|Access: Reset | ```fpgaReset()``` |Yes| Yes| Reset an accelerator | +|Access: Event handling | ```fpga[Register, Unregister]Event()``` |Yes| Yes| Register/unregister an event to be notified about | +| | ```fpga[Create, Destroy]EventHandle()```|Yes| Yes| Manage ```fpga_event_handle``` life cycle | +|Access: UMsg | ```fpgaGetNumUmsg()```, ```fpgaSetUmsgAttributes()```, ```fpgaTriggerUmsg()```, ```fpgaGetUmsgPtr()``` | No|Yes| Low-latency accelerator notification mechanism.| +|Access: MMIO | ```fpgaMapMMIO()```, ```fpgaUnMapMMIO()``` |Yes| Yes| Map/unmap MMIO space | +| | ```fpgaGetMMIOInfo()``` |Yes| Yes| Get information about the specified MMIO space | +| | ```fpgaReadMMIO[32, 64]()``` | Yes| Yes|Read a 32-bit or 64-bit value from MMIO space | +| | ```fpgaWriteMMIO[32, 64]()``` |Yes| Yes| Write a 32-bit or 64-bit value to MMIO space | +|Memory management: Shared memory | ```fpga[Prepare, Release]Buffer()``` |Yes| Yes| Manage memory buffer shared between the calling process and an accelerator | +| | ```fpgaGetIOAddress()``` | Yes| Yes|Return the device I/O address of a shared memory buffer | +|Management: Reconfiguration | ```fpgaReconfigureSlot()``` | Yes | No | Replace an existing AFU with a new one | +|Error report | ```fpgaErrStr()``` | Yes| Yes|Map an error code to a human readable string | + +### FPGA Resource Properties ### +Applications query resource properties by specifying the property name for `Prop` in the +`fpgaPropertiesGet[Prop]()` and `fpgaPropertiesSet[Prop]()` functions. The FPGA and Accelerator +columns state whether or not the Property is available for the FPGA or Accelerator objects. + +|Property |FPGA |Accelerator |Description | +|:---------|:-----:|:----:|:-----| +|Parent |No |Yes |`fpga_token` of the parent object | +|ObjectType |Yes |Yes |The type of the resource: either `FPGA_DEVICE` or `FPGA_ACCELERATOR` | +|Bus |Yes |Yes |The bus number | +|Device |Yes |Yes |The PCI device number | +|Function |Yes |Yes |The PCI function number | +|SocketId |Yes |Yes |The socket ID | +|DeviceId |Yes |Yes |The device ID | +|NumSlots |Yes |No |Number of AFU slots available on an `FPGA_DEVICE` resource | +|BBSID |Yes |No |The FPGA Interface Manager (FIM) ID of an `FPGA_DEVICE` resource | +|BBSVersion |Yes |No |The FIM version of an `FPGA_DEVICE` resource | +|VendorId |Yes |No |The vendor ID of an `FPGA_DEVICE` resource | +|Model |Yes |No |The model of an `FPGA_DEVICE` resource | +|LocalMemorySize |Yes |No |The local memory size of an `FPGA_DEVICE` resource | +|Capabilities |Yes |No |The capabilities of an `FPGA_DEVICE` resource | +|GUID |Yes |Yes |The GUID of an `FPGA_DEVICE` or `FPGA_ACCELERATOR` resource | +|NumMMIO |No |Yes |The number of MMIO space of an `FPGA_ACCELERATOR` resource | +|NumInterrupts |No |Yes |The number of interrupts of an `FPGA_ACCELERATOR` resource | +|AcceleratorState |No |Yes |The state of an `FPGA_ACCELERATOR` resource: either `FPGA_ACCELERATOR_ASSIGNED` or `FPGA_ACCELERATOR_UNASSIGNED`| + +## OPAE C API Return Codes ## +The OPAE C library returns a code for every exported public API function. `FPGA_OK` indicates successful completion +of the requested operation. Any return code other than `FPGA_OK` indicates an error or unexpected +behavior. When using the OPAE C API, always check the API return codes. + +|Error Code|Description| +|----------|-----------| +|`FPGA_OK`|Operation completed successfully| +|`FPGA_INVALID_PARAM`|Invalid parameter supplied| +|`FPGA_BUSY`|Resource is busy| +|`FPGA_EXCEPTION`|An exception occurred| +|`FPGA_NOT_FOUND`|A required resource was not found| +|`FPGA_NO_MEMORY`|Not enough memory to complete operation| +|`FPGA_NOT_SUPPORTED`|Requested operation is not supported| +|`FPGA_NO_DRIVER`|Driver is not loaded| +|`FPGA_NO_DAEMON`|FPGA Daemon (`fpgad`) is not running| +|`FPGA_NO_ACCESS`|Insufficient privileges or permissions| +|`FPGA_RECONF_ERROR`|Error while reconfiguring FPGA| + +## Usage Models ## + + +### Query and Search for a Resource ### +The user-code first populates an `fpga_properties` object with the required properties. +Then, `fpgaEnumerate()` searches for matching resources. `fpgaEnumerate()` may return more +than one matching resource. + + #include "fpga/fpga.h" + + fpga_guid guid; + fpga_properties filter = NULL; + fpga_result res; + fpga_token tokens[MAX_NUM_TOKENS]; + uint32_t num_matches = 0; + + /* Start with an empty properties object */ + res = fpgaGetProperties(NULL, &filter); + + /* Populate the properties object with required values. + In this case, search for accelerators that matches + the specified GUID. + */ + uuid_parse(GUID, guid); + res = fpgaPropertiesSetObjectType(filter, FPGA_ACCELERATOR); + res = fpgaPropertiesSetGuid(filter, guid); + + /* Query the number of matching resources */ + res = fpgaEnumerate(&filter, 1, NULL, 1, &num_matches); + + /* Return tokens for all matching resources */ + res = fpgaEnumerate(&filter, 1, tokens, num_matches, &num_matches); + + /* Destroy the properties object */ + res = fpgaDestroyProperties(&filter); + + /* More code */ + ...... + + /* Destroy tokens */ + for (uint32_t i = 0; i < num_matches; ++i) { + res = fpgaDestroyToken(tokens[i]); + } + + + +The ```fpgaEnumerate()``` function can take multiple ```fpga_properties```objects in an array. In such cases, +the function performs a logical OR of the properties object and returns resources that match any of +the multiple properties. The ```fpga_token``` objects that ```fpgaEnumerate()``` returns, do not signify +ownership. To acquire ownership of a resource represented by a token, pass the token to `fpgaOpen()`. + + +### Acquire and Release a Resource ### +Use `fpgaOpen()` and `fpgaClose()` to acquire and release ownership of a resource. +The calling process must own the resource before it can initiate MMIO, access share memory buffers, +and use functions offered by the resource. + +```c + #include "fpga/fpga.h" + + fpga_handle handle; + fpga_result res; + + /* Acquire ownership of a resource that + `fpgaEnumerate()` previously returned as a token */ + + res = fpgaOpen(token, &handle); + + /* More code */ + ...... + + /* Release the ownership */ + res = fpgaClose(handle); +``` + +### Shared Memory Buffer ### +This code snippet shows how to prepare a memory buffer to be shared between the +calling process and an accelerator. + +```c + #include "fpga/fpga.h" + + fpga_handle handle; + fpga_result res; + + /* Hint for the virtual address of the buffer */ + volatile uint64_t *addr_hint; + /* An ID we can use to reference the buffer later */ + uint32_t bufid; + /* Flag to indicate whether or not the buffer is preallocated */ + int flag = 0; + + /* Allocate (if necessary), pin, and map a buffer to be accessible + by an accelerator + */ + res = fpgaPrepareBuffer(handle, BUF_SIZE, (void **) &addr_hint, + &bufid, flag); + + /* The actual address mapped to the buffer */ + uint64_t iova; + /* Get the IO virtual address for the buffer */ + res = fpgaGetIOAddress(handle, bufid, &iova); + + /* Inform the accelerator about the virtual address by writing to its mapped + register file + */ + ...... + + /* More code */ + ...... + + /* Release the shared buffer */ + res = fpgaReleaseBuffer(handle, bufid); +``` + +.. note:: + +``` +The `flag` variable can take a constant `FPGA_BUF_PREALLOCATED` to +indicate that the calling process has already allocated the address space +that `addr_hint` points to. +``` + +### MMIO ### +This code snippet shows how to map and unmap the register file of an accelerator into the +calling process's virtual memory space. + +```c + #include "fpga/fpga.h" + + fpga_handle handle; + fpga_result res; + + /* Index of the MMIO space. There might be multiple spaces on an accelerator */ + uint32_t mmio_num = 0; + /* Mapped address */ + uint64_t mmio_addr; + + /* Map MMIO */ + res = fpgaMapMMIO(handle, mmio_num, &mmio_addr); + + /* Write to a 32-bit value to the mapped register file at a certain byte + offset. + + CSR_CTL is the offset in the mapped space to where the value will be + written. It's defined elsewhere. + */ + res = fpgaWriteMMIO32(handle, mmio_num, CSR_CTL, value); + + /* More code */ + ...... + + /* Unmap MMIO */ + res = fpgaUnmapMMIO(handle, mmio_num); +``` + +.. Note:: + +``` +Every AFU has its own register adress space and its own protocol to control operation through +the registers. +``` + diff --git a/doc/src/fpga_api/quick_start/readme.md b/doc/src/fpga_api/quick_start/readme.md new file mode 100644 index 0000000..17d0f38 --- /dev/null +++ b/doc/src/fpga_api/quick_start/readme.md @@ -0,0 +1,438 @@ +# Quick Start Guide # + +```eval_rst +.. toctree:: +``` + +## Overview ## +The OPAE C library is a lightweight user-space library that provides +abstraction for FPGA resources in a compute environment. Built on top of the +OPAE Intel® FPGA driver stack that supports Intel® FPGA platforms, the library +abstracts away hardware specific and OS specific details and exposes the +underlying FPGA resources as a set of features accessible from within +software programs running on the host. + +These features include the acceleration logic preconfigured on the +device, as well as functions to manage and reconfigure the +device. Hence, the library is able to enalbe user applications to +transparently and seamlessly leverage FPGA-based acceleration. + +In this document, we will explore the initial steps on how to setup +the required libraries and utilities to use the FPGA devices. + +## Installing the OPAE Intel® FPGA drivers ## + +If you do not have access to an Intel® Xeon® processor with integrated +FPGA, or a programmable FPGA acceleration card for Intel® Xeon® +processors, you will not be able to run the examples below. However, you can +still make use of the AFU simulation environment (ASE) to develop and test +accelerator RTL with OPAE applications. + +For more information about ASE, see the [OPAE AFU Simulation Environment +(ASE) User Guide](../../ase_userguide/ase_userguide.html). + +As part of the OPAE SDK release, we provide DKMS-based RPM and DEB packages for +distributions using RPM (e.g. Redhat, Fedora, Centos) and DEB (eg. Ubuntu) package managers. +Download these package from the respective [release page on +GitHub](https://github.com/OPAE/opae-sdk/releases) - they are named +`opae-intel-fpga-driver-x.y.z-r.x86_64.rpm` and `opae-intel-fpga-driver_x.y.z-r_amd64.deb`, with `x.y.z-r` being the respective OPAE +release's version number. + +```eval_rst +.. note:: + The packages require that the DKMS (Dynamic Kernel Module System) + package, version greater than 2.2, is already installed. +``` + +For RPM-based distributions: +```console +$ sudo yum install opae-intel-fpga-driver-.x86_64.rpm +``` + +For DEB-based distributions: +```console +$ sudo dpkg -i opae-intel-fpga-driver__amd64.deb +``` +## Installing the OPAE SDK from rpm or deb packages ## +See the [OPAE Installation Guide](/fpga-doc/docs/fpga_api/install_guide/installation_guide.html) +for information about OPAE RPM and DEB packages. +Assuming the packages are already downloaded and exist in the current folder, +then use the commands below to install the OPAE library, tools, and development +headers. + +For rpm packages: + +```console +$ sudo yum install opae-libs-.x86_64.rpm +$ sudo yum install opae-tools-.x86_64.rpm +$ sudo yum install opae-tools-extra-.x86_64.rpm +$ sudo yum install opae-devel-.x86_64.rpm +$ sudo yum install opae-ase-.x86_64.rpm +``` + +For deb packages: + +```console +$ sudo dpkg -i opae-libs-.x86_64.deb +$ sudo dpkg -i opae-tools-.x86_64.deb +$ sudo dpkg -i opae-tools-extra-.x86_64.deb +$ sudo dpkg -i opae-devel-.x86_64.deb +$ sudo dpkg -i opae-ase-.x86_64.deb +``` + +To use OPAE in the simulation environment, you also need to install the AFU +Simulation Environment (ASE) package: + +rpm: + +```console +$ sudo yum install opae-ase-.x86_64.rpm +``` +deb: + +```console +$ sudo dpkg -i opae-.x86_64-ase.deb +``` + +```eval_rst +.. note: + If you want to install all the packages, you can also do: + rpm: $ sudo yum install opae-*.rpm + deb: $ sudo dpkg -i opae-*.deb +``` + +## Building and installing the OPAE SDK from source ## +Download the OPAE SDK source package from the respective [release page on +GitHub](https://github.com/OPAE/opae-sdk/releases) - click the `Source code +(tar.gz)` link under "Downloads". + +After downloading the source, unpack, configure, and compile it: + +```console + tar xfvz opae-sdk-.tar.gz + cd opae-sdk- + mkdir build + cd build + cmake .. -DOPAE_BUILD_SIM=ON + make +``` + +By default, the OPAE SDK will install into `/usr/local` if you also issue the following: + +```console + make install +``` + +You can change this installation prefix from `/usr/local` into something else +by adding `-DCMAKE_INSTALL_PREFIX=` to the `cmake` command above. +The remainder of this guide assumes you installed into `/usr/local`. + +## Configuring the FPGA (loading an FPGA AFU)## + +The *fpgaconf* tool exercises the AFU reconfiguration +functionality. It shows how to read a bitstream from a disk file, +check its validity and compatability, and then injects it into FPGA to +be configured as a new AFU, which can then be discovered and used by +user applications. + +For this step you require a valid green bitstream (GBS) file. To +reconfigure the FPGA slot, you can issue following command as system +administrator (*root*): + +```console +$ sudo fpgaconf -b 0x5e .gbs +``` + +The `-b` parameter to *fpgaconf* indicates the *target bus number* of the +FPGA slot to be reconfigured. Alternatively, you can also specify the +*target socket number* of the FPGA using the `-s` parameter. + +```console +$ sudo fpgaconf --help +Usage: + fpgaconf [-hvn] [-b ] [-d ] [-f ] [-s ] + + -h,--help Print this help + -v,--verbose Increase verbosity + -n,--dry-run Don't actually perform actions + -b,--bus Set target bus number + -d,--device Set target device number + -f,--function Set target function number + -s,--socket Set target socket number +``` + + +```eval_rst +.. note:: + The sample application on the Building a Sample Application + section requires loading of an AFU called "Native Loopback + Adapter" (NLB) on the FPGA. Please refer to the NLB documentation + for the location of the NLB's green bitstream. You also can verify + if the NLB green bitstream has already been loaded into the FPGA + slot by typing the following command and checking the output + matches the following: + + $ cat /sys/class/fpga/intel-fpga-dev.0/intel-fpga-port.0/afu_id + + d8424dc4a4a3c413f89e433683f9040b +``` + +## Building a sample application ## +The library source include code samples. Use these samples to learn +how to call functions in the library. Build and run these samples as +quick sanity checks to determine if your installation and environment +are set up properly. + +In this guide, we will build *hello\_fpga.c*. This is the "Hello +World!" example of using the library. This code searches for a +predefined and known AFU called "Native Loopback Adapter" on the +FPGA. If found, it acquires ownership and then interacts with the AFU +by sending it a 2MB message and waiting for the message being echoed +back. This coe exercises all major components of the API except for +AFU reconfiguration: AFU search, enumeration, access, MMIO, and memory +management. + +You can also find the source for `hello\_fpga` in the `samples` directory of the +OPAE SDK repository on github. + +```c + int main(int argc, char *argv[]) + { + fpga_properties filter = NULL; + fpga_token afu_token; + fpga_handle afu_handle; + fpga_guid guid; + uint32_t num_matches; + + volatile uint64_t *dsm_ptr = NULL; + volatile uint64_t *status_ptr = NULL; + volatile uint64_t *input_ptr = NULL; + volatile uint64_t *output_ptr = NULL; + + uint64_t dsm_wsid; + uint64_t input_wsid; + uint64_t output_wsid; + fpga_result res = FPGA_OK; + + if (uuid_parse(NLB0_AFUID, guid) < 0) { + fprintf(stderr, "Error parsing guid '%s'\n", NLB0_AFUID); + goto out_exit; + } + + /* Look for accelerator by its "afu_id" */ + res = fpgaGetProperties(NULL, &filter); + ON_ERR_GOTO(res, out_exit, "creating properties object"); + + res = fpgaPropertiesSetObjectType(filter, FPGA_ACCELERATOR); + ON_ERR_GOTO(res, out_destroy_prop, "setting object type"); + + res = fpgaPropertiesSetGuid(filter, guid); + ON_ERR_GOTO(res, out_destroy_prop, "setting GUID"); + + /* TODO: Add selection via BDF / device ID */ + + res = fpgaEnumerate(&filter, 1, &afu_token, 1, &num_matches); + ON_ERR_GOTO(res, out_destroy_prop, "enumerating accelerators"); + + if (num_matches < 1) { + fprintf(stderr, "accelerator not found.\n"); + res = fpgaDestroyProperties(&filter); + return FPGA_INVALID_PARAM; + } + + /* Open accelerator and map MMIO */ + res = fpgaOpen(afu_token, &afu_handle, 0); + ON_ERR_GOTO(res, out_destroy_tok, "opening accelerator"); + + res = fpgaMapMMIO(afu_handle, 0, NULL); + ON_ERR_GOTO(res, out_close, "mapping MMIO space"); + + /* Allocate buffers */ + res = fpgaPrepareBuffer(afu_handle, LPBK1_DSM_SIZE, + (void **)&dsm_ptr, &dsm_wsid, 0); + ON_ERR_GOTO(res, out_close, "allocating DSM buffer"); + + res = fpgaPrepareBuffer(afu_handle, LPBK1_BUFFER_ALLOCATION_SIZE, + (void **)&input_ptr, &input_wsid, 0); + ON_ERR_GOTO(res, out_free_dsm, "allocating input buffer"); + + res = fpgaPrepareBuffer(afu_handle, LPBK1_BUFFER_ALLOCATION_SIZE, + (void **)&output_ptr, &output_wsid, 0); + ON_ERR_GOTO(res, out_free_input, "allocating output buffer"); + + printf("Running Test\n"); + + /* Initialize buffers */ + memset((void *)dsm_ptr, 0, LPBK1_DSM_SIZE); + memset((void *)input_ptr, 0xAF, LPBK1_BUFFER_SIZE); + memset((void *)output_ptr, 0xBE, LPBK1_BUFFER_SIZE); + + cache_line *cl_ptr = (cache_line *)input_ptr; + for (uint32_t i = 0; i < LPBK1_BUFFER_SIZE / CL(1); ++i) { + cl_ptr[i].uint[15] = i+1; /* set the last uint in every cacheline */ + } + + /* Reset accelerator */ + res = fpgaReset(afu_handle); + ON_ERR_GOTO(res, out_free_output, "resetting accelerator"); + + /* Program DMA addresses */ + uint64_t iova; + res = fpgaGetIOAddress(afu_handle, dsm_wsid, &iova); + ON_ERR_GOTO(res, out_free_output, "getting DSM IOVA"); + + res = fpgaWriteMMIO64(afu_handle, 0, CSR_AFU_DSM_BASEL, iova); + ON_ERR_GOTO(res, out_free_output, "writing CSR_AFU_DSM_BASEL"); + + res = fpgaWriteMMIO32(afu_handle, 0, CSR_CTL, 0); + ON_ERR_GOTO(res, out_free_output, "writing CSR_CFG"); + res = fpgaWriteMMIO32(afu_handle, 0, CSR_CTL, 1); + ON_ERR_GOTO(res, out_free_output, "writing CSR_CFG"); + + res = fpgaGetIOAddress(afu_handle, input_wsid, &iova); + ON_ERR_GOTO(res, out_free_output, "getting input IOVA"); + res = fpgaWriteMMIO64(afu_handle, 0, CSR_SRC_ADDR, CACHELINE_ALIGNED_ADDR(iova)); + ON_ERR_GOTO(res, out_free_output, "writing CSR_SRC_ADDR"); + + res = fpgaGetIOAddress(afu_handle, output_wsid, &iova); + ON_ERR_GOTO(res, out_free_output, "getting output IOVA"); + res = fpgaWriteMMIO64(afu_handle, 0, CSR_DST_ADDR, CACHELINE_ALIGNED_ADDR(iova)); + ON_ERR_GOTO(res, out_free_output, "writing CSR_DST_ADDR"); + + res = fpgaWriteMMIO32(afu_handle, 0, CSR_NUM_LINES, LPBK1_BUFFER_SIZE / CL(1)); + ON_ERR_GOTO(res, out_free_output, "writing CSR_NUM_LINES"); + res = fpgaWriteMMIO32(afu_handle, 0, CSR_CFG, 0x42000); + ON_ERR_GOTO(res, out_free_output, "writing CSR_CFG"); + + status_ptr = dsm_ptr + DSM_STATUS_TEST_COMPLETE/8; + + /* Start the test */ + res = fpgaWriteMMIO32(afu_handle, 0, CSR_CTL, 3); + ON_ERR_GOTO(res, out_free_output, "writing CSR_CFG"); + + /* Wait for test completion */ + while (0 == ((*status_ptr) & 0x1)) { + usleep(100); + } + + /* Stop the device */ + res = fpgaWriteMMIO32(afu_handle, 0, CSR_CTL, 7); + ON_ERR_GOTO(res, out_free_output, "writing CSR_CFG"); + + /* Check output buffer contents */ + for (uint32_t i = 0; i < LPBK1_BUFFER_SIZE; i++) { + if (((uint8_t*)output_ptr)[i] != ((uint8_t*)input_ptr)[i]) { + fprintf(stderr, "Output does NOT match input " + "at offset %i!\n", i); + break; + } + } + + printf("Done Running Test\n"); + + /* Release buffers */ + out_free_output: + res = fpgaReleaseBuffer(afu_handle, output_wsid); + ON_ERR_GOTO(res, out_free_input, "releasing output buffer"); + out_free_input: + res = fpgaReleaseBuffer(afu_handle, input_wsid); + ON_ERR_GOTO(res, out_free_dsm, "releasing input buffer"); + out_free_dsm: + res = fpgaReleaseBuffer(afu_handle, dsm_wsid); + ON_ERR_GOTO(res, out_unmap, "releasing DSM buffer"); + + /* Unmap MMIO space */ + out_unmap: + res = fpgaUnmapMMIO(afu_handle, 0); + ON_ERR_GOTO(res, out_close, "unmapping MMIO space"); + + /* Release accelerator */ + out_close: + res = fpgaClose(afu_handle); + ON_ERR_GOTO(res, out_destroy_tok, "closing accelerator"); + + /* Destroy token */ + out_destroy_tok: + res = fpgaDestroyToken(&afu_token); + ON_ERR_GOTO(res, out_destroy_prop, "destroying token"); + + /* Destroy properties object */ + out_destroy_prop: + res = fpgaDestroyProperties(&filter); + ON_ERR_GOTO(res, out_exit, "destroying properties object"); + + out_exit: + return res; + + } +``` + +Linking with the OPAE library is straightforward. Code using this library +should include the header file `fpga.h`. Taking the GCC compiler on +Linux as an example, the minimalist compile and link line should look +like: + +```console +$ gcc -std=c99 hello_fpga.c -I/usr/local/include -L/usr/local/lib -lopae-c -luuid -ljson-c -lpthread -o hello_fpga +``` + + +```eval_rst +.. note: + The API uses some features from the C99 language standard. The + `-std=c99` switch is required if the compiler does not support C99 by + default. +``` + + +```eval_rst +.. note:: + Third-party library dependency: The library internally uses + `libuuid` and `libjson-c`. But they are not distributed as part of the + library. Make sure you have these libraries properly installed. +``` +To run the *hello_fpga* application; just issue: + +```console +$ sudo ./hello_fpga + +Running Test +Done + +``` + + +```eval_rst +.. note:: + In order to successfully run hello\_fpga, user need to configure system hugepage to reserve 2M-hugepages. + For example, the command below reserves 20 2M-hugepages: + + $ echo 20 | sudo tee /sys/kernel/mm/hugepages/hugepages-2048kB/nr_hugepages + + For x86_64 architecture CPU, user can use following command to find out avaiable huge page sizes: + + $ grep pse /proc/cpuinfo | uniq + flags : ... pse ... + + If this commands returns a non-empty string, 2MB pages are supported: + + $ grep pse /proc/cpuinfo | uniq + flags : ... pdpe1gb ... + + If this commands returns a non-empty string, 1GB pages are supported: + +``` + +```eval_rst +.. note:: + The default configuration for many Linux distribution currently sets a relatively low limit for pinned memory allocations per process (RLIMIT_MEMLOCK, often set to a default of 64kiB). + To run an OPAE application which attempts to share more memory than specified by this limit between software and an accelerator, you can either: + + * Run the application as root, or + * Increase the limit for locked memory via ulimit: + + $ ulimit -l unlimited + + See the Installation Guide for how to permanently adjust the memlock limit. + +``` diff --git a/doc/src/fpga_dfl_drv/fpga_dfl_drv.md b/doc/src/fpga_dfl_drv/fpga_dfl_drv.md new file mode 100644 index 0000000..c38b9c7 --- /dev/null +++ b/doc/src/fpga_dfl_drv/fpga_dfl_drv.md @@ -0,0 +1,66 @@ +# Enable OPAE on FPGA PCIe drivers # + +.. toctree:: + +.. highlight:: c + +.. highlight:: console + +FPGA PCIe driver for PCIe-based Field-Programmable Gate Array (FPGA) solutions which implement +the Device Feature List (DFL). This driver provides interfaces for user space applications to +configure, enumerate, open and access FPGA accelerators on the FPGA DFL devices. additionally, it +also enables system level management functions such as FPGA partial reconfiguration, power management, +virtualization with DFL framework and DFL feature device drivers. + +OPAE 1.4.0 release supports both FPGA Intel Linux driver as well as Linux FPGA DFL driver patch set2. +Linux PCIe FPGA DFL driver supports Intel FPGA devices. + +FPGA DFL Linux driver source code patchset2 available +https://git.kernel.org/pub/scm/linux/kernel/git/stable/linux.git/tree/drivers?h=linux-5.4.y + +FPGA DFL Linux driver source code patchset1 available +https://git.kernel.org/pub/scm/linux/kernel/git/stable/linux.git/tree/drivers/fpga?h=v4.19.14 + + +![FPGA PCIe driver Architecture](pcie_dfl_drv_arch.PNG "FPGA PCIe driver Architecture") + + +List of FPGA features enabled on different FPGA drivers + +| FPGA Features | OPAE/FPGA Intel driver |OPAE/FPGA DFL driver version 2 | OPAE/FPGA DFL driver version 1 | +|----------------------------------------------------------|---------------------------------------------------|------------------------------------------------------|-------------------------------------------------------| +| FPGA Device Enumeration | YES | YES |YES | +| Memory map, FPGA control & status registers | YES | YES |YES | +| Shared system memory | YES | YES | YES | +| Low-latency notifications | YES | NO |NO | +| Partial Reconfiguration | YES | YES |NO | +| Assign /Release Accelerators to host interfaces | YES | YES |NO | +| Metrics/Telemetry | YES | YES |NO | +| FPGA Events | YES | NO |NO | + + +List of OPAE tools enabled on different FPGA drivers: + +| OPAE tool | OPAE/FPGA Intel driver |OPAE/FPGA DFL driver version 2 |OPAE/FPGA DFL driver version 1 | +|----------------------|---------------------------------------------------|-------------------------------------------------------|-------------------------------------------------------| +| hello_fpga | YES | YES | YES | +| fpgaconf | YES | YES | NO | +| fpgad | YES | NO | NO | +| fpgainfo | YES | YES | NO | +| fpgametrics | YES | YES | NO | +| hello_events | YES | NO | NO | +| hssi_config | YES | NO | NO | +| hssi_loopback | YES | NO | NO | +| object_api | YES | YES | NO | +| mmlink | YES | YES | NO | +| bist_app | YES | NO | NO | +| coreidle | YES | NO | NO | +| discover_fpgas | YES | NO | NO | +| fpga_dma_test | YES | NO | YES | +| hello_cxxcore | YES | YES | NO | +| ras | YES | NO | NO | +| userclk | YES | YES | NO | +| nlb0 | YES | NO | NO | +| nlb3 | YES | NO | NO | +| nlb7 | YES | NO | NO | +| packager | YES | YES | YES | diff --git a/doc/src/fpga_dfl_drv/pcie_dfl_drv_arch.PNG b/doc/src/fpga_dfl_drv/pcie_dfl_drv_arch.PNG new file mode 100644 index 0000000..1fab16c Binary files /dev/null and b/doc/src/fpga_dfl_drv/pcie_dfl_drv_arch.PNG differ diff --git a/doc/src/fpga_tools/coreidle/coreidle.md b/doc/src/fpga_tools/coreidle/coreidle.md new file mode 100644 index 0000000..788491c --- /dev/null +++ b/doc/src/fpga_tools/coreidle/coreidle.md @@ -0,0 +1,40 @@ +# coreidle # + +## SYNOPSIS ## + +`coreidle [-v] [-B ] [-D ] [-F ] [-S ] [-G ] ` + + +## DESCRIPTION ## + +```coreidle``` parses the Accelerator Function Unit (AFU) metadata and extracts power information. ```coreidle``` calculates +the FPGA power and calculates the number of online and idle cores. It moves threads from idle cores to online cores. +```coreidle``` is only available the Integrated FPGA Platform. You cannot run ```coreidle``` on the PCIe Accelerator Card (PAC). + + +## EXAMPLES ## + +`./coreidle -B 0x5e -G /home/lab/gbs/mode0.gbs` + + Idle cores to run online cores at maximum capacity. + +## OPTIONS ## + +`-v,--version` Prints version information and exit. + +`-B,--bus` FPGA bus number. + +`-D,--device` FPGA device number. + +`-F,--functio` FPGA function number. + +`-S,--socket` FPGA socket number. + +`-G,--gbs` Green bitstream file path. + + +## Revision History ## + + | Document Version | Intel Acceleration Stack Version | Changes | + | ---------------- |------------------------------------|----------| + |2018.05.21 | 1.1 Beta.
(Supported with Intel Quartus Prime Pro Edition 17.1.1.) | No changes from previous release. | diff --git a/doc/src/fpga_tools/fpgabist/fpgabist.md b/doc/src/fpga_tools/fpgabist/fpgabist.md new file mode 100644 index 0000000..e941ad9 --- /dev/null +++ b/doc/src/fpga_tools/fpgabist/fpgabist.md @@ -0,0 +1,71 @@ +# fpgabist # + +## SYNOPSIS ## +```console +fpgabist [-h] [-i device_id] [-b bus] [-d device] [-f function] [path_to_gbs1 path_to_gbs2 ...] +``` + +## DESCRIPTION ## +The ```fpgabist``` tool performs self-diagnostic tests on supported FPGA platforms. + +The tool accepts one or more Accelerator Function (AF) binaries from a predetermined set of AFs. Depending on the available binaries, +the tool runs appropriate tests and reports hardware issues. + +```fpgabist``` always uses ```fpgainfo``` to report system information before running any hardware tests. + +Currently, ```fpgabist``` accepts the following AFs: + 1. nlb_mode_3: The native loopback (NLB) test implements a loopback from TX to RX. Use it to verify basic functionality + and to measure bandwidth. + 2. dma_afu: The direct memory access (DMA) AFU test transfers data from host memory to FPGA-attached local memory. + +The installation includes the AF files, but you can also compile the AFs from the source. + +If there are multiple PCIe® devices, use -b, -d, -f to specify the BDF for the specific PCIe® device. + +## POSITIONAL ARGUMENTS ## +`[path_to_gbs1 path_to_gbs2 ...]` + + Paths to Accelerator Function (AF) files. + +### OPTIONAL ARGUMENTS ## + +You can use the single letter or the full parameter name for the command line arguments. + +`-h, --help` + + Prints usage information + +`-i device_id, --device-id device_id` + + Device ID for Intel FPGA. Default is: 0x09c4 + +`-B bus, --bus bus` + + Bus number for specific FPGA + +`-D device, --device device` + + Device number for specific FPGA + +`-F function, --function function` + + Function number for specific FPGA + +## EXAMPLES ## + +`fpgabist /dma_afu.gbs /nlb_3.gbs` + + Runs ```fpgabist``` on any platform in the system that matches the default device ID. This command runs both the DMA and + NLB_MODE_3 tests. + + `fpgabist -i 09c4 -b 5 /dma_afu.gbs` + + Runs `fpgabist` the DMA test on the PCIe® Endpoint with `device_id` 09c4 on bus 5. + +## Revision History ## + +| Date | Intel Acceleration Stack Version | Changes Made | +|:------|----------------------------|:--------------| +|2018.05.21| DCP 1.1 Beta (works with Quartus Prime Pro 17.1.1) | Made the following changes:
Expanded descriptions of `nlb_mode_3` and`dma_afu` tests.
Added a second example command. | + + diff --git a/doc/src/fpga_tools/fpgaconf/fpgaconf.md b/doc/src/fpga_tools/fpgaconf/fpgaconf.md new file mode 100644 index 0000000..8b33955 --- /dev/null +++ b/doc/src/fpga_tools/fpgaconf/fpgaconf.md @@ -0,0 +1,67 @@ +# fpgaconf # + +## SYNOPSIS ## + +`fpgaconf [-hvVn] [-b ] [-d ] [-f ] [-s ] ` + +## DESCRIPTION ## + +```fpgaconf``` configures the FPGA with the accelerator function (AF). It also checks the AF for compatibility with +the targeted FPGA and the FPGA Interface Manager (FIM). ```fpgaconf``` takes the following arguments: + +`-h, --help` + + Prints usage information. + +`-v, --version` + + Prints version information and exits. + +`-V, --verbose` + + Prints more verbose messages while enumerating and configuring. Can be + requested more than once. + +`-n, --dry-run` + + Performs enumeration. Skips any operations with side-effects such as the + actual AF configuration. + +`-B, --bus` + + PCIe bus number of the target FPGA. + +`-D, --device` + + PCIe device number of the target FPGA. + +`-F, --function` + + PCIe function number of the target FPGA. + +`-S, --socket` + + Socket number of the target FPGA. + +```fpgaconf``` enumerates available FPGA devices in the system and selects +compatible FPGAs for configuration. If more than one FPGA is +compatible with the AF, ```fpgaconf``` exits and asks you to be +more specific in selecting the target FPGAs by specifying a +socket number or a PCIe BDF. + +## EXAMPLES ## + +`fpgaconf my_af.gbs` + + Program "my_af.gbs" to a compatible FPGA. + +`fpgaconf -v -s 0 my_af.gbs` + + Program "my_af.gbs" to the FPGA in socket 0, if compatible, + while printing out slightly more verbose information. + + ## Revision History ## + + | Document Version | Intel Acceleration Stack Version | Changes | + | ---------------- |------------------------------------|----------| + |2018.05.21 | 1.1 Beta.
(Supported with Intel Quartus Prime Pro Edition 17.1.1.) | Corrected typos. | diff --git a/doc/src/fpga_tools/fpgad/fpgad.md b/doc/src/fpga_tools/fpgad/fpgad.md new file mode 100644 index 0000000..014da3d --- /dev/null +++ b/doc/src/fpga_tools/fpgad/fpgad.md @@ -0,0 +1,72 @@ +# fpgad # + +## SYNOPSIS ## +`fpgad --daemon [--version] [--directory=] [--logfile=] [--pidfile=] [--umask=] [--socket=] [--null-bitstream=]` +`fpgad [--socket=] [--null-bitstream=]` + +## DESCRIPTION ## +```fpgad``` periodically monitors and reports the error status reflected in the device driver's error status sysfs files. +```fpgad``` establishes the channel to communicate events to the Open Programmable Accelerator Engine (OPAE) application. +```fpgad``` programs a NULL bitstream in response to an AP6 (power) event. ```fpgad``` is only available on the Integrated FPGA +Platform. You cannot run ```fpgad``` on the PCIe® Accelerator Card (PAC). + +If your system does not support interrupts, you must run ```fpgad``` before the API calls `fpgaRegisterEvent` and +`fpgaUnregisterEvent` can succeed. + +Use SIGINT to stop ```fpgad```. + +`-v, --version` + + Prints version information and exits. + +`-d, --daemon` + + When specified, fpgad executes as a system daemon process. + +`-D, --directory ` + + When running in daemon mode, run from the specified directory. + If omitted when daemonizing, `fpgad` uses /tmp. + +`-l, --logfile ` + + When running in daemon mode, send output to file. When not in daemon mode, the output goes to stdout. + If omitted when daemonizaing, fpgad uses /tmp/fpgad.log. + +`-p, --pidfile ` + + When running in daemon mode, write the daemon's process id to a file. + If omitted when daemonizing, fpgad uses /tmp/fpgad.pid. + +`-m, --umask ` + + When running in daemon mode, use the mode value as the file mode creation mask passed to umask. + If omitted when daemonizing, fpgad uses 0. + +`-s, --socket ` + + Listen for event API registration requests on the UNIX domain socket on the specified path. + The default=/tmp/fpga_event_socket. + +`-n, --null-bitstream ` + + Specify the NULL bitstream to program when an AP6 event occurs. This option may be specified multiple + times. The AF, if any, that matches the FPGA's PR interface ID is programmed when an AP6 + event occurs. + +## TROUBLESHOOTING ## + +If you encounter any issues, you can get debug information in two ways: + +1. By examining the log file when in daemon mode. +2. By running in non-daemon mode and viewing stdout. + +## EXAMPLES ## + +`fpgad --daemon --null-bitstream=my_null_bits.gbs` + + ## Revision History ## + + | Document Version | Intel Acceleration Stack Version | Changes | + | ---------------- |------------------------------------|----------| + |2018.05.21 | 1.1 Beta.
(Supported with Intel Quartus Prime Pro Edition 17.1.1.) | No changes from previous release. | diff --git a/doc/src/fpga_tools/fpgadiag/README.md b/doc/src/fpga_tools/fpgadiag/README.md new file mode 100644 index 0000000..9722a84 --- /dev/null +++ b/doc/src/fpga_tools/fpgadiag/README.md @@ -0,0 +1,484 @@ +# fpgadiag # + +## SYNOPSIS ## +```console +fpgadiag [-m | --mode=] [-t | --target=] [options] +``` + + +## DESCRIPTION ## +Includes several tests to diagnose, test, and report on the FPGA hardware. + +`````` chooses which test to run. +`````` specifies the platform that runs the test. +`````` can be either ```fpga``` or ```ase``` where ```ase```. +`````` is the abbreviation for Accelerator Simulation Environment. + +The `````` selects from the following tests: + +**lpbk1** + +This test runs a loopback test on the number of cachelines specified with +the ```BEGIN``` option. ```fpgadiag``` sets up source and destination buffers in +main memory. The FPGA then performs a ```memcpy``` from a source buffer to the +destination buffer, one cacheline at a time. + +A cacheline is 64 bytes. When `BEGIN = END`, the test performs one iteration. When +`BEGIN = END + x`, the test performs `x` iterations. The first iteration consists +of copying `BEGIN` cachelines; the second iteration consists of copying +`BEGIN+1` cache lines. The third iteration consists of copying `BEGIN+2` +cache lines, and so on. + +The latency is shown as the number of clock cycles. + +When you specify `MULTI-CL`, you copy `MULTI-CL` cache lines at a time. +The WR-FENCE chooses on which virtual channel the WrFence occurs. + + +If you specify continuous mode with `--cont`, the program iterates +until the timeout specified in `TIMEOUT` completes. + + +**read** + +This test performs reads. Use this test to measure read bandwidth. + + + +**write** + +This test performs writes. Use it to measure write bandwidth. + + +**trput** + +This test measures both read and write bandwidth by performing 50% read and +50% write tests. + + +**sw** + +This is a send-and-respond (ping-pong) test. One side sends data and +waits for response. + +Each test requires a particular AF. Before running a test, +make sure the required AF is properly configured +on the platform. + +* The lpbk1 test requires the nlb mode 0 AF. +* The trput test requires the nlb mode 3 AF. +* The sw test requires the nlb mode 7 AF. This AF is only available for the integrated FPGA platform. + You cannot run it on the PCIe accelerator card (PAC). + + +**fpgalpbk** + +This enable/disable FPGA loopback. + + +**fpgastats** + +This get fpga mac statistics. + + +**mactest** + +This compare mac addresses that read from MAC ROM with mac addresses read from Host side. + + +## OPTIONS ## +### Common options ### +`--help, -h` + + Print help information and exit. + +`--target=, -t` + + This switch specifies fpga (hardware) or ase (simulation). The default=fpga. + +`--mode=, -m` + + The test to run. The valid values are `lpbk1`, `read`, + `write`, `trput`, and `sw`. + +`--config=, -c` + + A configuration file in the JSON format that specifies options for a test. + If an option is specified both in the configuration file and on the command + line, the value in the configuration file takes precedence. + +`--dsm-timeout-usec` + + Timeout in microseconds for test completion. The test fails if not completed by + specified timeout. The default=1000000. + +`--socket-id=, -s` + + Socket ID encoded in FPGA Interface Manager (FIM). The default=0. + +`--bus=, -B` + + Bus number of the PCIe device. The default=0. + +`--device=, -D` + + Device number of the PCIe device. The default=0. + +`--function=, -F` + + Function number of the PCIe device. The default=0. + +`--freq=, -T` + + Clock frequency (in Hz) used for bandwidth calculation. The default=400000000 Hz (400 MHz). +```eval_rst +.. note:: + This frequency is used only when the software cannot infer the frequency from the accelerator. +``` + +`--suppress-hdr, -S` + + Suppress column headers for text output. The default=off. + +`--csv, -V` + + Comma separated value format. The default=off. + +`--suppress-stats` + + Suppress statistics output at the end of test. The default=off. + +### **lpbk1** test options ### +`--guid=, -g` + + AFU ID to enumerate. The default=D8424DC4-A4A3-C413-F89E-433683F9040B. + +`--begin=B, -b` + + 1 <= B <= 65535. The default=1, B = number of cache lines. + +`--end=E, -e` + + 1 <= E <= 65535. The default=B, B and E designate number of cache lines. + +`--multi-cl=M, -u` + + M can equal 1, 2, or 4. The default=1. + +`--cont, -L` + + Continuous mode. The default=off. + +`--timeout-usec=, --timeout-msec=, --timeout-sec=, --timeout-min=, --timeout-hour=` + + timeout for --cont mode. The default for all options is 0. + +`--cache-policy=, -p` + + Can be wrline-I, wrline-M, or wrpush-I The default=wrline-M. + +`--cache-hint=, -i` + + Can be rdline-I or rdline-S. The default=rdline-I. + +`--read-vc=, -r` + + Can be auto, vl0, vh0, vh1, random. The default=auto. + +`--write-vc=, -w` + + Can be auto, vl0, vh0, vh1, random. The default=auto. + +`--wrfence-vc=, -f` + + Can be auto, vl0, vh0, vh1. The default=auto. + + +### **read** test options ### +`--guid=, -g` + + AFU ID to enumerate. The default=F7DF405C-BD7A-CF72-22F1-44B0B93ACD18. + +`--begin=B, -b` + + 1 <= B <= 65535. The default=1, B = number of cache lines. + +`--end=E, -e` + + 1 <= E <= 65535. The default=B, B and E designate number of cache lines. + +`--multi-cl=M, -u` + + M can equal 1, 2, or 4. The default=1. + +`--strided-access=S, -a` + + 1<= S <= 64. The default=1. + +`--cont, -L` + + Continuous mode. The default=off. + +`--timeout-usec=, --timeout-msec=, --timeout-sec=, --timeout-min=, --timeout-hour=` + + timeout for --cont mode. The default for all options is 0. + +`--cache-hint=, -i` + + Can be rdline-I or rdline-S. The default=rdline-I. + +`--warm-fpga-cache -H; --cool-fpga-cache -M` + + Try to prime the cache with hits. The default=off. Try to prime the + cache with misses. The default=off. + +`--cool-cpu-cache, -C` + + Try to prime the cpu cache with misses. The default=off. + +`--read-vc=, -r` + + Can be auto, vl0, vh0, vh1, random. The default=auto + + +### **write** test options ### +`--guid=, -g` + + AFU ID to enumerate. The default=F7DF405C-BD7A-CF72-22F1-44B0B93ACD18 + +`--begin=B, -b` + + 1 <= E <= 65535. The default=B, B and E designate number of cache lines. + +`--multi-cl=M, -u` + + M can equal 1, 2, or 4. The default=1. + +`--strided-access=S, -a` + + 1<= S <= 64. The default=1. + +`--cont, -L` + + Continuous mode. The default=off. + +`--timeout-usec=, --timeout-msec=, --timeout-sec=, --timeout-min=, --timeout-hour=` + + timeout for --cont mode. The default for all options is 0. + +`--cache-policy=, -p` + + Can be wrline-I, wrline-M, or wrpush-I The default=wrline-M + +`--warm-fpga-cache -H; --cool-fpga-cache -M` + + Try to prime the cache with hits. The default=off. Try to prime the + cache with misses. The default=off. + +`--cool-cpu-cache, -C` + + Try to prime the cpu cache with misses. The default=off. + +`--write-vc=, -w` + + Can be auto, vl0, vh0, vh1, random. The default=auto. + +`--wrfence-vc=, -f` + + Can be auto, vl0, vh0, vh1, random. The default=`WRITE-VC`. + +`--alt-wr-pattern, -l` + + Alternate Write Pattern. The default=off. + + +### **trput** test options ### +`--guid=, -g` + + AFU ID to enumerate. The default=F7DF405C-BD7A-CF72-22F1-44B0B93ACD18. + +`--begin=B, -b` + + 1 <= B <= 65535. The default=1, B = number of cache lines. + +`--end=E, -e` + + 1 <= E <= 65535. The default=B, B and E designate number of cache lines. + +`--multi-cl=M, -u` + + M can equal 1, 2, or 4. The default=1. + +`--strided-access=S, -a` + + 1<= S <= 64. The default=1 + +`--cont, -L` + + Continuous mode. The default=off. + +`--timeout-usec=, --timeout-msec=, --timeout-sec=, --timeout-min=, --timeout-hour=` + + timeout for --cont mode. The default for all options is 0. + +`--cache-policy=, -p` + + Can be wrline-I, wrline-M, or wrpush-I The default=wrline-M. + +`--cache-hint=, -i` + + Can be rdline-I or rdline-S. The default=rdline-I. + +`--read-vc=, -r` + + Can be auto, vl0, vh0, vh1, random. The default=auto. + +`--write-vc=, -w` + + Can be auto, vl0, vh0, vh1, random. The default=auto. + +`--wrfence-vc=, -f` + + Can be auto, vl0, vh0, vh1. The default=`WRITE-VC`. + + +### **sw** test options ### +`--guid=, -g` + + AFU ID to enumerate. The default=7BAF4DEA-A57C-E91E-168A-455D9BDA88A3. + +`--begin=B, -b` + + 1 <= B <= 65535. The default=1, B = number of cache lines. + +`--end=E, -e` + + 1 <= E <= 65535. The default=B, B and E designate number of cache lines. + +`--cache-policy=, -p` + + Can be wrline-I, wrline-M, or wrpush-I. The default=wrline-M. + +`--cache-hint= -i` + + Can be rdline-I or rdline-S. The default=rdline-I. + +`--read-vc=, -r` + + Can be auto, vl0, vh0, vh1, random The default=auto. + +`--write-vc=, -w` + + Can be auto, vl0, vh0, vh1, random The default=auto. + +`--wrfence-vc=, -f` + + Can be auto, vl0, vh0, vh1. The default=`WRITE-VC`. + +`--notice=, -N` + + Can be poll or csr-write. The default=poll. + + +### **fpgalpbk** test options ### +`--enable` + + Enable fpga phy loopback. + +`--disable` + + Disable fpga phy loopback. + +`--direction` + + Can be local, remote. + +`--type` + + Can be serial, precdr, postcdr. + +`--side` + + Can be line, host. + +`--port` + + 0 <= port <= 7, the default is all. + + +### **mactest** test options ### +`--offset` + + Read mac addresses from an offset, The default=0. + + +## EXAMPLES ## +This command starts a `lpbk1` test for the FPGA on bus `0x5e`. The test +copies 57535, 57536, 57537 ... up to 65535 cache lines, one line at a time. +The test prints output in the comma separated values (CSV) format with the +header suppressed. +```console +./fpgadiag --mode=lpbk1 --target=fpga -V --bus=0x5e --begin=57535 +--end=65535 --cache-hint=rdline-I --cache-policy=wrpush-I --multi-cl=1 +--write-vc=vl0 --read-vc=vh1 --wrfence-vc=auto +``` + +This command starts a `read` test on the FPGA located on bus `0xbe`. The test +reads 2045 cache lines in the continuous mode with a 15-second timeout period. +The reads use a strided pattern with a 10-byte stride length. +```console +./fpgadiag --mode=read --target=fpga -V --bus=0xbe --begin=2045 --cont +--timeout-sec=15 --cache-hint=rdline-I --multi-cl=1 -a=10 +--read-vc=auto --wrfence-vc=auto +``` + +This command starts a `sw` test on the FPGA located on bus `0xbe`. The test +signals completion using a CSR write. +```console +./fpgadiag --mode=sw --target=fpga -V --bus=0xbe --begin=4 --end=8192 +--cache-hint=rdline-I --cache-policy=wrline-I --notice=csr-write --write-vc=vl0 +--wrfence-vc=auto --read-vc=random +``` + + +This command enable a `fpgalpbk` on the FPGA located on bus `0xbe`. +```console +./fpgadiag -m fpgalpbk --bus 0xbe --enable --direction local --type postcdr +--side host +``` + + +This command show `fpgastats` on the FPGA located on bus `0xbe`. +```console +./fpgadiag -m fpgastats --bus 0xbe +``` + + +## TROUBLESHOOTING ## +When a test fails to run or gives errors, check the following: + +* Is the Intel FPGA driver properly installed? +See [Installation Guide](/fpga-doc/docs/install_guide/installation_guide.html) +for driver installation instructions. +* Are FPGA port permissions set properly? Check the permission bits of the +port, for example, `/dev/intel-fpga-port-0`. You need READ and WRITE +permissions to run `fpgadiag` tests. +* Is hugepage properly configured on the system? +See [Installation Guide](/fpga-doc/docs/install_guide/installation_guide.html) +for hugepage configuration steps. In particular, `fpgadiag` requires a few 1 GB +pages. +* Is the required AFU loaded? See [DESCRIPTION](#description) for +information about what AFU the test requires. +* Are `--begin` and `--end` values set properly? `--end` must be larger +than the `--begin`. Also, `--begin` must be a multiple of the +`--multi-cl` value. +* The `--warm-fpga-cache` and `--cool-fpga-cache` options in the `read` +and `write` tests are mutually exclusive. +* The timeout options are only meaningful for the continuous mode +(with the `--cont` option). + +## Revision History ## + +| Date | Intel Acceleration Stack Version | Changes Made | +|:------|----------------------------|:--------------| +|2018.05.21| DCP 1.1 Beta (works with Quartus Prime Pro 17.1.1) | fpgadiag now reports the correct values for bandwidth. | diff --git a/doc/src/fpga_tools/fpgaflash/fpgaflash.md b/doc/src/fpga_tools/fpgaflash/fpgaflash.md new file mode 100644 index 0000000..a5ef101 --- /dev/null +++ b/doc/src/fpga_tools/fpgaflash/fpgaflash.md @@ -0,0 +1,52 @@ +# fpgaflash # + +## SYNOPSIS ## +```console +fpgaflash [-h] {user,factory} file [bdf] +``` + +## DESCRIPTION ## +fpgaflash updates the static FIM image loaded from flash at power-on. + +If there are multiple devices in the system, fpgaflash must specify a BDF to select the correct device. If no BDF is specified, fpgaflash prints out the BDFs of any compatible devices. + +## POSITIONAL ARGUMENTS ## +`{user, factory}` + + Specifies the type of flash programming. + + `user` + + Only reprograms the user image in flash. + + `factory` + + Reprograms the entire flash. A catastrophic failure during a factory update such as a power outage + requires a USB cable and `quartus_pgm` to recover. + +`file` + +Specifies the Raw Programming Data File (rpd) to program into flash. + +`bdf` + +Specifies the bus, device and function (BDF) of device to program such as 04:00.0 or 0000:04:00.0. This flag +is optional when there is a single device in the system. + + +## OPTIONAL ARGUMENTS ## +`-h, --help` + + Print usage information. + +## EXAMPLE ## + +`fpgaflash user new_image.rpd 0000:04:00.0` + +Programs new_image.rpd to flash of device with BDF 0000:04:00.0. + + ## Revision History ## + + | Document Version | Intel Acceleration Stack Version | Changes | + | ---------------- |------------------------------------|----------| + |2018.05.21 | 1.1 Beta.
(Supported with Intel Quartus Prime Pro Edition 17.1.1.) | No changes from previous release. | diff --git a/doc/src/fpga_tools/fpgaflash/superrsu.md b/doc/src/fpga_tools/fpgaflash/superrsu.md new file mode 100644 index 0000000..23a113c --- /dev/null +++ b/doc/src/fpga_tools/fpgaflash/superrsu.md @@ -0,0 +1,362 @@ +# super-rsu # + +## SYNOPSIS ## +```console +super-rsu [-h] [-n] [--verify] | [ [--log-level {trace,debug,error,warn,info,notset}] + [--log-file ] [--rsu-only] [--with-rsu] [--force-flash] ] + rsu_config +``` + + +## DESCRIPTION ## +super-rsu is a tool that can be used for flashing image files and commanding an +Intel PAC device to perform RSU (remote system update - or a board reboot). +Performing an RSU on an Intel PAC device will cause it to reload any firmware +or programmable logic and restart its execution, a requirement for any updated +firmware or programmable logic to take effect. + +At the core of super-rsu is its configuration file (referred to in this +document as 'rsu_config') which is essentially a manifest file for +identifying both the target device and the binary images (and their versions) +to be flashed. + +At a high level, the flow of super-rsu should be: +1. Read and parse rsu_config file +2. Use product identifiers (like vendor, device and any additional vendor, device + pairs that may be present in the PCIe bus) to locate all compatible + devices on the PCIe bus. +3. For every device found on the system, update the device using the flash + images defined in the "flash" section in the rsu_config data (or nvmupdate + section). + Each item in the "flash" section is a "flash spec" that contains: + * The flash type ("user", "bmc_fw", "bmc_img", ...) + * The filename of the image to flash. super-rsu will look for this file + first in the same directory of the rsu_config file, and then look in the + current working directory. + * The version of the image. + * An optional "force" indicator + * An optional "requires" indicator + The "nvmupdate" section is used to describe an Ethernet firmware file and + its version. +4. Using the data in the "nvmupdate" and "flash" sections, the update routine + involves: + * If an "nvmupdate" section is present: + 1. Locate the file on the file system to use to flash the Ethernet device. + 2. Call nvmupdate to get an "inventory" of devices matching the vendor and + device id in this section. + 3. Use this data to dynamically generate an nvmupdate compatible + configuration file. + 4. Call nvmupdate with the generated configuration file to flash the + Ethernet interfaces in the Vista Creek card (if version reported by + system does not match the version in this section). + * For each spec in the "flash" section: + 1. Locate the file on the file system to use to flash. + 2. Compare the version listed in the "flash spec" to version reported by + the target component. + 3. Create a task to call fpgaflash if either of the following conditions is + met (and the revision specified is compatible): + * The "force" indicator is present and set to true. + * The version in the spec does not match the version reported by the + system OR the flash type is factory type. + * For each task created from the "flash" section: + 1. Call fpgaflash with the command line arguments that correspond to the + flash type and the file name in the spec used to create the task. + This opens and controls the execution of fpgaflash in another process. + +_NOTE_: If the system reports a revision for one of the components +being flashed, this revision must be in the set of revisions listed +in the manifest. +Example: if the system reports 'a' for bmc_img and the manifest includes +'ab', then the image will be flashed. + +_NOTE_: Each update routine is run in a thread specific to a device located +on the PCIe bus. Every task in an update routine involves opening a new process +that is controlled and managed by its update routine thread. +If a task includes a timeout and the timeout is reached, a termination request +will be sent to its process and it will be counted as a failure. If a global +timeout is reached in the main thread, a termination request will be sent to each +thread performing the update. Consequently, the update routine will give the +current task extra time before terminating the process. +The RSU operation will only be performed if requested with either `--with-rsu` +command line argument or with the `--rsu-only` command line argument. +The former will perform the RSU command upon successful completion of flash +operations. The latter will skip the process of version matching and flashing +images and will only perform the RSU command. It is recommended that super-rsu +be executed again if any flash operation is interrupted. + +## POSITIONAL ARGUMENTS ## +`rsu config` + +Specifies the name of the file containing the RSU configuration (in JSON +format) + + +## OPTIONAL ARGUMENTS ## +`-h, --help` + + Print usage information. + +`--verify` + + Compare versions of flashable components on the system against the manifest. + Return non-zero exit if compatible components are not up to date. + +`-n, --dry-run` + + Don't perform any updates, just a dry run. + This will print out commands that can be executed + in a Linux shell. + +`--log-level {trace,debug,error,warn,info,notset}` + + Log level to use. Default is 'info'. + +`--log-file (default: /tmp/super-rsu.log) + + Emit log messages (with DEBUG level) to filename + _NOTE_: The default log file (/tmp/super-rsu.log) is set to rollover every + time super-rsu is executed. This will create numbered backups before + truncating the log file. The maximum number of backups is 50. + +`--rsu-only` + + Only perform the RSU command. + +`--with-rsu` + + Perform RSU after updating flash components(experimental) + +`--force-flash` + + Flash all images regardless of versions matching or not. + + +## CONFIGURATION ## +The following is the JSON schema expected by super-rsu. Any deviance from +this schema may result in errors executing super-rsu. + +```JSON +{ + "definitions": {}, + "$schema": "http://json-schema.org/draft-07/schema#", + "$id": "http://example.com/root.json", + "type": "object", + "title": "The Root Schema", + "required": [ + "product", + "vendor", + "device", + "flash" + ], + "optional": [ + "nvmupdate", + ], + "properties": { + "product": { + "$id": "#/properties/product", + "type": "string", + "title": "The Product Schema", + "default": "", + "examples": [ + "n3000" + ], + "pattern": "^(.*)$" + }, + "vendor": { + "$id": "#/properties/vendor", + "type": "string", + "title": "The Vendor Schema", + "default": "", + "examples": [ + "0x8086" + ], + "pattern": "^((0x)?[A-Fa-f0-9]{4})$" + }, + "device": { + "$id": "#/properties/device", + "type": "string", + "title": "The Device Schema", + "default": "", + "examples": [ + "0x0b30" + ], + "pattern": "^((0x)?[A-Fa-f0-9]{4})$" + }, + "nvmupdate": { + "$id": "#/properties/nvmupdate", + "type": "object", + "title": "The nvmupdate Schema", + "required": [ + "vendor", + "device", + "filename", + "version" + ], + "optional": [ + "interfaces" + ], + "properties": { + "vendor": { + "$id": "#/properties/nvmupdate/vendor", + "type": "string", + "title": "The nvmupdate Vendor Schema", + "default": "", + "examples": [ + "0x8086" + ], + "pattern": "^((0x)?[A-Fa-f0-9]{4})$" + }, + "device": { + "$id": "#/properties/nvmupdate/device", + "type": "string", + "title": "The nvmupdate Device Schema", + "default": "", + "examples": [ + "0x0d58" + ], + "pattern": "^((0x)?[A-Fa-f0-9]{4})$" + }, + "interfaces": { + "$id": "#/properties/nvmupdate/interfaces", + "type": "number", + "title": "The nvmupdate Interfaces Schema", + "default": "1", + "examples": [ + 2, 4 + ] + }, + "filename": { + "$id": "#/properties/nvmupdate/filename", + "type": "string", + "title": "The nvmupdate Filename Schema", + "default": "", + "examples": [ + "PSG_XL710_6p80_XLAUI_NCSI_CFGID2p61_Dual_DID_0D58_800049C6.bin" + ], + "pattern": "^(.*)$" + }, + "version": { + "$id": "#/properties/nvmupdate/version", + "type": "string", + "title": "The nvmupdate Version Schema", + "default": "", + "examples": [ + "800049C6" + ], + "pattern": "^((0x)?[A-Fa-f0-9]{8})$" + }, + "timeout": { + "$id": "#/properties/nvmupdate/timeout", + "type": "string", + "title": "The Timeout Schema", + "default": "", + "examples": [ + "10m" + ], + "pattern": "^([0-9]+(\\.[0-9]+)?([dhms]))+$" + } + } + }, + "flash": { + "$id": "#/properties/flash", + "type": "array", + "title": "The Flash Schema", + "items": { + "$id": "#/properties/flash/items", + "type": "object", + "title": "The Items Schema", + "required": [ + "filename", + "type", + "version", + "revision" + ], + "optional": [ + "enabled", + "force", + "timeout", + "requires" + ], + "properties": { + "enabled": { + "$id": "#/properties/flash/items/properties/enabled", + "type": "boolean", + "title": "The Enabled Schema", + "default": "true" + }, + "filename": { + "$id": "#/properties/flash/items/properties/filename", + "type": "string", + "title": "The Filename Schema", + "default": "", + "examples": [ + "vista_creek_qspi_xip_v1.0.6.ihex" + ], + "pattern": "^(.*)$" + }, + "type": { + "$id": "#/properties/flash/items/properties/type", + "type": "string", + "title": "The Type Schema", + "default": "", + "examples": [ + "bmc_fw" + ], + "enum": ["user", "bmc_fw", "bmc_img", "dtb", "factory_only", + "phy_eeprom"] + }, + "version": { + "$id": "#/properties/flash/items/properties/version", + "type": "string", + "title": "The Version Schema", + "default": "", + "examples": [ + "1.0.6" + ], + "pattern": "^\\d+\\.\\d+\\.\\d+$" + }, + "force": { + "$id": "#/properties/flash/items/properties/force", + "type": "boolean", + "title": "The Force Schema", + "default": false, + "examples": [ + true + ] + }, + "revision": { + "$id": "#/properties/flash/items/properties/revision", + "type": "string", + "title": "The Revision Schema", + "default": "", + "examples": [ + "C" + ], + "pattern": "^([A-Za-z])$" + }, + "timeout": { + "$id": "#/properties/nvmupdate/timeout", + "type": "string", + "title": "The Timeout Schema", + "default": "", + "examples": [ + "10m" + ], + "pattern": "^([0-9]+(\.[0-9]+)?([dhms]))+$" + }, + "requires": { + "$id": "#/properties/flash/items/properties/requires", + "type": "string", + "title": "The Requires Schema", + "default": "", + "examples": [ + "bmc_img >= 1.0.12" + ], + "pattern": "^(([a-z_]+) ((<>!=)?=) ([0-9a-z\\.]+)$" + } + } + } + } + } +} +``` diff --git a/doc/src/fpga_tools/fpgainfo/fpgainfo.md b/doc/src/fpga_tools/fpgainfo/fpgainfo.md new file mode 100644 index 0000000..369d3a8 --- /dev/null +++ b/doc/src/fpga_tools/fpgainfo/fpgainfo.md @@ -0,0 +1,140 @@ +# fpgainfo # + +## SYNOPSIS ## +```console +fpgainfo [-h | --help] [-v | --version] [] [] +``` + + +## DESCRIPTION ## +fpgainfo displays FPGA information derived from sysfs files. The command argument is one of the following: +`errors`, `power`, `temp`, `port`, `fme`, `bmc`, `phy` or `mac`. +Some commands may also have other arguments or options that control their behavior. + +For systems with multiple FPGA devices, you can specify the BDF to limit the output to the FPGA resource +with the corresponding PCIe configuration. If not specified, information displays for all resources for +the given command. + +### FPGAINFO COMMANDS ## +`errors` + +Show/clear errors of an FPGA resource that the first argument specifies. +`fpgainfo` displays information in human readable form. + +`power` + +Show total the power in watts that the FPGA hardware consumes. + +`temp` + + Show FPGA temperature values in degrees Celcius. + +`port` + +Show information about the port such as the AFU ID of currently loaded AFU. + +`fme` + +Show information about the FPGA platform including the partial reconfiguration (PR) Interface ID, the OPAE version, +and the FPGA Interface Manager (FIM) ID. + +`bmc` + +Show all Board Management Controller sensor values for the FPGA resource, if available. + +`phy` + +Show information about the PHY integrated in the FPGA, if available. + +`mac` + +Show information about the MAC address in ROM attached to the FPGA, if available. + +## OPTIONAL ARGUMENTS ## +`--help, -h` + +Prints help information and exit. + +`--version, -v` + +Prints version information and exit. + +## COMMON ARGUMENTS ## +The following arguments are common to all commands and are optional. + +`-B, --bus` + +PCIe bus number of resource. + +`-D, --device` + +PCIe device number of resource. + +`-F, --function` + +PCIe function number of resource. + +`--json` + +Display information as JSON string. + +### ERRORS ARGUMENTS ### +The first argument to the `errors` command specifies the resource type. It must be one of the following: + `fme`,`port`,`all` + +`fme` + + Show/clear FME errors. + +`port` + + Show/clear PORT errors. + +`all` + +Show/clear errors for all resources. + +The optional `` arguments are: + +`--clear, -c` + +Clear errors for the given FPGA resource. + + +### PHY ARGUMENTS ### +The optional `` argument is: + +`--group, -G` + +Select which PHY group(s) information to show. + + +## EXAMPLES ## +This command shows the current power telemetry: +```console +./fpgainfo power +``` + +This command shows the current temperature readings: +```console +./fpgainfo temp +``` + +This command shows FME resource errors: +```console +./fpgainfo errors fme +``` +This command clears all errors on all resources: +```console +./fpgainfo errors all -c +``` +This command shows information of the FME on bus 0x5e +```console +./fpgainfo fme -B 0x5e +``` + +## Revision History ## + + | Document Version | Intel Acceleration Stack Version | Changes | + | ---------------- |------------------------------------|----------| + | 2018.05.21 | 1.1 Beta.
(Supported with Intel Quartus Prime Pro Edition 17.1.) | Updated description of the `fme` command | diff --git a/doc/src/fpga_tools/fpgamux/fpgamux.md b/doc/src/fpga_tools/fpgamux/fpgamux.md new file mode 100644 index 0000000..772550a --- /dev/null +++ b/doc/src/fpga_tools/fpgamux/fpgamux.md @@ -0,0 +1,126 @@ +# fpgamux # + +## SYNOPSIS ## +```console +fpgamux [-h] [-S|--socket-id SOCKET_ID] [-B|--bus-number BUS] [-D|--device DEVICE] [-F|--function FUNCTION] + [-G|--guid GUID] -m|--muxfile +``` + +## DESCRIPTION ## +```fpgamux``` tests multiple AFUs that are synthesized into a single AFU along with +the CCIP-MUX basic building block (BBB). The CCIP-MUX uses the upper bits in the MMIO addresses to route MMIO +reads and writes to the AFU running on the corresponding CCIP-MUX port. ```fpgamux``` uses a configuration file that +lists the software components and correct configuration. ```fpgamux``` only runs on the Integrated FPGA Platform. +You cannot run it on the PCIe accelerator card (PAC). + +.. note:: + +``` + The OPAE driver discovers only the first AFU. The first software component in the configuration + determines the GUID to use for enumeration. Use the -G|--guid option to override the GUID + for the first software component. +``` + + +## OPTIONS ## +`-S SOCKET_ID, --socket-id SOCKET_ID` + + socket id of FPGA resource. + +`-B BUS, --bus BUS` + + bus id of FPGA resource. + +`-D DEVICE, --device DEVICE` + + The device id of FPGA resource. + +`-F FUNCTION, --function FUNCTION` + + The function id of FPGA resource. + +`-G, --guid` + + Specifies the GUID to use for the resource enumeration. + +`-m, --muxfile ` + +The path to the ```fpgamux``` configuration file. This file must be in JSON format following the +schema described below. + +## CONFIGURATION ## +```fpgamux``` uses a configuration file (in JSON format) to determine what software components to instantiate and +how to configure them to work with the AFUs. The schema includes the following elements: + +``` + [ + { + "app" : "fpga_app", + "name" : "String", + "config" : "Object" + } + ] +``` + +## EXAMPLES ## +The following example shows a configuration with two components: +``` + [ + { + "app" : "nlb0", + "name" : "nlb0", + "config" : + { + "begin" : 1, + "end" : 1, + "multi-cl" : 1, + "cont" : false, + "cache-policy" : "wrline-M", + "cache-hint" : "rdline-I", + "read-vc" : "vh0", + "write-vc" : "vh1", + "wrfence-vc" : "write-vc", + "timeout-usec" : 0, + "timeout-msec" : 0, + "timeout-sec" : 1, + "timeout-min" : 0, + "timeout-hour" : 0, + "freq" : 400000000 + } + }, + { + "app" : "nlb3", + "name" : "nlb3", + "config" : + { + "mode" : "read", + "begin" : 1, + "end" : 1, + "multi-cl" : 1, + "strided-access" : 1, + "cont" : false, + "warm-fpga-cache" : false, + "cool-fpga-cache" : false, + "cool-cpu-cache" : false, + "cache-policy" : "wrline-M", + "cache-hint" : "rdline-I", + "read-vc" : "vh0", + "write-vc" : "vh1", + "wrfence-vc" : "write-vc", + "alt-wr-pattern" : false, + "timeout-usec" : 0, + "timeout-msec" : 0, + "timeout-sec" : 1, + "timeout-min" : 0, + "timeout-hour" : 0, + "freq" : 400000000 + } + } + ] +``` + +## Revision History ## + + | Document Version | Intel Acceleration Stack Version | Changes | + | ---------------- |------------------------------------|----------| + | 2018.05.21 | 1.1 Beta.
(Supported with Intel Quartus Prime Pro Edition 17.1.) | No changes from previous release. | diff --git a/doc/src/fpga_tools/fpgaport/fpgaport.md b/doc/src/fpga_tools/fpgaport/fpgaport.md new file mode 100644 index 0000000..756895d --- /dev/null +++ b/doc/src/fpga_tools/fpgaport/fpgaport.md @@ -0,0 +1,46 @@ +# fpgaport # + +## SYNOPSIS ## +```console +fpgaport [-h] {assign,release} device port +``` + +## DESCRIPTION ## +The ```fpgaport``` enables and disables virtualization. It assigns +and releases control of the port to the virtual function (VF). By default, the driver +assigns the port to the physical function (PF) in the non-virtualization use case. + + +## POSITIONAL ARGUMENTS ## +`{assign, release}` + + Action to perform. + +`device` + +The FPGA device being targeted with this action. + +`port` + +The number of the port. + +## OPTIONAL ARGUMENTS ## +`-h, --help` + +Print usage information. + +## EXAMPLE ## + +`fpgaport release /dev/intel-fpga-fme.0 0` + +Release port 0 from physical function control. + +`fpgaport assign /dev/intel-fpga-fme.0 0` + +Assign port 0 to physical function control. + +## Revision History ## + + | Document Version | Intel Acceleration Stack Version | Changes | + | ---------------- |------------------------------------|----------| + | 2018.05.21 | 1.1 Beta.
(Supported with Intel Quartus Prime Pro Edition 17.1.) | No changes from previous release. | diff --git a/doc/src/fpga_tools/hssi_config/readme.md b/doc/src/fpga_tools/hssi_config/readme.md new file mode 100644 index 0000000..0b8f1e7 --- /dev/null +++ b/doc/src/fpga_tools/hssi_config/readme.md @@ -0,0 +1,242 @@ +# hssi_config # + +## Synopsis ## +```hssi_config``` reads or writes HSSI registers on either on an Intel® FPGA using the +FPGA Interface Manager (FIM) or on an HSSI retimer card attached to the board. +```hssi_config``` is only available for the Integrated FPGA Platform. You cannot run it +on the PCIe accelerator card (PAC). + +## Usage ## +`hssi_config [--resource|-r ] [--socket-id|s 0|1] command [command options]` + +Where command is one of the following: + +```sh + dump [outfile.csv] [--input-file inputfile.csv] + iread instance (0,1) device-addr byte-address byte-count + iwrite instance (0,1) device-addr byte-address byte1 [byte2 [byte3...]] + load [inputfile.csv] [--c-header] + read lane(0-15) reg-address + rread device(0x30, 0x32, 0x34, 0x36) channel(0-3) address + rwrite device(0x30, 0x32, 0x34, 0x36) channel(0-3) address value + test (rd|rw) inputfile.csv [--acktimes] [--repeat N] + write lane(0-15) reg-address value +``` + +The first argument is the command and any additional arguments are command arguments. +The following options and commands are available: + +### Options ### + +`[--resource|-r ` + +The resource path in the sysfs pseudo-filesystem. +Example: + `/sys/devices/pci0000\:5e/0000\:5e\:00.0/resource0` + +`[--socket-id 0|1]` + +The socket id of the target FPGA. +Required on two-socket systems to differentiate between the two possible target FPGAs. + +### Commands ### +`dump [outfile.csv] [--input-file inputfile.csv]` + +Dump registers to stdout or to a file, if provided. ```hssi_config``` has a built-in set of registers to +dump. The first argument is the path to a file to write. The command dumps to stdout if you do not +specify a file name. Use the --input-file option to specify a different set of registers. + +`load [inputfile.csv] [--c-header]` + +Load a set of register values from either stdin or an input file, if provided. The first argument +is the path to a file containing the registers to load. Loads from stdin if omitted. + +Use --c-header to generate a C header file with an array of 64-bit numbers to write to the +```HSSI_CTRL``` register. This header file can substitute for the input file. +NOTE: You must perform the acknowledge routine after each write. + +`read lane(0-15) reg-address` + +Read from a single XCVR (transceiver) register. +The first command argument is the XCVR lane. Use -1 to specify a read from all lanes. +The second argument is the XCVR address (offset). + +`write lane(0-15) reg-address value` + +Write to a single XCVR register. +The first argument is the XCVR lane. +The second argument is the XCVR address(offset). +The third argument is the value to write to the register. + +`rread device(0x30, 0x32, 0x34, 0x36) channel(0-3) address` + +Read from a single retimer register. +The first argument is the I2C device address. +The second argument is the channel. +The third argument is the register address (or I2C byte address). + +`rwrite device(0x30, 0x32, 0x34, 0x36) channel(0-3) address value` + +Write to a single retimer register. +The first argument is the I2C device address. +The second argument is the channel. +The third argument is the register address (or I2C byte address). +The fourth argument is the value to write. + +`iread instance (0,1) device-addr byte-address byte-count` + +Read from a device on the I2C bus. +The first argument is the I2C controller instance (0 or 1). +The second argument is the device address to read from. +The third argument is the byte address of the register to read from the device. +The fourth argument is the number of bytes to read. + +`iwrite instance (0,1) device-addr byte-address byte1 [byte2 [byte3...]]` + +Write to a device on the I2C bus. +The first argument is the I2C controller instance (0 or 1). +The second argument is the device address to read from. +The third argument is the byte address of the register to read from the device. +All subsequent arguments are the bytes to write to the device. + +`test (rd|rw) inputfile.csv [--acktimes]` + +Perform built-in test for reading or writing XCVR registers. +The first argument is the path to a file containing the registers to test. + +## Overview ## + +The ```hssi_config``` utility reads or writes hssi equalization parameters stored in either +the transceiver (XCVR) registers or the registers of the retimer on the I2C bus. To access registers, +the hssi controller writes to the ```HSSI_CTRL``` register and reads from the ```HSSI_STAT``` +register in the FPGA Management Engine (FME). These two registers implement the HSSI AUX bus +mailbox protocol to access devices on other buses. Because ```hssi_config``` maps the FME MMIO +space directly, the FPGA driver is not required. + +## Locating the FME Device ## + +The FME reads and writes the ```HSSI_CTRL``` and ```HSSI_STAT``` registers on the NIOS device. +The FME maps the MMIO address space of the FME identified by its resource in the sysfs psuedo-filesystem +in Linux operating systems. To identify resource paths, use the ```lspci``` utility to query for Intel +devices with device id of bcc0. + +Example: + +`lspci -d 8086:bcc0` + +This command should print out at least one line like the following example: + +`5e:00.0 Processing accelerators: Intel Corporation Device bcc0` + +Use the first three numbers (bus:device.function) to locate the device resource +in the sysfs filesystem: + +`/sys/devices/pci0000\:/0000\:\:./resource0` + +For example, the example above with bus of 5e, device of 00 and function of 0 would +use a resource path as follows: + +`/sys/devices/pci0000\:5e/0000\:5e\:00.0/resource0` + + +## CSV File Format ## + +Any CSV file parsed by ```hssi_config``` must meet have at least four columns. The following table provides +the column specifications: + + +| Column | Name | Description | +|:------:|-----------------------------|----------------------------------------------------------------------------------------------| +| 1 | Register type. | Can be either ```FPGA_RX```, ```FPGA_TX```, ```RTMR_RX```, ```RTMR_TX``` (or their corresponding numeric values, 1-4).| +| 2 | Lane or Channel | 0-15 for XCVR lanes on FPGA, 0-3 for retimer channels. -1 to designate all lanes or channels.| +| 3 | Device address (on I2C bus) | Only applies to retimer registers. 0 - 3, -1 to designate all devices. | +| 4 | Register address (or offset)| Examples: 0x213, 0x100. | +| 5 | Register value to write | Examples: 0x1, 1, 0. Applies only when loading or writing registers. | + + + +## Examples of Commands ## + +### Dumping Registers ### + +Dump default register set to stdout: + +`>hssi_config dump` + +Dump default registers to a file, data.csv: + +`>hssi_config dump data.csv` + +Dump to an output file, data.csv, Registers specified in an input file, regspec.csv: + +`>hssi_config dump data.csv --input-file regspec.csv` + +#### Reading Single Registers #### + +Read register from XCVR at 0x2e1 on lane 0: + +`>hssi_config read 0 0x2e1` + +Read register 0x109 from retimer on channel 0, device 0x30, channel 1: + +`>hssi_config rread 0 0x30 0x109` + +### Loading Registers ### + +Load registers specified in an input file called data.csv: + +`>hssi_config load data.csv` + +Load registers specified from stdin: + +`>hssi_config load` + +``` +FPGA_RX,1,-1,0x213,0 +FPGA_RX,2,-1,0x213,0 +FPGA_RX,3,-1,0x213,0 + +``` + +#### Writing Single Registers #### + +Write 1 to XCVR register at 0x2e1 on lane 0: + +`>hssi_config write 0 0x2e1 1` + +Read register 0x109 from retimer on channel 0, device 0x30, channel 1: + +`>hssi_config rread 0 0x30 0x109` + +## Testing HSSI Read and Write ## + + +`> test (rd|rw) register-file.csv [--acktimes]` + +`rd|wr` + +Specifies either a `rd` or `wr` of transceiver registers. For writes, +every register in the file is read from and written to in the following sequence: + + 1. Read the register, save the value + 2. Write 1 to the register + 3. Read the register, verify that the register value is 1 + 4. Write 0 to the register + 5. Read the register, verify that the register value is 0 + 6. Write the original value to the register + 7. Read the register, assert it is the original value + +`register=file.csv` + +Specifies the path to a file containing the set of registers to test. + +`--acktimes ` + +Specifies the time spent in the `ack` routine. When measured, a summary of ack times prints +to stdout. This argument is optional. + +## Revision History ## + + | Document Version | Intel Acceleration Stack Version | Changes | + | ---------------- |------------------------------------|----------| + | 2018.05.21 | 1.1 Beta.
(Supported with Intel Quartus Prime Pro Edition 17.1.) | Corrected typos. | diff --git a/doc/src/fpga_tools/hssi_loopback/readme.md b/doc/src/fpga_tools/hssi_loopback/readme.md new file mode 100644 index 0000000..a63b076 --- /dev/null +++ b/doc/src/fpga_tools/hssi_loopback/readme.md @@ -0,0 +1,126 @@ +# hssi_loopback # + +## NAME ## +_hssi_loopback_ - Software utility to run HSSI loopback tests on FPGA + + +## SYNOPSIS ## +`hssi_loopback [[--bus|-b ] [--device | -d ] [--function | -f ]]|[--socket-id ] + [--mode|-m auto|e40|e10] + [send [ [] [--packet-count|-c ] [--packet-delay|-d ] [--packet-length|-l ]] |status [clear] | stop | readmacs` + +## DESCRIPTION ## + +The ```hssi_loopback``` utility works in conjunction with a packet generator accelerator function unit (AFU) +to test high-speed serial interface (HSSI) cards. The ```hssi_loopback``` utility tests both external and internal loopbacks. +```hssi_loopback``` runs an external loopback test when the command line arguments include both source and destination ports. +```hssi_loopback``` runs an internal loopback test when command line arguments include a single port. ```hssi_loopback``` +only runs on the Intel Xeon with Arria 10 FPGA. You cannot run it on the Intel PAC (programmable accelerator card). + + +_NOTE_: The following limitations apply to the current version of hssi_loopback: + +* For the external loopback the two port arguments can be the same. For the e10 design, the ports should be the same. +* The ```hssi_loopback``` test supports only the e40 and e10 E2E AFUs. The e10 E2E AFU tests HSSI with a retimer card. +* The ```hssi_loopback``` test uses the control and status registers (CSRs) defined in the AFU. + +## OPTIONS ## +`-S SOCKET_ID, --socket-id SOCKET_ID` + + Socket ID FPGA resource. + +`-B BUS, --bus BUS` + +Bus ID of FPGA resource. + +`-D DEVICE, --device DEVICE` + +Device ID of FPGA resource. + +`-F FUNCTION, --function FUNCTION` + +Function ID of FPGA resource. + +`-G, --guid` + +Specifies guid for the resource enumeration. + +`-m, --mode` + +One of the following: [`auto`, `e40`, `e10`] +`auto` is the default and indicates that the software runs the mode based on the first accelerator functional +unit it identifies. + +`-t, --timeout` + +Timeout (in seconds) before the application terminates in continuous mode. Continuous mode is the default +when you do not specify the number of packets. + +`-y, --delay` + +Delay (in seconds) between printing out a simple status line. Default is 0.100 seconds (100 milliseconds). + +`-c, --packet-count` + +The number of packets to send. + +`-d, --packet-delay` + +The delay in between packets. This delay is the number of 100 MHz clock cycles, roughly 10 nanoseconds. + +`-s, --packet-size` + +The packet size to send. The minimum is 46 bytes and the maximum is 1500 bytes. The default is 46 bytes. + +## COMMANDS ## +`send [] [--packet-count|-c ] [--packet-delay|-d ] [--packet-length|-l ]` + +Send packets from one port to the other. If the command line does not specify a destination port, the test runs an internal +loopback. Otherwise, the test runs an external loopback from the source port to the destination port. + +`status [clear]` + +Read and interpret the status registers and print to the screen. `clear` clears the status registers. + +`stop` + +Issue a stop command to all Ethernet controllers in the AFU. + +`readmacs` + +Read and display the port MAC addresses. An EEPROM stores the MAC addresses. + +## EXIT CODES ## + +0 Success - Number of packets received are equal to the number of packets sent and no errors + are reported. + +-1 Loopback failure - Either number of packets does not match or the test detected errors. + +-2 Errors parsing arguments. + +## EXAMPLES ## + +Read the MAC addresses of the AFU loaded on bus 0x5e: + +```sh +>sudo hssi_loopback readmacs -B 0x5e +``` + +Run an external loopback, sending 100 packets from port 0 to port 1. The AFU is on bus 0x5e: + +```sh +>sudo hssi_loopback -B 0x5e send 0 1 -c 100 +``` + +Run an internal loopback until a timeout of 5 seconds is reached. The AFU is on bus 0x5e: + +```sh +>sudo hssi_loopback -B 0x5e send 0 -t 5 + +``` +## Revision History ## + + | Document Version | Intel Acceleration Stack Version | Changes | + | ---------------- |------------------------------------|----------| + | 2018.05.21 | 1.1 Beta.
(Supported with Intel Quartus Prime Pro Edition 17.1.) | Corrected typos. | diff --git a/doc/src/fpga_tools/mmlink/mmlink.md b/doc/src/fpga_tools/mmlink/mmlink.md new file mode 100644 index 0000000..398f3ba --- /dev/null +++ b/doc/src/fpga_tools/mmlink/mmlink.md @@ -0,0 +1,84 @@ +# mmlink # + +## Synopsis ## + +`mmlink [-v] [-B ] [-D ] [-F ] [-S ] [-P ] [-I ]` + + +## Description ## +The Remote Signal Tap logic analyzer provides real-time hardware debugging for the Accelerator Function Unit (AFU). +It provides a signal trace capability that the Quartus Prime software adds to the AFU. The Remote Signal Tap logic +analyzer provides access to the Remote Signal Tap part of the Port MMIO space and then runs the remote protocol. + +## Examples ## + +`./mmlink -B 0x5e -P 3333` + + MMLink app starts and listens for connection. + +## Options ## + +`-v,--version` + +Prints version information and exits. + +`-B,--bus` + +FPGA Bus number. + +`-D,--device` + +FPGA Device number. + +`-F,--function` + +FPGA function number. + +`-S,--socket` + +FPGA socket number. + +`-P,--port` + +TCP port number. + +`-I,--ip ` + +IP address of FPGA system. + + +## Notes ## + +Driver privilege: + +Change AFU driver privilege to user: + +``` +$ chmod 777 /dev/intel-fpga-port.0 +``` + + +Change locked memory size: + +edit the file /etc/security/limits.conf + +``` +$ sudo vi /etc/security/limits.conf + +user hard memlock 10000 + +user soft memlock 10000 +``` + +Exit terminal and log into a new terminal. + +Verify that the locked memory is now set: +``` +$ ulimit -l 10000 + +## Revision History ## + + | Document Version | Intel Acceleration Stack Version | Changes | + | ---------------- |------------------------------------|----------| + | 2018.05.21 | 1.1 Beta.
(Supported with Intel Quartus Prime Pro Edition 17.1.) | No changes from previous release. | + diff --git a/doc/src/fpga_tools/pac_hssi_config/dcp_hssi_arch.PNG b/doc/src/fpga_tools/pac_hssi_config/dcp_hssi_arch.PNG new file mode 100644 index 0000000..fbc22fd Binary files /dev/null and b/doc/src/fpga_tools/pac_hssi_config/dcp_hssi_arch.PNG differ diff --git a/doc/src/fpga_tools/pac_hssi_config/pac_hssi_config.md b/doc/src/fpga_tools/pac_hssi_config/pac_hssi_config.md new file mode 100644 index 0000000..e16680f --- /dev/null +++ b/doc/src/fpga_tools/pac_hssi_config/pac_hssi_config.md @@ -0,0 +1,82 @@ +```eval_rst +# pac_hssi_config # + +## SYNOPSIS ## +```console +pac_hssi_config.py [-h] subcommand [subarg] [bdf] +``` + +## DESCRIPTION ## +The `pac_hssi_config.py` tool exercises the Ethernet 10 Gbps (10GbE) and 40GbE transceivers for designs using the +Intel® Programmable Acceleration Card (PAC) with Intel Arria® 10 GX FPGA. This tool does not support the +Intel Xeon® Processor with Integrated FPGA. + +![PAC Ethernet Block Diagram](./dcp_hssi_arch.PNG "PAC Ethernet Block Diagram") + +The two required arguments to the `pac_hssi_config.py` tool specify the subcommand and bus, device, and function (BDF) +for the PCIe device under test. You must provide the BDF parameter for systems with more than one PCIe card. + +```eval_rst +.. note:: + If you do not provide the BDF when required, the command prints a list of valid BDFs for the system. You can also + determine the BDF using the ``lspci`` command. +``` + + +For usage help, type the following at a command prompt: + +```pac_hssi_config.py [-h|--help]``` + +To configure the network ports, send data, and read statistics, use the following form of the `pac_hssi_config.py` script: + +```pac_hssi_config.py subcommand [subarg] [bdf]``` + +Only a subset of subcommand arguments support `subarg`. + +### Table 1. General Subcommands ### +| Subcommand | Subarg | Description | +|------------|:--------:| ------------| +| `stat` | N/A | Prints high speed serial interface (HSSI) controller statistics. | +| `eeprom` | N/A | Reads the 128-bit unique board ID, MAC address, and board-specific IDs from EEPROM. | + +### Table 2. 10/40 GbE Traffic Generation Subcommands ### +| Subcommand | Subarg | Description | +|------------|:--------:| ------------| +| `e10init` and `e40init` | N/A | Initializes HSSI PHY to 10GbE or 40GbE mode. Clears statistics and enable internal HSSI transceiver loopback. | +| `e10loop` and `e40loop` | On/Off | Turns on or off internal HSSI transceiver loopback. | +| `e10reset` and `e40reset` | On/Off | Asserts or deasserts AFU reset. Clears packet statistics and disables internal HSSI transceiver loopback. | +| `e10send` and `e40send` | N/A | Sends 1,000,000 1500-byte packets. For 10GbE sends packets on all four ports. 40GbE has a single port. | +| `e10stat` and `e40stat` | N/A | Prints packet statistics. | +| `e10statclr` and `e40statclr` | N/A | Clears packet statistics. Use this command after switching loopback modes to clear any transient statistics accumulated during the mode switch. | + +The transceiver equalization `eqwrite` and `eqread` subcommands write and read transceiver equalization settings. +These subcommands require you to specify the transceiver channel, the equalization setting, and the value (for writes). +Use the following form for the `eqwrite` command: + +```pac_hssi_config.py eqwrite [transceiver channel number] [equalization setting] [equalization value] [bdf]``` + +Use the following form for the `eqread`command: + +```pac_hssi_config.py eqread [transceiver channel number] [equalization setting] [bdf]``` + +### Table 3. Transceiver Equalization Subcommands ### +| Subcommand | Channel Number | Equalization Setting | Value | +|------------|:--------:| ------------|-------------- | +| `eqwrite` | 0-3 | 0 = Continuous time-linear equalization (CTLE)
1 = Variable gain amplifier (VGA)
2 = DCGAIN
3 = Pre-emphasis first post-tap
4 = Pre-emphasis second post-tap
5 = Pre-emphasis first pre-tap
6 = Pre-emphasis second pre-tap
7 = Differential output voltage (VOD) | Specifies the value for the specified equalization setting. | +| `eqread` | 0-3 | 0 = Continuous time-linear equalization (CTLE)
1 = Variable gain amplifier (VGA)
2 = DCGAIN
3 = Pre-emphasis first post-tap
4 = Pre-emphasis second post-tap
5 = Pre-emphasis first pre-tap
6 = Pre-emphasis second pre-tap
7 = Differential output voltage (VOD) | N/A | + +For more information about reconfiguring transceiver analog parameter settings In Arria® 10 devices, refer to "Changing PMA Analog +Parameters" in the +[Intel® Arria® 10 Transceiver PHY User Guide](https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/hb/arria-10/ug_arria10_xcvr_phy.pdf). + + +## Revision History ## + +| Date | Intel Acceleration Stack Version | Changes Made | +|:------|----------------------------|:--------------| +|2018.05.21| DCP 1.1 Beta (works with Quartus Prime Pro 17.1.1) | Added the following subcommands to the `pac_hssi_config.py` tool:
`eeprom`
`eqwrite`
`eqread`
Added links to related information.
Fixed typos. | +|2018.04.13| DCP 1.1 Alpha (works with Quartus Prime Pro 17.1.1) | Initial release. | + + + + diff --git a/doc/src/fpga_tools/pac_hssi_config/reg_output.PNG b/doc/src/fpga_tools/pac_hssi_config/reg_output.PNG new file mode 100644 index 0000000..52a6e4e Binary files /dev/null and b/doc/src/fpga_tools/pac_hssi_config/reg_output.PNG differ diff --git a/doc/src/fpga_tools/pac_hssi_config/reg_output_2cards.PNG b/doc/src/fpga_tools/pac_hssi_config/reg_output_2cards.PNG new file mode 100644 index 0000000..8eebe40 Binary files /dev/null and b/doc/src/fpga_tools/pac_hssi_config/reg_output_2cards.PNG differ diff --git a/doc/src/fpga_tools/packager/packager.md b/doc/src/fpga_tools/packager/packager.md new file mode 100644 index 0000000..6fd8eb0 --- /dev/null +++ b/doc/src/fpga_tools/packager/packager.md @@ -0,0 +1,108 @@ +# packager # + +## SYNOPSIS ## + +`packager [arguments]` + +## Description ## +The packager provides tools that Accelerator Functional Unit (AFU) developers use to create Accelerator Function (AF) +files. The AF file is the programming file for an AFU on Intel® FPGA platforms. The packager tool concatenates +the metadata from the JSON file to a raw binary file `(.rbf)` that the Intel Quartus® Prime software generates. + +The packager's only function is to create an AF file. Refer to [Packager Command Syntax](#packager-command-syntax) for more information +about invoking the packager. The packager depends on a JSON file to describe AFU metadata. Refer to +[Accelerator Description File](#accelerator-description-file) for more information about the JSON file. + +**The packager requires Python 2.7.1 and Python 2.7.3. The tool indicates if it is being called with a compatible +of Python.** + +## Packager Command Syntax ## + +The packager is a command line tool with the following syntax: + +`$ packager [arguments]` + +The following table describes the `` arguments: + +| Command | Arguments | Description | +|---------| ----------------| ------------| +| ```create-gbs``` | ```--rbf=```
```--afu=```
```--gbs=```
```--set-value=.```| Creates the AF file. The engineering name for this file is the green bit stream, abbreviated gbs. The `--rbf` and `--afu` arguments are required. `` is the path to the RBF file for the AFU. The Quartus® Prime software generates this RBF by compiling the AFU design. `` is the path to the Accelerator Description file. This is a JSON file that describes the metadata that `create-gbs` appends to the RBF. `` is the path to the RBF file for the FPGA Interface Manager (FIM) that contains the FPGA interface unit and other interfaces. If you do not specify the `--gbs`, the command defaults to `.gbs`. You can use the optional `--set-value=.` argument to set values for JSON metadata. To set more than one JSON value, list a series of `.` pairs.| +|```modify-gbs``` | ```--gbs=```| Modifies the AF file. The `--input-gbs`argument is required. If you do not provide the `--output-gbs` argument, `modify-gbs` overwrites the `--input-gbs` file. Use the `--set-value=.` argument to set values for JSON metadata. To set more than one JSON value, list a series of `.` pairs.| +|```gbs-info``` | ```--input-gbs=```| Prints information about the AF file. The `--input-gbs` argument is required.| +|```get-rbf``` | ```--gbs=```
```--rbf=```| Creates the RBF by extracting it from the AF file. The `--gbs`argument is required. If you do not specify the `--rbf` argument, the command defaults to `` | `--help` | Summarizes the `` options. Typing `packager --help` gives a list of `` values. Typing `packager --help` provides detailed help for `` | + + +## Examples ## + +To generate an AF file, run: + +`$ packager create-gbs --rbf= --afu= --gbs=` + +**TIP**: JSON files are very particular about syntax such as trailing commas. If you are getting errors, use `jsonlint.com` to +validate that your JSON is formatted correctly. + +To modify metadata in an existing AF, run the following command: + +`$ packager modify-gbs --input-gbs= --outputgbs= --set-value :` + +You can pass in a number of : pairs with --set-value to update values in an AF. + +To print the metadata of an existing AF: + +`$ packager get-info --gbs=` + +To extract the RBF from the AF: + +`$ packager get-rbf --gbs= --rbf=` + +## Accelerator Description File ## + +The Accelerator Description File is a JSON file that describes the metadata associated with an AFU. +The Open Progammable Accelerator Engine (OPAE) uses this metadata during reconfiguration. Here is an example file: + +``` +{ + "version": 1, + "platform-name": "DCP", + "afu-image": { + "magic-no": 488605312, + "interface-uuid": "01234567-89AB-CDEF-0123-456789ABCDEF", + "power": 0, + "accelerator-clusters": [{ + "name": "dma_test_afu", + "total-contexts": 1, + "accelerator-type-uuid": "331DB30C-9885-41EA-9081-F88B8F655CAA" + } + ] + } +} +``` +The packager stores these parameter values in the resultant AF. After reprogramming the AFU using partial reconfiguration (PR), the +software driver reconfigures the PLLs by writing the clock-frequency-high and clock-frequency-low values (if present) over the +PCIe® and CCI interfaces. + +.. note:: +``` +The JSON file format may change as the architecture evolves. Any changes to the current format trigger an update +to the version number. +``` + +CATEGORY | NAME | TYPE | DESCRIPTION | MANDATORY +---------|------|------|-------------|:----------:| +Per-AFU | version | Integer | Version of the metadata format. | Yes +Per-AFU | magic-no (to be deprecated)| Integer | Magic no. Associated with the FPGA Interface Manager. | No +Per-AFU | platform-name | String | Name of the platform for which the metadata is intended. The field value is ā€œDCPā€ for Intel Acceleration Stack for FPGAs. | No +Per-AFU | interface-uuid | UUID | Interface id associated with the FPGA Interface Manager. | Yes +Per-AFU | power | Integer | Accelerator Function power consumption, in watts. Set to 0 for Intel Acceleration Stack for FPGAs platforms. | Yes +Per-AFU | clock-frequency-low | Float | Clock frequency for 1st PLL (Clock network)1 in MHz. | No +Per-AFU | clock-frequency-high | Float | Clock frequency for 2nd PLL (0 if absent) in MHz. | No +Per-AFC Cluster | total-contexts | Integer | Number of AFCs in this cluster. Always be 1 in current architectures. | Yes +Per-AFC Cluster | afc-type-uuid | UUID | AFC type = AFU ID in current architectures. | Yes +Per-AFC Cluster | name | string | AFC name = AFU name in current architectures. | Yes + +| Date | Intel Acceleration Stack Version | Changes Made | +|:------|----------------------------|:--------------| +|2018.05.21| DCP 1.1 Beta (works with Quartus Prime Pro 17.1.1) | Fixed typos. | + + diff --git a/doc/src/fpga_tools/readme.md b/doc/src/fpga_tools/readme.md new file mode 100644 index 0000000..d670c88 --- /dev/null +++ b/doc/src/fpga_tools/readme.md @@ -0,0 +1,897 @@ +# fpga_tools # + +# fpgainfo # + +## NAME ## +_fpgainfo_ - FPGA information tool + + +## SYNOPSIS ## +```console +fpgainfo [-h | --help] [-s | --socket-id] [] +``` + + +## DESCRIPTION ## +fpgainfo is a tool to show FPGA information derived from sysfs files. The command argument +is one of the following: errors, power, temp and is used to specify what type of information +to report. Some commands may also have other arguments/options that can be used to control the +behavior of that command. + +## COMMON OPTIONS ## +`--help, -h` + + Print help information and exit. + +`--socket-id, -s` + + Socket ID encoded in BBS. Default=0 + + +### FPGAINFO COMMANDS ## +`errors` + + Show/clear errors of an FPGA resource as specified by the first argument. + Error information is parsed to display in human readable form. + +`power` + + Show total power consumed by the FPGA hardware in watts + +`temp` + + Show FPGA temperature values in degrees Farenheit + +### ERRORS OPTIONS ### +`--clear, -c` + + Clear errors for the given FPGA resource + +### ERRORS ARGUMENTS ### +The first argument to the `errors` command is used to specify what kind of +resource to act on. It must be one of the following: +`fme`,`port`,`first_error`,`pcie0`,`pcie1`,`bbs`,`gbs`,`all` +More details on the errors reported for the resource can be found below: + + +### ERRORS RESOURCES ### +`fme` + + Show/clear errors pertaining to the FME + +`port` + + Show/clear errors pertaining to the PORT + +`first_error` + + Show/clear first errors encountered by the FPGA + +`pcie0` + + Show/clear errors pertaining to the PCIE0 lane + +`pcie1` + + Show/clear errors pertaining to the PCIE1 lane + +`bbs` + + Show/clear errors pertaining to the BBS (blue bitstream) + +`gbs` + + Show/clear errors pertaining to the GBS (green bitstream) + +`all` + + Show/clear errors for all resources + + +## EXAMPLES ## +This command shows the current power consumtion: +```console +./fpgainfo power +``` + +This command shows the current temperature reading: +```console +./fpgainfo temp +``` + +This command shows the errors for the FME resource: +```console +./fpgainfo errors fme +``` +This command clears all the errors on all resources: +```console +./fpgainfo errors all -c +``` +# fpgaconf # + +## NAME ## + +fpgadiag - Configure a green bitstream to an FPGA + +## SYNOPSIS ## + +`fpgaconf [-hvn] [-b ] [-d ] [-f ] [-s ] ` + +## DESCRIPTION ## + +fpgaconf writes accelerator configuration bitstreams (also referred to as "green +bitstreams" to an FPGA device recognized by OPAE. In the process, it also checks +the green bitstream file for compatibility with the targeted FPGA and its +current infrastructure bitstream (the "blue bistream"). fpgaconf takes the +following arguments: + +`-h, --help` + + Print usage information + +`-v, --verbose` + + Print more verbose messages while enumerating and configuring. Can be + given more than once + +`-n, --dry-run` + + Perform enumeration, but skip any operations with side-effects (like the + actual configuration of the bitstream + +`-b, --bus` + + PCI bus number of the FPGA to target + +`-d, --device` + + PCI device number of the FPGA to target + +`-f, --function` + + PCI function number of the FPGA to target + +`-s, --socket` + + Socket number of the FPGA to target + +fpgaconf will enumerate available FPGA devices in the system and select +compatible FPGAs for configuration. If there are more than one candidate FPGAs +that are compatible with the given green bitstream, fpgaconf will exit and ask +you to be more specific in selecting the target FPGAs (e.g. by specifying a +socket number, or a PCIe bus/device/function). + +## EXAMPLES ## + +`fpgaconf my_green_bitstream.gbs` + + Program "my_green_bitstream.gbs" to a compatible FPGA + +`fpgaconf -v -s 0 my_green_bitstream.gbs` + + Program "my_green_bitstream.gbs" to the FPGA in socket 0, if compatible, + while printing out slightly more verbose information +# fpgad # + +## NAME ## +fpgad - log errors and generate events + +## SYNOPSIS ## +`fpgad --daemon [--directory=] [--logfile=] [--pidfile=] [--umask=] [--socket=] [--null-bitstream=]` +`fpgad [--socket=] [--null-bitstream=]` + +## DESCRIPTION ## +Periodically monitors/reports the error status reflected in the device driver's error status sysfs files. +Establishes the channel by which events are communicated to the OPAE application. Programs a NULL bitstream +in response to AP6 event. + +fpgad is required to be running before API calls `fpgaRegisterEvent` and `fpgaUnregisterEvent` will succeed. + +Use SIGINT to stop fpgad. + +`-d, --daemon` + + When given, fpgad executes as a system demon process. + +`-D, --directory ` + + When running in daemon mode, execute from the given directory. + If omitted when daemonizing, /tmp is used. + +`-l, --logfile ` + + When running in daemon mode, send output to file. When not in daemon mode, the output is sent to stdout. + If omitted when daemonizaing, /tmp/fpgad.log is used. + +`-p, --pidfile ` + + When running in daemon mode, write the daemon's process id to file. + If omitted when daemonizing, /tmp/fpgad.pid is used. + +`-m, --umask ` + + When running in daemon mode, use the mode value as the file mode creation mask passed to umask. + If omitted when daemonizing, 0 is used. + +`-s, --socket ` + + Listen for event API registration requests on sock. The default socket value used by the API is + /tmp/fpga_event_socket. + +`-n, --null-bitstream ` + + Specify the NULL bitstream to program when an AP6 event occurs. This option may be given multiple + times. The bitstream, if any, that matches the FPGA's PR interface id will be programmed when AP6 + is detected. + +## TROUBLESHOOTING ## + +If any issues are encountered, try the following for additional debug information: + +1. Examine the log file when in daemon mode. +2. Run in non-daemon mode and view stdout. + +## EXAMPLES ## + +`fpgad --daemon --null-bitstream=my_null_bits.gbs` + +## SEE ALSO ## + +umask + +# fpgadiag # + +## NAME ## +_fpgadiag_ - FPGA diagnosis and testing tool. + + +## SYNOPSIS ## +```console +fpgadiag [-m | --mode=] [-t | --target=] [options] +``` + + +## DESCRIPTION ## +_fpgadiag_ includes several tests to diagnose, test and report on the FPGA hardware. + +`` chooses which test to run. `` specifies on what platform to +run the test. `` can be either `fpga` or `ase`, where `ase` stands for +"AFU Simulation Environment". + +The tests that can be selected by `` include: + +**lpbk1** + + The test performs loopback test on the number of cachelines specified with + the `BEGIN` option. _fpgadiag_ sets up source and destination buffers in + main memory. The FPGA then performs a memcpy from a source buffer to the + destination buffer, one cacheline at a time. + + A cacheline is 64 bytes. When `BEGIN = END`, you perform one iteration. When + `BEGIN = END + x`, you perform `x` iterations. The first iteration consists + of copying `BEGIN` cachelines; the second iteration consists of copying + `BEGIN+1` cache lines; the third iteration consists of copying `BEGIN+3` + cache lines, etc. + + The latency is shown as the number of clock ticks. + + When you specify `MULTI-CL`, you copy `MULTI-CL` cache lines at a time. + There is always a WrFence. `WR-FENCE` chooses what virtual channel the + WrFence occurs on. + + If you specify continuous mode with `--cont`, the program runs an iteration + until the timeout specified in `TIMEOUT` completes. + + +**read** + + This test performs only a read, not a memcpy. It is used to measure read + bandwidth. + + +**write** + + This test is used to measure write bandwidth. + + +**trput** + + This test measures both read and write bandwidth by performing 50% read and + 50% write tests. + + +**sw** + + This is a send-and-respond (ping-pong) test where one side sends data and + waits for answer. + +Each test requires presence of one of these bitstreams, as documented below. +Before running a test, make sure its required bitstream is properly configured +on the platform. + +* **nlb mode 0** for the `lpbk1` test. +* **nlb mode 3** for the `trput`, `read`, and `write` tests. +* **nlb mode 7** for the `sw` test. + + +## OPTIONS ## +### Common options ### +`--help, -h` + + Print help information and exit. + +`--target=, -t` + + Values accepted for this switch are fpga or ase. Default=fpga + +`--mode=, -m` + + The test to run. Values accepted for this switch are `lpbk1`, `read`, + `write`, `trput`, `sw` + +`--config=, -c` + + A configuration file in the JSON format that specifies options for a test. + If an option is specified both in the configuration file and on the command + line, the value in the configuration file prevails + +`--socket-id=, -s` + + Socket ID encoded in BBS. Default=0 + +`--bus-number=, -B` + + Bus number of the PCIe device. Default=0 + +`--device=, -D` + + Device number of the PCIe device. Default=0 + +`--function=, -F` + + Function number of the PCIe device. Default=0 + +`--freq=, -T` + + Clock frequency in Hz. Default=400 MHz + +`--suppress-hdr, -S` + + Suppress column headers for text output. Default=off + +`--csv, -V` + + Comma separated value format. Default=off + + +### **lpbk1** test options ### +`--guid=, -g` + + Accelerator ID to enumerate. Default=D8424DC4-A4A3-C413-F89E-433683F9040B + +`--begin=B, -b` + + 1 <= B <= 65535. Default=1, B = number of cache lines + +`--end=E, -e` + + 1 <= E <= 65535. Default=B, B and E designate number of cache lines + +`--multi-cl=M, -U` + + M can equal 1, 2, or 4. Default=1 + +`--cont, -L` + + Continuous mode. Default=off + +`--timeout-usec=, --timeout-msec=, --timeout-sec=, --timeout-min=, --timeout-hour=` + + timeout for --cont mode (microseconds portion default=0; milliseconds + portion default=0; seconds portion default=1; minutes portion default=0; + hours portion default=0) + +`--cache-policy=, -p` + + Can be wrline-I, wrline-M, or wrpush-I Default=wrline-M + +`--cache-hint=, -i` + + Can be rdline-I or rdline-S. Default=rdline-I + +`--read-vc=, -r` + + Can be auto, vl0, vh0, vh1, random. Default=auto + +`--write-vc=, -w` + + Can be auto, vl0, vh0, vh1, random. Default=auto + +`--wrfence-vc=, -f` + + Can be auto, vl0, vh0, vh1. Default=auto + + +### **read** test options ### +`--guid=, -g` + + Accelerator ID to enumerate. Default=F7DF405C-BD7A-CF72-22F1-44B0B93ACD18 + +`--begin=B, -b` + + 1 <= B <= 65535. Default=1, B = number of cache lines + +`--end=E, -e` + + 1 <= E <= 65535. Default=B, B and E designate number of cache lines + +`--multi-cl=M, -u` + + M can equal 1, 2, or 4. Default=1 + +`--strided-access=S, -a` + + 1<= S <= 64. Default=1 + +`--cont, -L` + + Continuous mode. Default=off + +`--timeout-usec=, --timeout-msec=, --timeout-sec=, --timeout-min=, --timeout-hour=` + + timeout for --cont mode (microseconds portion default=0; milliseconds + portion default=0; seconds portion default=1; minutes portion default=0; + hours portion default=0) + +`--cache-hint=, -i` + + Can be rdline-I or rdline-S. Default=rdline-I + +`--warm-fpga-cache -H; --cool-fpga-cache -M` + + Attempt to prime the cache with hits. Default=off, Attempt to prime the + cache with misses. Default=off + +`--cool-cpu-cache, -C` + + Attempt to prime the cpu cache with misses. Default=off + +`--read-vc=, -r` + + Can be auto, vl0, vh0, vh1, random. Default=auto + + +### **write** test options ### +`--guid=, -g` + + Accelerator ID to enumerate. Default=F7DF405C-BD7A-CF72-22F1-44B0B93ACD18 + +`--begin=B, -b` + + 1 <= E <= 65535. Default=B, B and E designate number of cache lines + +`--multi-cl=M, -u` + + M can equal 1, 2, or 4. Default=1 + +`--strided-access=S, -a` + + 1<= S <= 64. Default=1 + +`--cont, -L` + + Continuous mode. Default=off + +`--timeout-usec=, --timeout-msec=, --timeout-sec=, --timeout-min=, --timeout-hour=` + + timeout for --cont mode (microseconds portion default=0; milliseconds + portion default=0; seconds portion default=1; minutes portion default=0; + hours portion default=0) + +`--cache-policy=, -p` + + Can be wrline-I, wrline-M, or wrpush-I Default=wrline-M + +`--warm-fpga-cache -H; --cool-fpga-cache -M` + + Attempt to prime the cache with hits. Default=off, Attempt to prime the + cache with misses. Default=off + +`--cool-cpu-cache, -C` + + Attempt to prime the cpu cache with misses. Default=off + +`--write-vc=, -w` + + Can be auto, vl0, vh0, vh1, random. Default=auto + +`--wrfence-vc=, -f` + + Can be auto, vl0, vh0, vh1, random. Default=`WRITE-VC` + +`--alt-wr-pattern, -l` + + Alternate Write Pattern. Default=off + + +### **trput** test options ### +`--guid=, -g` + + Accelerator ID to enumerate. Default=F7DF405C-BD7A-CF72-22F1-44B0B93ACD18 + +`--begin=B, -b` + + 1 <= B <= 65535. Default=1, B = number of cache lines + +`--end=E, -e` + + 1 <= E <= 65535. Default=B, B and E designate number of cache lines + +`--multi-cl=M, -u` + + M can equal 1, 2, or 4. Default=1 + +`--strided-access=S, -a` + + 1<= S <= 64. Default=1 + +`--cont, -L` + + Continuous mode. Default=off + +`--timeout-usec=, --timeout-msec=, --timeout-sec=, --timeout-min=, --timeout-hour=` + + timeout for --cont mode (microseconds portion default=0; milliseconds + portion default=0; seconds portion default=1; minutes portion default=0; + hours portion default=0) + +`--cache-policy=, -p` + + Can be wrline-I, wrline-M, or wrpush-I Default=wrline-M + +`--cache-hint=, -i` + + Can be rdline-I or rdline-S. Default=rdline-I + +`--read-vc=, -r` + + Can be auto, vl0, vh0, vh1, random. Default=auto + +`--write-vc=, -w` + + Can be auto, vl0, vh0, vh1, random. Default=auto + +`--wrfence-vc=, -f` + + Can be auto, vl0, vh0, vh1. Default=`WRITE-VC` + + +### **sw** test options ### +`--guid=, -g` + + Accelerator ID to enumerate. Default=7BAF4DEA-A57C-E91E-168A-455D9BDA88A3 + +`--begin=B, -b` + + 1 <= B <= 65535. Default=1, B = number of cache lines + +`--end=E, -e` + + 1 <= E <= 65535. Default=B, B and E designate number of cache lines + +`--multi-cl=M, -u` + + M can equal 1, 2, or 4. Default=1 + +`--strided-access=S, -a` + + 1<= S <= 64. Default=1 + +`--cache-policy=, -p` + + Can be wrline-I, wrline-M, or wrpush-I. Default=wrline-M + +`--cache-hint= -i` + + Can be rdline-I or rdline-S. Default=rdline-I + +`--read-vc=, -r` + + Can be auto, vl0, vh0, vh1, random Default=auto + +`--write-vc=, -w` + + Can be auto, vl0, vh0, vh1, random Default=auto + +`--wrfence-vc=, -f` + + Can be auto, vl0, vh0, vh1. Default=`WRITE-VC` + +`--notice=, -N` + + Can be poll, csr-write, umsg-data, or umsg-hint. Default=poll + + +## EXAMPLES ## +This command starts an `lpbk1` test on the FPGA on bus `0x5e`. The test +copies 57535, 57536, 57537, ..., up to 65535 cache lines, one line at a time. +The test output is printed in the CSV format with header suppressed. +```console +./fpgadiag --mode=lpbk1 --target=fpga -SV --bus-number=0x5e --begin=57535 +--end=65535 --cache-hint=rdline-I --cache-policy=wrpush-I --multi-cl=1 +--write-vc=vl0 --read-vc=vh1 --wrfence-vc=auto +``` + +This command starts a `read` test on the FPGA located on bus `0xbe`. The test +reads 2045 cache lines in the continuous mode with a 15-second timeout period. +Data is accessed with a strided pattern with a 10-byte stride length. +```console +./fpgadiag --mode=read --target=fpga -SV --bus-number=0xbe --begin=2045 --cont +--timeout-sec=15 --cache-hint=rdline-I --multi-cl=1 -a=10 --write-vc=vh1 +--read-vc=auto --wrfence-vc=auto +``` + +This command starts an `sw` test on the FPGA located on bus `0xbe`. The test +notifies completion using a CSR write. +```console +./fpgadiag --mode=sw --target=fpga -SV --bus-number=0xbe --begin=4 --end=8192 +--cache-hint=rdline-I --cache-policy=wrline-I --notice=csr-write --write-vc=vl0 +--wrfence-vc=auto --read-vc=random +``` + + +## TROUBLESHOOTING ## +When a test fails to run or gives errors, check the following: + +* Is Intel FPGA driver properly installed? +See [Installation Guide](/fpga-doc/docs/install_guide/installation_guide.html) +for driver installation instructions. +* Are FPGA port permissions set properly? Check the permission bits of the +port, for example, `/dev/intel-fpga-port-0`. Users need READ and WRITE +permissions to run `fpgadiag` tests. +* Is hugepage properly configured on the system? +See [Installation Guide](/fpga-doc/docs/install_guide/installation_guide.html) +for hugepage configuration steps. +* Is the required bitstream loaded? See [DESCRIPTION](#description) for +information about what bitstream is required by which test. +* Are `--begin` and `--end` values set properly? `--end` must be no +smaller than the `--begin`. Also, `--begin` must be a multiple of the +`--multi-cl` value. +* The `--warm-fpga-cache` and `--cool-fpga-cache` options in the `read` +and `write` tests are mutually exclusive. +* The timeout options are only meaningful for the continuous mode +(with the `--cont` option). + +# mmlink # + +## NAME ## + MMLink - Debugging RTL. + +## SYNOPSIS ## + +`mmlink [-B ] [-D ] [-F ] [-S ] [-P ] [-I ]` + + +## DESCRIPTION ## + +Remote signaltap is software tool used for debug RTL (AFU), effectively a signal trace capability that Quartus places into a green bitstream. +Remote Signal Tap provides access the RST part of the Port MMIO space, and then runs the remote protocol on top. + +## EXAMPLES ## + +`./mmlink -B 0x5e -P 3333` + + MMLink app starts and listens for connection. + +## OPTIONS ## + +`-B,--bus` FPGA Bus number. + +`-D,--device` FPGA Device number. + +`-F,--functio` FPGA function number. + +`-S,--socket` FPGA socket number. + +`-P,--port` TCP port number. + +`-I,--ip ` IP address of FPGA system. + + +## NOTES ## + +Driver privilege: + +Change AFU driver privilege to user . + +command: chmod 777 /dev/intel-fpga-port.0 + +set memlock: + +command: ulimit -l 10000 + +# coreidle # + +## NAME ## + coreidle - idles cores for shared TDP sockets to run online cores at maximum capacity. + +## SYNOPSIS ## + +`coreidle [-B ] [-D ] [-F ] [-S ] [-G ] ` + + +## DESCRIPTION ## + +This tools parses input GBS, extracts power from metadata ,calculates fpga power, number of online and idle cores. +It moves threads from idle cores to online cores. + +## EXAMPLES ## + +`./coreidle -B 0x5e -G /home/lab/gbs/mode0.gbs` + + Idle cores to run online cores at maximum capacity. + +## OPTIONS ## + +`-B,--bus` FPGA Bus number. + +`-D,--device` FPGA Device number. + +`-F,--functio` FPGA function number. + +`-S,--socket` FPGA socket number. + +`-G,--gbs` Green bitstream file path. + +# fpgamux # + +## NAME ## + fpgamux - Software MUX for running multiple AFU (accelerator functional unit) tests in one GBS (green bitsream) + +## SYNOPSIS ## +```console +fpgamux [-h] [-S|--socket-id SOCKET_ID] [-B|--bus-number BUS] [-D|--device DEVICE] [-F|--function FUNCTION] + [-G|--guid GUID] -m|--muxfile MUXFILE.json +``` + +## DESCRIPTION ## +fpgamux is a testing tool to interact with multiple AFUs that have been synthesized into one GBS along with +the CCIP-MUX BBB (basic building block). The CCIP-MUX uses upper bits in the MMIO addresses to route MMIO +reads/writes to the AFU running on the corresponding CCIP-MUX port. fpgamux uses a configuration file that +lists the software components and configuration to use. + +.. note:: + +``` + Only one (the first) AFU is discoverable by the OPAE driver. Enumerating acceleration on an FPGA will find + the accelerator associated with the first AFU only. The first software component in the configuration will + be used to determine the GUID to use for enumeration. This can be overridden with the -G|--guid option. +``` + + +## OPTIONS ## + -S SOCKET_ID, --socket-id SOCKET_ID + socket id of FPGA resource + + -B BUS, --bus BUS + bus id of FPGA resource + + -D DEVICE, --device DEVICE + device id of FPGA resource + + + -F FUNCTION, --function FUNCTION + function id of FPGA resource + + -G, --guid + specify what guid to use for the accelerator enumeration + +## CONFIGURATION ## +fpgamux uses a configuration file (in JSON format) to determine what software components to instantiate and +how to configure them for interacting with the AFUs in the GBS. This schema for this is listed below: + + + [ + { + "app" : "fpga_app", + "name" : "String", + "config" : "Object" + } + ] + + +## EXAMPLES ## +An example configuration with two components is listed below: + + [ + { + "app" : "nlb0", + "name" : "nlb0", + "config" : + { + "begin" : 1, + "end" : 1, + "multi-cl" : 1, + "cont" : false, + "cache-policy" : "wrline-M", + "cache-hint" : "rdline-I", + "read-vc" : "vh0", + "write-vc" : "vh1", + "wrfence-vc" : "write-vc", + "timeout-usec" : 0, + "timeout-msec" : 0, + "timeout-sec" : 1, + "timeout-min" : 0, + "timeout-hour" : 0, + "freq" : 400000000 + } + }, + { + "app" : "nlb3", + "name" : "nlb3", + "config" : + { + "mode" : "read", + "begin" : 1, + "end" : 1, + "multi-cl" : 1, + "strided-access" : 1, + "cont" : false, + "warm-fpga-cache" : false, + "cool-fpga-cache" : false, + "cool-cpu-cache" : false, + "cache-policy" : "wrline-M", + "cache-hint" : "rdline-I", + "read-vc" : "vh0", + "write-vc" : "vh1", + "wrfence-vc" : "write-vc", + "alt-wr-pattern" : false, + "timeout-usec" : 0, + "timeout-msec" : 0, + "timeout-sec" : 1, + "timeout-min" : 0, + "timeout-hour" : 0, + "freq" : 400000000 + } + } + ] + +# userclk # + +## NAME ## + userclk - to set afu high and low clock frequency. + +## SYNOPSIS ## + +`userclk [-B ] [-D ] [-F ] [-S ] [-P ] [-H ] -L ]` + + +## DESCRIPTION ## + +userclk tool used to set high and low clock frequency to acceleration function unit. + +## EXAMPLES ## + +`./userclk -B 0x5e -H 400 -L 200` + + Sets AFU frequency. + +## OPTIONS ## + +`-B,--bus` FPGA Bus number. + +`-D,--device` FPGA Device number. + +`-F,--functio` FPGA function number. + +`-S,--socket` FPGA socket number. + +`-P,--port` Port id. + +`-H,--freq-high ` User clock high frequency. + +`-L,--freq-low ` User clock low frequency. + + + + diff --git a/doc/src/fpga_tools/super-rsu/super-rsu.md b/doc/src/fpga_tools/super-rsu/super-rsu.md new file mode 100644 index 0000000..62c5371 --- /dev/null +++ b/doc/src/fpga_tools/super-rsu/super-rsu.md @@ -0,0 +1,67 @@ +# Super Remote System Update User Guide # + +.. toctree:: + +.. highlight:: c + +.. highlight:: console + +## Overview ## +Intel Programmable Acceleration Card (PAC) devices are comprised of multiple processors +and controllers that execute firmware. Maintaining and updating these firmware images +manually is error-prone and does not scale well within the Data Center. The solution +described here is derived with the following goals in mind: + +* The ability to update one or more (possibly all) firwmare images with a single package. +* The ability to complete all firmware updates within a stipulated time window. +* The ability to update each PAC in the server, all servers in a Data Center, and multiple +Data Centers remotely. +* The ability to remotely initiate download of the package and its installation with a +single command per server instance. +* The ability to roll back firmware to a previous revision. + +## Implementation ## +A single package containing firmware images for all programmable parts on a PAC is delivered +as an RPM, eg opae-super-rsu-n3000.M.m.p-r.noarch.rpm. The RPM revision will sequentially increase +with every update. + +Installing or upgrading the RPM invokes the complete update of all programmable parts on all +PAC boards in the system. + +The standard RPM dependency framework ensures that correct versions of dependecy packages +opae-intel-fpga-driver and fpga-tools-extra are installed on the system. + +Rolling back is achieved by uninstalling the current version and re-installing a previous +version of the RPM. + +.. note:: +``` +Note: once Secure Update is deployed, roll back restrictions shall be implemented to prevent +rollback attacks. +``` + +RPM management on remote systems is standard practice, requiring no new infrastructure/training. + +## Details ## + +The post-install hook of the opae-super-rsu-n3000 RPM is leveraged to call out to the super-rsu +Python script to update all PAC boards. super-rsu uses the manifest file packaged within +opae-super-rsu-n3000 to associate a firmware image with its version. Each of the firmware images +contained in opae-super-rsu-n3000 is placed on the target system in /usr/share/opae/n3000. + +### Algorithm ### + +* Acquire the current firmware versions of all programmable parts. +* For each programmable image, if the installed version of firmware does not equal the version +provided in the RPM manifest file, then update the firmware image, and set image_updated to True. +* After all updates, if image_updated, then initiate a safe reboot of all boards in the system. +* After safe reboot, verify that the reported firmware versions match those of the RPM manifest. +If they do not match, then RPM installation exits with a failing status. +* Run board self test. If the self test fails, then the RPM installation exits with a failing status. +* If all of the above checks is successful, then RPM installation exits with a success status. + +## Dependencies ## + +* The standard Python package for the distro (version 2.7). +* The opae-intel-fpga-driver RPM. (version determined by opae-super-rsu-n3000) +* The opae-tools-extra RPM. (version determined by opae-super-rsu-n3000) diff --git a/doc/src/fpga_tools/userclk/userclk.md b/doc/src/fpga_tools/userclk/userclk.md new file mode 100644 index 0000000..cae6f46 --- /dev/null +++ b/doc/src/fpga_tools/userclk/userclk.md @@ -0,0 +1,55 @@ +# userclk # + +## SYNOPSIS ## + +`userclk [-v] [-B ] [-D ] [-F ] [-S ] [-P ] [-H ] -L ]` + + +## DESCRIPTION ## + +userclk sets the frequency range for an AFU. + +## EXAMPLES ## + +`./userclk -B 0x5e -H 400 -L 200` + + Sets AFU frequency. + +## OPTIONS ## + +`-v,--version` + +Prints version information and exits. + +`-B,--bus` + +FPGA Bus number. + +`-D,--device` + +FPGA Device number. + +`-F,--function` + +FPGA function number. + +`-S,--socket` + +FPGA socket number. + +`-P,--port` + +Port ID. + +`-H,--freq-high ` + +User clock high frequency. + +`-L,--freq-low ` + +User clock low frequency. + +| Date | Intel Acceleration Stack Version | Changes Made | +|:------|----------------------------|:--------------| +|2018.05.21| DCP 1.1 Beta (works with Quartus Prime Pro 17.1.1) | Fixed typos. | + diff --git a/doc/src/install_guide/installation_guide.md b/doc/src/install_guide/installation_guide.md new file mode 100644 index 0000000..ab6ae09 --- /dev/null +++ b/doc/src/install_guide/installation_guide.md @@ -0,0 +1,406 @@ +# OPAE Installation Guide # + +.. toctree:: + +.. highlight:: c + +.. highlight:: console + + +## System compatibility ## + +The OPAE SDK has been tested on the following configurations. + +* Hardware: Tightly coupled FPGA products and programmable FPGA acceleration + cards for Intel® Xeon® processors +* Operating System: Tested on Red Hat Enterprise Linux 7.3 and 7.4, Ubuntu 16.04, SUSE SLE 12 SP3 and CentOS 7.4, with Linux kernels 3.10 through 4.7 +* Integrated FPGA FIM (FPGA Interface Manager) version: 6.4.0 +* Arria(R) 10 GX FPGA FIM version: 1.0.3 (1.0 Production) + +## How to download the OPAE SDK ## + +OPAE SDK releases are available on +[GitHub](https://github.com/OPAE/opae-sdk/releases). There, you can also find +the driver source code and DKMS packages for the respective SDK release. + +The various componentes of OPAE are available via the following compressed tar files and RPM packages. + +* Source packages for the SDK and the drivers + +```console +opae-sdk-.tar.gz (BSD License) (all src for libopae-c, tools, samples, headers and ASE) +opae-sdk-.zip (BSD License) (ZIP archive, same content as opae-sdk-.tar.gz) +opae-intel-fpga-driver-.tar.gz (GPLv2 License) (driver sources) +``` + +* Binary package for the drivers + +```console +opae-intel-fpga-drv--1.x86_64.rpm (GPLv2 License) (dkms and driver src to generate \*.ko at installation) +``` + +## Software requirements ## + +For building the kernel driver, the kernel development environment is required. + +* gcc >= 4.8.5 +* cmake >= 2.8 +* dkms.noarch (Release is tested with 2.2.0.3-34) + +For building libopae-c, tools and samples, the following dependences are required: + +* libuuid-devel.x86\_64: (tested with 2.23.2-33.el7) +* libuuid.x86\_64: (tested with 2.23.2-33.el7) +* json-c-devel.x86\_64: json-c-devel-0.11-4.el7\_0.x86\_64.rpm +* json-c.x86\_64: (tested with 0.11-4.el7\_0) +* cmake.x86\_64: (tested with 2.8.12.2-2.el7) +* libhwloc.x86\_64 + +## Driver installation with DKMS rpm and deb packages ## + +Install rpm package: +```console +$ sudo yum install opae-intel-fpga-driver-.x86_64.rpm +``` + +Uninstall rpm package: +```console +$ sudo yum remove opae-intel-fpga-driver- +``` + +Install deb package: +```console +$ sudo dpkg -i opae-intel-fpga-driver__amd64.deb +``` + +Uninstall deb package: +```console +$ sudo dpkg -r opae-intel-fpga-driver +``` + +During the installation process, the tool will compile the driver from +source then install the driver automatically. Driver installed by these packages +will be automatically install again after system reboot. + +## Driver build/installation with driver source package ## + +Using the following command to untar the source tar ball: + +```console +$ tar zxvf opae-intel-fpga-driver-.tar.gz +``` + +Following directory shall be extracted at the working directory where the above command is executed. + +* `opae-intel-fpga-driver-` + +Build the fpga driver from source with following procedures: + +```console +$ cd opae-intel-fpga-driver- +$ make +``` + +Following kernel modules shall be generated from source: + +* fpga-mgr-mod.ko +* intel-fpga-afu.ko +* intel-fpga-fme.ko +* intel-fpga-pci.ko + +Install the above modules in the following order: + +```console +$ sudo insmod fpga-mgr-mod.ko +$ sudo insmod intel-fpga-pci.ko +$ sudo insmod intel-fpga-fme.ko +$ sudo insmod intel-fpga-afu.ko +``` + +Use lsmod to check if all 4 modules are installed correctly or not: + +```console +$ lsmod | grep fpga +``` + +Output should look like: + +```console +intel_fpga_fme 36864 0 +intel_fpga_afu 28672 0 +intel_fpga_pci 28672 2 intel_fpga_afu,intel_fpga_fme +fpga_mgr_mod 16384 1 intel_fpga_fme +``` + +Remove the driver modules in the following order: + +```console +$ sudo rmmod intel-fpga-afu +$ sudo rmmod intel-fpga-fme +$ sudo rmmod intel-fpga-pci +$ sudo rmmod fpga-mgr-mod +``` + +## Manual Driver build from RPM package ## +Use the following command to extract the driver source files from the rpm: + +```console +$ mkdir opae-intel-fpga-driver- +$ cd opae-intel-fpga-driver- +$ rpm2cpio ../opae-intel-fpga-driver-.rpm | cpio -idmv +``` + +Build the fpga driver from source with the following procedure: + +```console +$ cd ./usr/src/intel-fpga- +$ make +``` + +## OPAE SDK build/installation from OPAE SDK source ## +Using the following command to untar the source tar ball: + +```console +$ tar zxvf opae-sdk-.tar.gz +``` + +Following directory shall be created at the working directory where the above command is executed. + +* `opae-sdk-` + +Build the OPAE C library (libopae-c), samples, tools, and the AFU Simulation Environment (ASE) +library (libopae-c-ase) with the following commands: + +```console +$ cd opae-sdk- +$ mkdir mybuild +$ cd mybuild +$ cmake .. -DOPAE_BUILD_SIM=ON +$ make +``` + +By default, the OPAE SDK will install into `/usr/local` if you also issue the following: + +```console +$ make install +``` + +You can change this installation prefix from `/usr/local` into something else +by adding `-DCMAKE_INSTALL_PREFIX=` to the `cmake` command above. + +Please see Quick Start Guide on how to run the hello\_fpga sample to verify +libopae-c & driver are built correctly. + +## Building python distributions for tools ## + +The tools that can be built with python distutils are: + - packager + - fpgaflash + - fpgadiag + +```console +$ cd opae-sdk- +$ mkdir mybuild +$ cd mybuild +$ cmake .. -DOPAE_BUILD_PYTHON_DIST=ON +$ make -dist +``` +The python distributions will be available in mybuild///stage/dist + +## Building OPAE SDK rpm and deb packages from the source ## +In addition to building and installation from the source, users can also +generate rpm and deb packages for the SDK. The generated packages can then be +distributed to other users for easy installation. The advantage of this approach +is that the other users do not need to have the build toolchain on their +systems to install the OPAE SDK. + +* To build rpm packages follow these steps: + +```console +$ cd opae-sdk- +$ mkdir mybuild +$ cd mybuild +$ cmake .. -DOPAE_BUILD_SIM=ON -DCPACK_GENERATOR=RPM -DCMAKE_INSTALL_PREFIX= +$ make package_rpm +``` +.. note:: +``` +Note: Providing CMAKE_INSTALL_PREFIX is optional, by default the install prefix will be /usr. +``` +This will generate the following rpm packages. + +```console +opae-.x86_64.rpm (meta package) +opae-libs-.x86_64.rpm (libopae-c and samples) +opae-tools-.x86_64.rpm (base tools) +opae-tools-extra-.x86_64.rpm (extra tools) +opae-devel-.x86_64.rpm (headers) +opae-ase-.x86_64.rpm (libopae-c-ase) +``` + +* To build deb packages follow these steps: + + .. note:: + ``` + Note: For generating deb packages, cmake version 3.0.0 and above is required. + ``` + +```console +$ cd opae-sdk- +$ mkdir mybuild +$ cd mybuild +$ cmake .. -DOPAE_BUILD_SIM=ON -DCPACK_GENERATOR=DEB -DCMAKE_INSTALL_PREFIX= +$ make package_deb +``` +.. note:: +``` +Note: Providing CMAKE_INSTALL_PREFIX is optional, by default the install prefix will be /usr. +``` +This will generate the following deb packages. + +```console +opae-libs-.x86_64.deb (libopae-c and samples) +opae-tools-.x86_64.deb (tools) +opae-tools-extra-.x86_64.deb (tools) +opae-devel-.x86_64.deb (headers) +opae-ase-.x86_64.deb (libopae-c-ase) +``` + +## OPAE SDK installation with rpm packages ## +The rpm packages generated in the previous step can be installed +using these commands: + +```console +$ sudo yum install opae-.x86_64.rpm +$ sudo yum install opae-libs-.x86_64.rpm +$ sudo yum install opae-tools-.x86_64.rpm +$ sudo yum install opae-tools-extra-.x86_64.rpm +$ sudo yum install opae-devel-.x86_64.rpm +$ sudo yum install opae-ase-.x86_64.rpm +``` + +```eval_rst +.. note: + If you want to install all the packages, you can also do: + $ sudo yum install opae-*.rpm +``` +To uninstall: + +```console +$ sudo yum remove opae +$ sudo yum remove opae-libs +$ sudo yum remove opae-tools +$ sudo yum remove opae-tools-extra +$ sudo yum remove opae-devel +$ sudo yum remove opae-ase +``` + +## OPAE SDK installation with deb packages ## +The deb packages generated in the previous step can be installed +using these commands: + +```console +$ sudo dpkg -i opae-libs-.x86_64.deb +$ sudo dpkg -i opae-tools-.x86_64.deb +$ sudo dpkg -i opae-tools-extra-.x86_64.deb +$ sudo dpkg -i opae-devel-.x86_64.deb +$ sudo dpkg -i opae-ase-.x86_64.deb +``` + +```eval_rst +.. note: + If you want to install all the packages, you can also do: + $ sudo dpkg -i opae-*.deb +``` +To uninstall: + +```console +$ sudo dpkg -r opae-libs +$ sudo dpkg -r opae-tools +$ sudo dpkg -r opae-tools-extra +$ sudo dpkg -r opae-devel +$ sudo dpkg -r opae-ase +``` + +## FPGA Device Access Permissions ## + +Access to FPGA accelerators and devices is controlled using file access permissions on the +Intel® FPGA device files, `/dev/intel-fpga-fme.*` and `/dev/intel-fpga-port.*`, as well as to the files reachable through `/sys/class/fpga/`. + +In order to allow regular (non-root) users to access accelerators, you need to grant them read and write permissions on `/dev/intel/fpga-port.*` (with `*` denoting the respective socket, i.e. 0 or 1). E.g.: + +```console +$ sudo chmod a+rw /dev/intel-fpga-port.0 +``` + +## Memlock limit ## + +Depending on the requirements of your application, you may also want to +increase the maximum amount of memory a user process is allowed to lock. The +exact way to do this depends on your Linux distribution. + +You can check the current memlock limit using + +```console +$ ulimit -l +``` + +A way to permanently remove the limit for locked memory for a regular user is +to add the following lines to your /etc/security/limits.conf: + +```console +user1 hard memlock unlimited +user1 soft memlock unlimited +``` + +This removes the limit on locked memory for user `user1`. To remove it for +all users, you can replace `user1` with `*`: + +```console +* hard memlock unlimited +* soft memlock unlimited +``` + +Note that settings in the /etc/security/limits.conf file don't apply to +services. To increase the locked memory limit for a service you need to +modify the application's systemd service file and add the line: + +```console +[Service] +LimitMEMLOCK=infinity +``` + +## Hugepage Settings ## + +Users need to configure system hugepage to reserve 2MB-hugepages or +1GB-hugepages. For example, the 'hello\_fpga' sample requires several +2MB-hugepages. And the _fpgadiag_ tool requires several 1GB-hugepages. + +The command below reserves 20 2M-hugepages: + +```console +$ sudo sh -c 'echo 20 > /sys/kernel/mm/hugepages/hugepages-2048kB/nr_hugepages' +``` + +The command below reserves 4 1GB-hugepages: + +```console +$ sudo sh -c 'echo 4 > /sys/kernel/mm/hugepages/hugepages-1048576kB/nr_hugepages' +``` + + +For x86\_64 architecture processors, user can use following command to find out avaiable hugepage sizes: + +```console +$ grep pse /proc/cpuinfo | uniq +flags : ... pse ... +``` + +If this commands returns a non-empty string, 2MB pages are supported. + +```console +$ grep pse /proc/cpuinfo | uniq +flags : ... pdpe1gb ... +``` + +If this commands returns a non-empty string, 1GB pages are supported. diff --git a/external/CMakeLists.txt b/external/CMakeLists.txt new file mode 100644 index 0000000..eee6592 --- /dev/null +++ b/external/CMakeLists.txt @@ -0,0 +1,35 @@ +## Copyright(c) 2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE + +cmake_minimum_required(VERSION 2.8.12) + +if(OPAE_BUILD_LEGACY) + opae_external_project_add(PROJECT_NAME opae-legacy + GIT_URL https://github.com/OPAE/opae-legacy.git + GIT_TAG ${OPAE_LEGACY_TAG} + PRESERVE_REPOS ${OPAE_PRESERVE_REPOS}) + +endif(OPAE_BUILD_LEGACY) diff --git a/external/opae-test/CMakeLists.txt b/external/opae-test/CMakeLists.txt new file mode 100644 index 0000000..e346b78 --- /dev/null +++ b/external/opae-test/CMakeLists.txt @@ -0,0 +1,31 @@ +## Copyright(c) 2017-2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +cmake_minimum_required(VERSION 2.8.12) + +project(opae-test) + +add_subdirectory(framework) diff --git a/external/opae-test/LICENSE b/external/opae-test/LICENSE new file mode 100644 index 0000000..b1e4945 --- /dev/null +++ b/external/opae-test/LICENSE @@ -0,0 +1,29 @@ +BSD 3-Clause License + +Copyright (c) 2020, OPAE +All rights reserved. + +Redistribution and use in source and binary forms, with or without +modification, are permitted provided that the following conditions are met: + +1. Redistributions of source code must retain the above copyright notice, this + list of conditions and the following disclaimer. + +2. Redistributions in binary form must reproduce the above copyright notice, + this list of conditions and the following disclaimer in the documentation + and/or other materials provided with the distribution. + +3. Neither the name of the copyright holder nor the names of its + contributors may be used to endorse or promote products derived from + this software without specific prior written permission. + +THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE +DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE +FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL +DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR +SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER +CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, +OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE +OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. diff --git a/external/opae-test/README.md b/external/opae-test/README.md new file mode 100644 index 0000000..f79d35e --- /dev/null +++ b/external/opae-test/README.md @@ -0,0 +1 @@ +# opae-test \ No newline at end of file diff --git a/external/opae-test/framework/CMakeLists.txt b/external/opae-test/framework/CMakeLists.txt new file mode 100644 index 0000000..f8aa296 --- /dev/null +++ b/external/opae-test/framework/CMakeLists.txt @@ -0,0 +1,124 @@ +## Copyright(c) 2017-2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +cmake_minimum_required(VERSION 2.8.12) + +# projectname is the same as the main-executable +project(framework) + +set(CMAKE_CXX_STANDARD 11) + +# Disable some warnings that fire in system libraries +include(CheckCXXCompilerFlag) +check_cxx_compiler_flag("-Wno-error=frame-address" + CXX_SUPPORTS_NO_ERROR_FRAME_ADDRESS) + +opae_add_shared_library(TARGET test_system + SOURCE mock/test_system.cpp mock/ioctl_handlers.cpp + LIBS fpga_db dl +) + +if (CXX_SUPPORTS_NO_ERROR_FRAME_ADDRESS) + set_target_properties(test_system PROPERTIES COMPILE_FLAGS "-Wno-error=frame-address") +endif() + +target_include_directories(test_system PUBLIC + $ + PRIVATE $ + $ + $) + +opae_add_shared_library(TARGET fpga_db + SOURCE platform/fpga_hw.cpp +) + +opae_test_add_static_lib(TARGET test-fpgad-static + SOURCE + mock/test_fpgad/logging.c + mock/test_fpgad/event_dispatcher_thread.c + mock/test_fpgad/monitor_thread.c + mock/test_fpgad/monitored_device.c + mock/test_fpgad/events_api_thread.c + mock/test_fpgad/opae_events_api.c + mock/test_fpgad/device_monitoring.c + LIBS + opae-c + ${libjson-c_LIBRARIES} +) + +target_include_directories(test-fpgad-static + PRIVATE + ${OPAE_LIBS_ROOT} + ${CMAKE_CURRENT_SOURCE_DIR}/mock/test_fpgad + ${CMAKE_CURRENT_SOURCE_DIR}/mock/test_fpgad/fpgad + ${CMAKE_CURRENT_SOURCE_DIR}/mock/test_fpgad/fpgad/api +) + +opae_add_module_library(TARGET test_fpgad-xfpga + SOURCE mock/test_fpgad/fpgad-xfpga.c + LIBS test-fpgad-static +) + +target_include_directories(test_fpgad-xfpga + PRIVATE + ${CMAKE_CURRENT_SOURCE_DIR}/mock/test_fpgad + ${CMAKE_CURRENT_SOURCE_DIR}/mock/test_fpgad/fpgad + ${CMAKE_CURRENT_SOURCE_DIR}/mock/test_fpgad/fpgad/api +) + +add_dependencies(fpga_db test_fpgad-xfpga) + +if(OPAE_ENABLE_MOCK) + target_compile_definitions(fpga_db PUBLIC -DOPAE_ENABLE_MOCK=1) + + add_custom_command(TARGET fpga_db + POST_BUILD + COMMAND ${CMAKE_COMMAND} -E copy + ${CMAKE_CURRENT_SOURCE_DIR}/mock_sys_tmp-1socket-nlb0.tar.gz + ${CMAKE_BINARY_DIR} + COMMAND ${CMAKE_COMMAND} -E copy + ${CMAKE_CURRENT_SOURCE_DIR}/mock_sys_tmp-1socket-nlb0-vf.tar.gz + ${CMAKE_BINARY_DIR} + COMMAND ${CMAKE_COMMAND} -E copy + ${CMAKE_CURRENT_SOURCE_DIR}/mock_sys_tmp-dcp-rc-nlb3.tar.gz + ${CMAKE_BINARY_DIR} + COMMAND ${CMAKE_COMMAND} -E copy + ${CMAKE_CURRENT_SOURCE_DIR}/mock_sys_tmp-dfl0-nlb0.tar.gz + ${CMAKE_BINARY_DIR} + COMMAND ${CMAKE_COMMAND} -E copy + ${CMAKE_CURRENT_SOURCE_DIR}/mock_sys_tmp-dcp-vc.tar.gz + ${CMAKE_BINARY_DIR} + COMMAND ${CMAKE_COMMAND} -E copy + ${CMAKE_CURRENT_SOURCE_DIR}/mock_sys_tmp-dfl0_patchset2-nlb0.tar.gz + ${CMAKE_BINARY_DIR} + COMMAND ${CMAKE_COMMAND} -E copy + ${CMAKE_CURRENT_SOURCE_DIR}/mock_sys_tmp-dcp-rc-dfl0_patchset2-nlb0.tar.gz + ${CMAKE_BINARY_DIR} + COMMAND ${CMAKE_COMMAND} -E copy + ${CMAKE_CURRENT_SOURCE_DIR}/mock_sys_tmp-dcp-vc-dfl0_patchset2-nlb0.tar.gz + ${CMAKE_BINARY_DIR} + ) +endif(OPAE_ENABLE_MOCK) diff --git a/external/opae-test/framework/mock/c_test_system.h b/external/opae-test/framework/mock/c_test_system.h new file mode 100644 index 0000000..495e1f0 --- /dev/null +++ b/external/opae-test/framework/mock/c_test_system.h @@ -0,0 +1,68 @@ +// Copyright(c) 2017-2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#ifndef _C_TEST_SYSTEM_H +#define _C_TEST_SYSTEM_H + +#include +#include +#include +#include +#ifdef __cplusplus +extern "C" { +#endif + + typedef int (*filter_func)(const struct dirent *); + typedef int (*compare_func)(const struct dirent **, const struct dirent **); + int opae_test_open(const char *path, int flags); + int opae_test_open_create(const char *path, int flags, mode_t mode); + ssize_t opae_test_read(int fd, void *buf, size_t count); + + FILE * opae_test_fopen(const char *path, const char *mode); + + FILE * opae_test_popen(const char *cmd, const char *type); + int opae_test_pclose(FILE *stream); + + int opae_test_close(int fd); + int opae_test_ioctl(int fd, unsigned long request, va_list argp); + + DIR *opae_test_opendir(const char *name); + ssize_t opae_test_readlink(const char *path, char *buf, size_t bufsize); + int opae_test_xstat(int ver, const char *path, struct stat *buf); + int opae_test_lstat(int ver, const char *path, struct stat *buf); + int opae_test_scandir(const char *dirp, struct dirent ***namelist, filter_func filter, compare_func cmp); + + int opae_test_sched_setaffinity(pid_t pid, size_t cpusetsize, const cpu_set_t *mask); + + int opae_test_glob(const char *pattern, int flags, + int (*errfunc) (const char *epath, int eerrno), + glob_t *pglob); + char *opae_test_realpath(const char *inp, char *dst); + +#ifdef __cplusplus +} +#endif + +#endif /* !_TEST_SYSTEM_H */ diff --git a/external/opae-test/framework/mock/fpgad_control.h b/external/opae-test/framework/mock/fpgad_control.h new file mode 100644 index 0000000..dc85c7e --- /dev/null +++ b/external/opae-test/framework/mock/fpgad_control.h @@ -0,0 +1,150 @@ +// Copyright(c) 2019, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#ifndef _FPGAD_CONTROL_H +#define _FPGAD_CONTROL_H + +#include +#include +#include + +#include "gtest/gtest.h" + +#include +#include + +extern "C" { + +#include "opae_int.h" +#include "fpgad/api/logging.h" +#include "fpgad/event_dispatcher_thread.h" +#include "fpgad/monitor_thread.h" +#include "fpgad/events_api_thread.h" + +bool events_api_is_ready(void); +bool monitor_is_ready(void); +bool mon_consider_device(struct fpgad_config *c, + fpga_token token); + +extern fpgad_supported_device default_supported_devices_table[]; + +} + +namespace opae { +namespace testing { + +class fpgad_control { + public: + + void fpgad_start() + { + strcpy(tmpfpgad_log_, "tmpfpgad-XXXXXX.log"); + strcpy(tmpfpgad_pid_, "tmpfpgad-XXXXXX.pid"); + close(mkstemps(tmpfpgad_log_, 4)); + close(mkstemps(tmpfpgad_pid_, 4)); + + memset(&fpgad_config_, 0, sizeof(fpgad_config_)); + fpgad_config_.poll_interval_usec = 100 * 1000; + fpgad_config_.running = true; + fpgad_config_.api_socket = "/tmp/fpga_event_socket"; + strcpy(fpgad_config_.logfile, tmpfpgad_log_); + strcpy(fpgad_config_.pidfile, tmpfpgad_pid_); + fpgad_config_.supported_devices = default_supported_devices_table; + + log_open(tmpfpgad_log_); + + dispatcher_config_.global = &fpgad_config_; + dispatcher_config_.sched_policy = SCHED_RR; + dispatcher_config_.sched_priority = 30; + + dispatcher_thr_ = std::thread(event_dispatcher_thread, + &dispatcher_config_); + while (!evt_dispatcher_is_ready()) { + std::this_thread::sleep_for(std::chrono::milliseconds(1)); + } + + monitor_config_.global = &fpgad_config_; + monitor_config_.sched_policy = SCHED_RR; + monitor_config_.sched_priority = 20; + + monitor_thr_ = std::thread(monitor_thread, &monitor_config_); + while (!monitor_is_ready()) { + std::this_thread::sleep_for(std::chrono::milliseconds(1)); + } + + events_config_.global = &fpgad_config_; + events_config_.sched_policy = SCHED_RR; + events_config_.sched_priority = 10; + + events_thr_ = std::thread(events_api_thread, &events_config_); + while (!events_api_is_ready()) { + std::this_thread::sleep_for(std::chrono::milliseconds(1)); + } + } + + void fpgad_stop() + { + fpgad_config_.running = false; + + events_thr_.join(); + monitor_thr_.join(); + dispatcher_thr_.join(); + + log_close(); + + if (!::testing::Test::HasFatalFailure() && + !::testing::Test::HasNonfatalFailure()) { + unlink(tmpfpgad_log_); + unlink(tmpfpgad_pid_); + } + } + + bool fpgad_watch() + { + return mon_enumerate(&fpgad_config_) == 0; + } + + bool fpgad_watch(fpga_token token) + { + return mon_consider_device(&fpgad_config_, token); + } + + char tmpfpgad_log_[20]; + char tmpfpgad_pid_[20]; + + private: + struct fpgad_config fpgad_config_; + event_dispatcher_thread_config dispatcher_config_; + std::thread dispatcher_thr_; + monitor_thread_config monitor_config_; + std::thread monitor_thr_; + events_api_thread_config events_config_; + std::thread events_thr_; +}; + +} // end of namespace testing +} // end of namespace opae + +#endif /* !_FPGAD_CONTROL_H */ diff --git a/external/opae-test/framework/mock/ioctl_handlers.cpp b/external/opae-test/framework/mock/ioctl_handlers.cpp new file mode 100644 index 0000000..e283d28 --- /dev/null +++ b/external/opae-test/framework/mock/ioctl_handlers.cpp @@ -0,0 +1,106 @@ +// Copyright(c) 2017-2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +/* + * ioctl_handlers.cpp + */ +#include +#include +#include +#include "intel-fpga.h" +#include "fpga-dfl.h" +#include "test_system.h" + +namespace opae { +namespace testing { + +template +static int validate_argp(mock_object* mock, int request, va_list argp) { + UNUSED_PARAM(mock); + UNUSED_PARAM(request); + T* ptr = va_arg(argp, T*); + if (ptr->argsz != sizeof(*ptr)) { + return -1; + } + + return 0; +} + +#define DEFAULT_IOCTL_HANDLER(_REQ, _S) \ + namespace { \ + static bool r##_S __attribute__((unused)) = \ + test_system::instance()->default_ioctl_handler(_REQ, validate_argp<_S>); \ + } + +template <> +int validate_argp(mock_object* mock, int request, va_list argp) { + UNUSED_PARAM(mock); + UNUSED_PARAM(request); + fpga_port_uafu_irq_set* ptr = va_arg(argp, fpga_port_uafu_irq_set*); + if (ptr->argsz != sizeof(*ptr)+(ptr->count*sizeof(int32_t))) { + return -1; + } + + return 0; +} + + +// FPGA DEVICE +DEFAULT_IOCTL_HANDLER(FPGA_FME_PORT_RELEASE, fpga_fme_port_release); +DEFAULT_IOCTL_HANDLER(FPGA_FME_PORT_PR, fpga_fme_port_pr); +DEFAULT_IOCTL_HANDLER(FPGA_FME_PORT_ASSIGN, fpga_fme_port_assign); +DEFAULT_IOCTL_HANDLER(FPGA_FME_GET_INFO, fpga_fme_info); +DEFAULT_IOCTL_HANDLER(FPGA_FME_ERR_SET_IRQ, fpga_fme_err_irq_set); + +// FPGA ACCELERATOR +DEFAULT_IOCTL_HANDLER(FPGA_PORT_DMA_MAP, fpga_port_dma_map); +DEFAULT_IOCTL_HANDLER(FPGA_PORT_DMA_UNMAP, fpga_port_dma_unmap); +// DEFAULT_IOCTL_HANDLER(FPGA_PORT_RESET); +DEFAULT_IOCTL_HANDLER(FPGA_PORT_GET_REGION_INFO, fpga_port_region_info); +DEFAULT_IOCTL_HANDLER(FPGA_PORT_GET_INFO, fpga_port_info); +DEFAULT_IOCTL_HANDLER(FPGA_PORT_ERR_SET_IRQ, fpga_port_err_irq_set); +DEFAULT_IOCTL_HANDLER(FPGA_PORT_UAFU_SET_IRQ, fpga_port_uafu_irq_set); +DEFAULT_IOCTL_HANDLER(FPGA_PORT_UMSG_SET_MODE, fpga_port_umsg_cfg); +DEFAULT_IOCTL_HANDLER(FPGA_PORT_UMSG_SET_BASE_ADDR, fpga_port_umsg_base_addr); +// DEFAULT_IOCTL_HANDLER(FPGA_PORT_UMSG_ENABLE); +// DEFAULT_IOCTL_HANDLER(FPGA_PORT_UMSG_DISABLE); + +// fpga upstream driver ioctl + +// FPGA DEVICE +//DEFAULT_IOCTL_HANDLER(DFL_FPGA_FME_PORT_RELEASE, dfl_fpga_fme_port_release); +DEFAULT_IOCTL_HANDLER(DFL_FPGA_FME_PORT_PR, dfl_fpga_fme_port_pr); +//DEFAULT_IOCTL_HANDLER(DFL_FPGA_FME_PORT_ASSIGN, dfl_fpga_fme_port_assign); + + +// FPGA ACCELERATOR +DEFAULT_IOCTL_HANDLER(DFL_FPGA_PORT_DMA_MAP, dfl_fpga_port_dma_map); +DEFAULT_IOCTL_HANDLER(DFL_FPGA_PORT_DMA_UNMAP, dfl_fpga_port_dma_unmap); + +DEFAULT_IOCTL_HANDLER(DFL_FPGA_PORT_GET_REGION_INFO, dfl_fpga_port_region_info); +DEFAULT_IOCTL_HANDLER(DFL_FPGA_PORT_GET_INFO, dfl_fpga_port_info); + +} // end of namespace testing +} // end of namespace opae diff --git a/external/opae-test/framework/mock/mock.c b/external/opae-test/framework/mock/mock.c new file mode 100644 index 0000000..cf1971b --- /dev/null +++ b/external/opae-test/framework/mock/mock.c @@ -0,0 +1,114 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +/* + * Mock up driver interactions that call into test_system API for testing + * + * Involves redefining ioctl(), open(), close(), others? + */ + +#include +#include +#include +#include +#include +#include +#include +#include "c_test_system.h" + +int ioctl(int fd, unsigned long request, ...) { + va_list argp; + va_start(argp, request); + int res = opae_test_ioctl(fd, request, argp); + va_end(argp); + return res; +} + +int open(const char *path, int flags, ...) { + int fd = -1; + if (flags & O_CREAT) { + va_list argp; + va_start(argp, flags); + mode_t arg = va_arg(argp, mode_t); + fd = opae_test_open_create(path, flags, arg); + va_end(argp); + } else { + fd = opae_test_open(path, flags); + } + return fd; +} + +ssize_t read(int fd, void *buf, size_t count) { + return opae_test_read(fd, buf, count); +} + +FILE * fopen(const char *path, const char *mode) { + return opae_test_fopen(path, mode); +} + +FILE * popen(const char *cmd, const char *type) { + return opae_test_popen(cmd, type); +} + +int pclose(FILE *stream) { + return opae_test_pclose(stream); +} + +int close(int fd) { return opae_test_close(fd); } + +DIR *opendir(const char *name) { return opae_test_opendir(name); } + +ssize_t readlink(const char *pathname, char *buf, size_t bufsiz) { + return opae_test_readlink(pathname, buf, bufsiz); +} + +int __xstat(int ver, const char *pathname, struct stat *buf) { + return opae_test_xstat(ver, pathname, buf); +} + +int __lxstat(int ver, const char *pathname, struct stat *buf) { + return opae_test_xstat(ver, pathname, buf); +} + +int scandir(const char *__restrict __dir, + struct dirent ***__restrict __namelist, + int (*__selector)(const struct dirent *), + int (*__cmp)(const struct dirent **, const struct dirent **)) { + return opae_test_scandir(__dir, __namelist, __selector, __cmp); +} + +int sched_setaffinity(pid_t pid, size_t cpusetsize, const cpu_set_t *mask) { + return opae_test_sched_setaffinity(pid, cpusetsize, mask); +} + +int glob(const char *pattern, int flags, + int (*errfunc)(const char *epath, int eerrno), glob_t *pglob) { + return opae_test_glob(pattern, flags, errfunc, pglob); +} + +char *realpath(const char *inp, char *dst) { + return opae_test_realpath(inp, dst); +} diff --git a/external/opae-test/framework/mock/mock_opae.h b/external/opae-test/framework/mock/mock_opae.h new file mode 100644 index 0000000..a9dea3d --- /dev/null +++ b/external/opae-test/framework/mock/mock_opae.h @@ -0,0 +1,94 @@ +// Copyright(c) 2017-2019, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +/* + * mock_opae.h + */ +#pragma once + + + +#include +#include +#include "gtest/gtest.h" +#include "test_system.h" + +namespace opae { +namespace testing { + +extern const char xfpga_[] = "xfpga_"; +extern const char none_[] = ""; + +template +class mock_opae_p : public ::testing::TestWithParam { + protected: + mock_opae_p(): tokens_{ {} }, plugin_prefix_(_P) {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + invalid_device_ = test_device::unknown(); + test_setup(); + } + + virtual void DestroyTokens() { + std::string fn_name = plugin_prefix_ + "fpgaDestroyToken"; + auto fn = reinterpret_cast( + dlsym(nullptr, fn_name.c_str())); + ASSERT_NE(fn, nullptr); + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(fn(&t), FPGA_OK); + t = nullptr; + } + } + } + + virtual void TearDown() override { + DestroyTokens(); + test_teardown(); + EXPECT_EQ(system_->remove_sysfs(), 0) << "error removing tmpsysfs: " + << strerror(errno); + system_->finalize(); + } + + virtual void test_setup() { + } + + virtual void test_teardown() { + } + + std::array tokens_; + test_platform platform_; + test_system *system_; + test_device invalid_device_; + std::string plugin_prefix_; +}; + +} // end of namespace testing +} // end of namespace opae diff --git a/external/opae-test/framework/mock/test_fpgad/device_monitoring.c b/external/opae-test/framework/mock/test_fpgad/device_monitoring.c new file mode 100644 index 0000000..33bdd2c --- /dev/null +++ b/external/opae-test/framework/mock/test_fpgad/device_monitoring.c @@ -0,0 +1,75 @@ +// Copyright(c) 2018-2019, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include "device_monitoring.h" + +#include "logging.h" + +#ifdef LOG +#undef LOG +#endif +#define LOG(format, ...) \ +log_printf("device_monitoring: " format, ##__VA_ARGS__) + +bool mon_has_error_occurred(fpgad_monitored_device *d, void *err) +{ + unsigned i; + for (i = 0 ; i < d->num_error_occurrences ; ++i) { + if (err == d->error_occurrences[i]) + return true; + } + return false; +} + +bool mon_add_device_error(fpgad_monitored_device *d, void *err) +{ + if (d->num_error_occurrences < + (sizeof(d->error_occurrences) / + sizeof(d->error_occurrences[0]))) { + d->error_occurrences[d->num_error_occurrences++] = err; + return true; + } + LOG("exceeded max number of device errors!\n"); + return false; +} + +void mon_remove_device_error(fpgad_monitored_device *d, void *err) +{ + unsigned i; + unsigned j; + unsigned removed = 0; + for (i = j = 0 ; i < d->num_error_occurrences ; ++i) { + if (d->error_occurrences[i] != err) + d->error_occurrences[j++] = d->error_occurrences[i]; + else + ++removed; + } + d->num_error_occurrences -= removed; +} diff --git a/external/opae-test/framework/mock/test_fpgad/event_dispatcher_thread.c b/external/opae-test/framework/mock/test_fpgad/event_dispatcher_thread.c new file mode 100644 index 0000000..cb56428 --- /dev/null +++ b/external/opae-test/framework/mock/test_fpgad/event_dispatcher_thread.c @@ -0,0 +1,287 @@ +// Copyright(c) 2018-2019, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include +#include +#include +//#include "event_dispatcher_thread.h" +#include "fpgad/event_dispatcher_thread.h" +#include "fpgad/api/logging.h" + +#ifdef LOG +#undef LOG +#endif +#define LOG(format, ...) \ +log_printf("event_dispatcher_thread: " format, ##__VA_ARGS__) + +struct fpgad_config global_config = { + .poll_interval_usec = 100 * 1000, + .daemon = 0, + .running = 1, + .api_socket = "/tmp/fpga_event_socket", +}; + +event_dispatcher_thread_config event_dispatcher_config = { + .global = &global_config, + .sched_policy = SCHED_RR, + .sched_priority = 30, +}; + +#define EVENT_DISPATCH_QUEUE_DEPTH 512 + +typedef struct _evt_dispatch_queue { + event_dispatch_queue_item q[EVENT_DISPATCH_QUEUE_DEPTH]; + unsigned head; + unsigned tail; + pthread_mutex_t lock; +} evt_dispatch_queue; + +STATIC sem_t evt_dispatch_sem; + +STATIC evt_dispatch_queue normal_queue = { + { { NULL, NULL, NULL }, }, + 0, + 0, + PTHREAD_RECURSIVE_MUTEX_INITIALIZER_NP, +}; + +STATIC evt_dispatch_queue high_priority_queue = { + { { NULL, NULL, NULL }, }, + 0, + 0, + PTHREAD_RECURSIVE_MUTEX_INITIALIZER_NP, +}; + +STATIC void evt_queue_init(evt_dispatch_queue *q) +{ +// memset_s(q->q, sizeof(q->q), 0); + memset(q->q, 0, sizeof(q->q)); + q->head = q->tail = 0; +} + +STATIC void evt_queue_destroy(evt_dispatch_queue *q) +{ + q->head = q->tail = 0; +} + +STATIC volatile bool dispatcher_is_ready = false; + +bool evt_dispatcher_is_ready(void) +{ + return dispatcher_is_ready; +} + +STATIC bool evt_queue_is_full(evt_dispatch_queue *q) +{ + const size_t num = sizeof(q->q) / sizeof(q->q[0]); + + if (q->tail > q->head) { + if ((q->head == 0) && (q->tail == (num - 1))) + return true; + } else if (q->tail < q->head) { + if (q->tail == (q->head - 1)) + return true; + } + return false; +} + +STATIC bool evt_queue_is_empty(evt_dispatch_queue *q) +{ + return q->head == q->tail; +} + +STATIC bool _evt_queue_response(evt_dispatch_queue *q, + fpgad_respond_event_t callback, + fpgad_monitored_device *device, + void *context) +{ + //errno_t res; + int res; + + //opae_mutex_lock(res, &q->lock); + fpgad_mutex_lock(res, &q->lock); + + if (evt_queue_is_full(q)) { + //opae_mutex_unlock(res, &q->lock); + fpgad_mutex_unlock(res, &q->lock); + return false; + } + + q->q[q->tail].callback = callback; + q->q[q->tail].device = device; + q->q[q->tail].context = context; + + q->tail = (q->tail + 1) % EVENT_DISPATCH_QUEUE_DEPTH; + + //opae_mutex_unlock(res, &q->lock); + fpgad_mutex_unlock(res, &q->lock); + + sem_post(&evt_dispatch_sem); + + return true; +} + +STATIC bool _evt_queue_get(evt_dispatch_queue *q, + event_dispatch_queue_item *item) +{ + //errno_t res; + int res; + + //opae_mutex_lock(res, &q->lock); + fpgad_mutex_lock(res, &q->lock); + + if (evt_queue_is_empty(q)) { + //opae_mutex_unlock(res, &q->lock); + fpgad_mutex_unlock(res, &q->lock); + return false; + } + + *item = q->q[q->head]; +// memset_s(&q->q[q->head], +// sizeof(q->q[0]), +// 0); + memset(&q->q[q->head], 0, sizeof(q->q[0])); + q->head = (q->head + 1) % EVENT_DISPATCH_QUEUE_DEPTH; + + //opae_mutex_unlock(res, &q->lock); + fpgad_mutex_unlock(res, &q->lock); + + return true; +} + +bool evt_queue_response(fpgad_respond_event_t callback, + fpgad_monitored_device *device, + void *context) +{ + return _evt_queue_response(&normal_queue, + callback, + device, + context); +} + +bool evt_queue_get(event_dispatch_queue_item *item) +{ + return _evt_queue_get(&normal_queue, item); +} + +bool evt_queue_response_high(fpgad_respond_event_t callback, + fpgad_monitored_device *device, + void *context) +{ + return _evt_queue_response(&high_priority_queue, + callback, + device, + context); +} + +bool evt_queue_get_high(event_dispatch_queue_item *item) +{ + return _evt_queue_get(&high_priority_queue, item); +} + +void *event_dispatcher_thread(void *thread_context) +{ + event_dispatcher_thread_config *c = + (event_dispatcher_thread_config *)thread_context; + struct sched_param sched_param; + int policy = 0; + int res; + struct timespec ts; + + LOG("starting\n"); + + res = pthread_getschedparam(pthread_self(), &policy, &sched_param); + if (res) { + LOG("error getting scheduler params: %s\n", strerror(res)); + } else { + policy = c->sched_policy; + sched_param.sched_priority = c->sched_priority; + + res = pthread_setschedparam(pthread_self(), + policy, + &sched_param); + if (res) { + LOG("error setting scheduler params" + " (got root?): %s\n", strerror(res)); + } + } + + evt_queue_init(&normal_queue); + evt_queue_init(&high_priority_queue); + + if (sem_init(&evt_dispatch_sem, 0, 0)) { + LOG("failed to init queue sem.\n"); + goto out_exit; + } + + dispatcher_is_ready = true; + + while (c->global->running) { + + clock_gettime(CLOCK_REALTIME, &ts); + + ts.tv_nsec += c->global->poll_interval_usec * 1000; + if (ts.tv_nsec > 1000000000) { + ++ts.tv_sec; + ts.tv_nsec -= 1000000000; + } + + res = sem_timedwait(&evt_dispatch_sem, &ts); + + if (!res) { + event_dispatch_queue_item item; + + // Process all high-priority items first + while (evt_queue_get_high(&item)) { + LOG("dispatching (high) for object_id: 0x%" PRIx64 ".\n", + item.device->object_id); + item.callback(item.device, item.context); + } + + if (evt_queue_get(&item)) { + LOG("dispatching for object_id: 0x%" PRIx64 ".\n", + item.device->object_id); + item.callback(item.device, item.context); + } + } + + } + + dispatcher_is_ready = false; + + evt_queue_destroy(&normal_queue); + evt_queue_destroy(&high_priority_queue); + + sem_destroy(&evt_dispatch_sem); + +out_exit: + LOG("exiting\n"); + return NULL; +} diff --git a/external/opae-test/framework/mock/test_fpgad/events_api_thread.c b/external/opae-test/framework/mock/test_fpgad/events_api_thread.c new file mode 100644 index 0000000..93251b5 --- /dev/null +++ b/external/opae-test/framework/mock/test_fpgad/events_api_thread.c @@ -0,0 +1,297 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#ifndef _GNU_SOURCE +#define _GNU_SOURCE +#endif +#ifndef __USE_GNU +#define __USE_GNU +#endif + +#include +#include +#include +#include +#include "events_api_thread.h" +#include "api/opae_events_api.h" + +#include "logging.h" + +#ifdef LOG +#undef LOG +#endif +#define LOG(format, ...) \ +log_printf("events_api_thread: " format, ##__VA_ARGS__) + +events_api_thread_config events_api_config = { + .global = &global_config, + .sched_policy = SCHED_RR, + .sched_priority = 10, +}; + +#define MAX_CLIENT_CONNECTIONS 1023 +#define SRV_SOCKET 0 +#define FIRST_CLIENT_SOCKET 1 + +/* array keeping track of all connection file descriptors (plus server socket) */ +STATIC struct pollfd pollfds[MAX_CLIENT_CONNECTIONS+1]; +STATIC nfds_t num_fds = 1; + +STATIC void remove_client(int conn_socket) +{ + nfds_t i, j; + nfds_t removed = 0; + + opae_api_unregister_all_events_for(conn_socket); + LOG("closing connection conn_socket=%d.\n", conn_socket); + close(conn_socket); + + for (i = j = FIRST_CLIENT_SOCKET ; i < num_fds ; ++i) { + if (conn_socket != pollfds[i].fd) { + if (j != i) + pollfds[j] = pollfds[i]; + ++j; + } else { + ++removed; + } + } + + num_fds -= removed; +} + +STATIC int handle_message(int conn_socket) +{ + struct msghdr mh; + struct cmsghdr *cmh; + struct iovec iov[1]; + struct event_request req; + char buf[CMSG_SPACE(sizeof(int))]; + ssize_t n; + int *fd_ptr; + + /* set up ancillary data message header */ + iov[0].iov_base = &req; + iov[0].iov_len = sizeof(req); + //memset_s(buf, sizeof(buf), 0); + memset(buf, 0, sizeof(buf)); + mh.msg_name = NULL; + mh.msg_namelen = 0; + mh.msg_iov = iov; + mh.msg_iovlen = sizeof(iov) / sizeof(iov[0]); + mh.msg_control = buf; + mh.msg_controllen = CMSG_LEN(sizeof(int)); + mh.msg_flags = 0; + cmh = CMSG_FIRSTHDR(&mh); + cmh->cmsg_len = CMSG_LEN(sizeof(int)); + cmh->cmsg_level = SOL_SOCKET; + cmh->cmsg_type = SCM_RIGHTS; + + n = recvmsg(conn_socket, &mh, 0); + if (n < 0) { + LOG("recvmsg() failed: %s\n", strerror(errno)); + return (int)n; + } + + if (!n) { // socket closed by peer + remove_client(conn_socket); + return (int)n; + } + + switch (req.type) { + + case REGISTER_EVENT: + fd_ptr = (int *)CMSG_DATA(cmh); + + if (opae_api_register_event(conn_socket, *fd_ptr, + req.event, req.object_id)) { + LOG("failed to register event\n"); + return -1; + } + + LOG("registered event sock=%d:fd=%d" + "(event=%d object_id=0x%" PRIx64 ")\n", + conn_socket, *fd_ptr, req.event, req.object_id); + + break; + + case UNREGISTER_EVENT: + + if (opae_api_unregister_event(conn_socket, + req.event, + req.object_id)) { + LOG("failed to unregister event\n"); + return -1; + } + + LOG("unregistered event sock=%d:" + "(event=%d object_id=0x%" PRIx64 ")\n", + conn_socket, req.event, req.object_id); + + break; + + default: + LOG("unknown request type %d\n", req.type); + return -1; + } + + return 0; +} + +STATIC volatile bool evt_api_is_ready = false; + +bool events_api_is_ready(void) +{ + return evt_api_is_ready; +} + +void *events_api_thread(void *thread_context) +{ + events_api_thread_config *c = + (events_api_thread_config *)thread_context; + struct sched_param sched_param; + int policy = 0; + int res; + + nfds_t i; + struct sockaddr_un addr; + int server_socket; + int conn_socket; + size_t len; + + LOG("starting\n"); + + res = pthread_getschedparam(pthread_self(), &policy, &sched_param); + if (res) { + LOG("error getting scheduler params: %s\n", strerror(res)); + } else { + policy = c->sched_policy; + sched_param.sched_priority = c->sched_priority; + + res = pthread_setschedparam(pthread_self(), + policy, + &sched_param); + if (res) { + LOG("error setting scheduler params" + " (got root?): %s\n", strerror(res)); + } + } + + unlink(c->global->api_socket); + + server_socket = socket(AF_UNIX, SOCK_STREAM, 0); + if (server_socket < 0) { + LOG("failed to create server socket.\n"); + goto out_exit; + } + LOG("created server socket.\n"); + + addr.sun_family = AF_UNIX; + len = strnlen(c->global->api_socket, sizeof(addr.sun_path) - 1); + strncpy(addr.sun_path, c->global->api_socket, len + 1); + + if (bind(server_socket, (struct sockaddr *)&addr, sizeof(addr)) < 0) { + LOG("failed to bind server socket.\n"); + goto out_close_server; + } + LOG("server socket bind success.\n"); + + if (listen(server_socket, 20) < 0) { + LOG("failed to listen on socket.\n"); + goto out_close_server; + } + LOG("listening for connections.\n"); + + evt_api_is_ready = true; + + pollfds[SRV_SOCKET].fd = server_socket; + pollfds[SRV_SOCKET].events = POLLIN | POLLPRI; + num_fds = 1; + + while (c->global->running) { + + res = poll(pollfds, num_fds, 100); + if (res < 0) { + LOG("poll error\n"); + continue; + } + + if (0 == res) // timeout + continue; + + if ((nfds_t)res > num_fds) { // weird + LOG("something bad happened during poll!\n"); + continue; + } + + // handle requests on existing sockets + for (i = FIRST_CLIENT_SOCKET ; i < num_fds ; ++i) { + if (pollfds[i].revents) { + handle_message(pollfds[i].fd); + } + } + + // handle new connection requests + if (pollfds[SRV_SOCKET].revents) { + + if (num_fds == MAX_CLIENT_CONNECTIONS+1) { + LOG("exceeded max connections!\n"); + continue; + } + + conn_socket = accept(server_socket, NULL, NULL); + + if (conn_socket < 0) { + LOG("failed to accept new connection!\n"); + } else { + LOG("accepting connection %d.\n", conn_socket); + + pollfds[num_fds].fd = conn_socket; + pollfds[num_fds].events = POLLIN | POLLPRI; + ++num_fds; + } + + } + + } + + opae_api_unregister_all_events(); + + // close any active client sockets + for (i = FIRST_CLIENT_SOCKET ; i < num_fds ; ++i) { + close(pollfds[i].fd); + } + +out_close_server: + evt_api_is_ready = false; + close(server_socket); +out_exit: + LOG("exiting\n"); + return NULL; +} diff --git a/external/opae-test/framework/mock/test_fpgad/fpgad-xfpga.c b/external/opae-test/framework/mock/test_fpgad/fpgad-xfpga.c new file mode 100644 index 0000000..976dd60 --- /dev/null +++ b/external/opae-test/framework/mock/test_fpgad/fpgad-xfpga.c @@ -0,0 +1,998 @@ +// Copyright(c) 2018-2019, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include "fpgad/api/opae_events_api.h" +#include "fpgad/api/device_monitoring.h" + +#include "logging.h" + +#ifdef LOG +#undef LOG +#endif +#define LOG(format, ...) \ +log_printf("fpgad-xfpga: " format, ##__VA_ARGS__) + +#ifndef UNUSED_PARAM +#define UNUSED_PARAM(x) ((void)x) +#endif // UNUSED_PARAM + +enum fpga_power_state { + FPGAD_NORMAL_PWR = 0, + FPGAD_AP1_STATE, + FPGAD_AP2_STATE, + FPGAD_AP6_STATE +}; + +typedef struct _fpgad_xfpga_AP_context { + const char *sysfs_file; + const char *message; + int low_bit; + int high_bit; +} fpgad_xfpga_AP_context; + +fpgad_xfpga_AP_context fpgad_xfpga_AP_contexts[] = { + { "ap1_event", "AP1 Triggered!", 0, 0 }, + { "ap2_event", "AP2 Triggered!", 0, 0 }, + { "power_state", "Power state changed to", 0, 1 }, +}; + +fpgad_detection_status +fpgad_xfpga_detect_AP1_or_AP2(fpgad_monitored_device *d, + void *context) +{ + fpgad_xfpga_AP_context *c = + (fpgad_xfpga_AP_context *)context; + fpga_object obj = NULL; + fpga_result res; + uint64_t err = 0; + uint64_t mask; + uint64_t value; + int i; + bool detected = false; + + res = fpgaTokenGetObject(d->token, c->sysfs_file, + &obj, 0); + if (res != FPGA_OK) { + LOG("failed to get error object\n"); + return FPGAD_STATUS_NOT_DETECTED; + } + + res = fpgaObjectRead64(obj, &err, 0); + if (res != FPGA_OK) { + LOG("failed to read error object\n"); + fpgaDestroyObject(&obj); + return FPGAD_STATUS_NOT_DETECTED; + } + + fpgaDestroyObject(&obj); + + mask = 0; + for (i = c->low_bit ; i <= c->high_bit ; ++i) + mask |= 1ULL << i; + + value = mask & err; + + if (value != 0 && !mon_has_error_occurred(d, context)) { + detected = mon_add_device_error(d, context); + } + + if (value == 0 && mon_has_error_occurred(d, context)) { + mon_remove_device_error(d, context); + } + + return detected ? FPGAD_STATUS_DETECTED : FPGAD_STATUS_NOT_DETECTED; +} + +void fpgad_xfpga_respond_AP1_or_AP2(fpgad_monitored_device *d, + void *context) +{ + fpgad_xfpga_AP_context *c = + (fpgad_xfpga_AP_context *)context; + + LOG("%s\n", c->message); + + // Signal OPAE events API + opae_api_send_EVENT_POWER_THERMAL(d); +} + +fpgad_detection_status +fpgad_xfpga_detect_PowerStateChange(fpgad_monitored_device *d, + void *context) +{ + fpgad_xfpga_AP_context *c = + (fpgad_xfpga_AP_context *)context; + fpga_object obj = NULL; + fpga_result res; + uint64_t err = 0; + uint64_t mask; + uint64_t value; + int i; + bool detected = false; + + res = fpgaTokenGetObject(d->token, c->sysfs_file, + &obj, 0); + if (res != FPGA_OK) { + LOG("failed to get error object\n"); + return FPGAD_STATUS_NOT_DETECTED; + } + + res = fpgaObjectRead64(obj, &err, 0); + if (res != FPGA_OK) { + LOG("failed to read error object\n"); + fpgaDestroyObject(&obj); + return FPGAD_STATUS_NOT_DETECTED; + } + + fpgaDestroyObject(&obj); + + mask = 0; + for (i = c->low_bit ; i <= c->high_bit ; ++i) + mask |= 1ULL << i; + + value = mask & err; + + if (value != d->scratchpad[0]) { + detected = true; + } + + d->scratchpad[0] = value; + + return detected ? FPGAD_STATUS_DETECTED : FPGAD_STATUS_NOT_DETECTED; +} + +void fpgad_xfpga_respond_PowerStateChange(fpgad_monitored_device *d, + void *context) +{ + const char *power_states[] = { + "Normal Power", + "AP1 Power State", + "AP2 Power State", + "AP6 Power State" + }; + + fpgad_xfpga_AP_context *c = + (fpgad_xfpga_AP_context *)context; + + LOG("%s %s\n", c->message, + d->scratchpad[0] < 4 ? + power_states[d->scratchpad[0]] : + "unknown"); +} + +typedef struct _fpgad_xfpga_Error_context { + const char *sysfs_file; + const char *message; + int low_bit; + int high_bit; +} fpgad_xfpga_Error_context; + +fpgad_xfpga_Error_context fpgad_xfpga_Error_contexts[] = { + /* 0 */ { "errors/errors", "PORT_ERROR[0x1010].VfFlrAccessError", 51, 51 }, + /* 1 */ { "errors/errors", "PORT_ERROR[0x1010].Ap6Event", 50, 50 }, /* AP6 NULL GBS */ + /* 2 */ { "errors/errors", "PORT_ERROR[0x1010].PMRError", 49, 49 }, + /* 3 */ { "errors/errors", "PORT_ERROR[0x1010].PageFault", 48, 48 }, + /* 4 */ { "errors/errors", "PORT_ERROR[0x1010].VgaMemRangeError", 47, 47 }, + /* 5 */ { "errors/errors", "PORT_ERROR[0x1010].LegRangeHighError", 46, 46 }, + /* 6 */ { "errors/errors", "PORT_ERROR[0x1010].LegRangeLowError", 45, 45 }, + /* 7 */ { "errors/errors", "PORT_ERROR[0x1010].GenProtRangeError", 44, 44 }, + /* 8 */ { "errors/errors", "PORT_ERROR[0x1010].L1prMesegError", 43, 43 }, + /* 9 */ { "errors/errors", "PORT_ERROR[0x1010].L1prSmrr2Error", 42, 42 }, + /* 10 */ { "errors/errors", "PORT_ERROR[0x1010].L1prSmrrError", 41, 41 }, + /* 11 */ { "errors/errors", "PORT_ERROR[0x1010].TxReqCounterOverflow", 40, 40 }, + /* 12 */ { "errors/errors", "PORT_ERROR[0x1010].UnexpMMIOResp", 34, 34 }, + /* 13 */ { "errors/errors", "PORT_ERROR[0x1010].TxCh2FifoOverflow", 33, 33 }, + /* 14 */ { "errors/errors", "PORT_ERROR[0x1010].MMIOTimedOut", 32, 32 }, + /* 15 */ { "errors/errors", "PORT_ERROR[0x1010].TxCh1NonZeroSOP", 24, 24 }, + /* 16 */ { "errors/errors", "PORT_ERROR[0x1010].TxCh1IncorrectAddr", 23, 23 }, + /* 17 */ { "errors/errors", "PORT_ERROR[0x1010].TxCh1DataPayloadOverrun", 22, 22 }, + /* 18 */ { "errors/errors", "PORT_ERROR[0x1010].TxCh1InsufficientData", 21, 21 }, + /* 19 */ { "errors/errors", "PORT_ERROR[0x1010].TxCh1Len4NotAligned", 20, 20 }, + /* 20 */ { "errors/errors", "PORT_ERROR[0x1010].TxCh1Len2NotAligned", 19, 19 }, + /* 21 */ { "errors/errors", "PORT_ERROR[0x1010].TxCh1Len3NotSupported", 18, 18 }, + /* 22 */ { "errors/errors", "PORT_ERROR[0x1010].TxCh1InvalidReqEncoding", 17, 17 }, + /* 23 */ { "errors/errors", "PORT_ERROR[0x1010].TxCh1Overflow", 16, 16 }, + /* 24 */ { "errors/errors", "PORT_ERROR[0x1010].MMIOWrWhileRst", 10, 10 }, + /* 25 */ { "errors/errors", "PORT_ERROR[0x1010].MMIORdWhileRst", 9, 9 }, + /* 26 */ { "errors/errors", "PORT_ERROR[0x1010].TxCh0Len4NotAligned", 4, 4 }, + /* 27 */ { "errors/errors", "PORT_ERROR[0x1010].TxCh0Len2NotAligned", 3, 3 }, + /* 28 */ { "errors/errors", "PORT_ERROR[0x1010].TxCh0Len3NotSupported", 2, 2 }, + /* 29 */ { "errors/errors", "PORT_ERROR[0x1010].TxCh0InvalidReqEncoding", 1, 1 }, + /* 30 */ { "errors/errors", "PORT_ERROR[0x1010].TxCh0Overflow", 0, 0 }, + + /* 31 */ { "errors/first_error", "PORT_FIRST_ERROR[0x1018].TxReqCounterOverflow", 40, 40 }, + /* 32 */ { "errors/first_error", "PORT_FIRST_ERROR[0x1018].TxCh2FifoOverflow", 33, 33 }, + /* 33 */ { "errors/first_error", "PORT_FIRST_ERROR[0x1018].MMIOTimedOut", 32, 32 }, + /* 34 */ { "errors/first_error", "PORT_FIRST_ERROR[0x1018].TxCh1IllegalVCsel", 25, 25 }, + /* 35 */ { "errors/first_error", "PORT_FIRST_ERROR[0x1018].TxCh1NonZeroSOP", 24, 24 }, + /* 36 */ { "errors/first_error", "PORT_FIRST_ERROR[0x1018].TxCh1IncorrectAddr", 23, 23 }, + /* 37 */ { "errors/first_error", "PORT_FIRST_ERROR[0x1018].TxCh1DataPayloadOverrun", 22, 22 }, + /* 38 */ { "errors/first_error", "PORT_FIRST_ERROR[0x1018].TxCh1InsufficientData", 21, 21 }, + /* 39 */ { "errors/first_error", "PORT_FIRST_ERROR[0x1018].TxCh1Len4NotAligned", 20, 20 }, + /* 40 */ { "errors/first_error", "PORT_FIRST_ERROR[0x1018].TxCh1Len2NotAligned", 19, 19 }, + /* 41 */ { "errors/first_error", "PORT_FIRST_ERROR[0x1018].TxCh1Len3NotSupported", 18, 18 }, + /* 42 */ { "errors/first_error", "PORT_FIRST_ERROR[0x1018].TxCh1InvalidReqEncoding", 17, 17 }, + /* 43 */ { "errors/first_error", "PORT_FIRST_ERROR[0x1018].TxCh1Overflow", 16, 16 }, + /* 44 */ { "errors/first_error", "PORT_FIRST_ERROR[0x1018].MMIOWrWhileRst", 10, 10 }, + /* 45 */ { "errors/first_error", "PORT_FIRST_ERROR[0x1018].MMIORdWhileRst", 9, 9 }, + /* 46 */ { "errors/first_error", "PORT_FIRST_ERROR[0x1018].TxCh0Len4NotAligned", 4, 4 }, + /* 47 */ { "errors/first_error", "PORT_FIRST_ERROR[0x1018].TxCh0Len2NotAligned", 3, 3 }, + /* 48 */ { "errors/first_error", "PORT_FIRST_ERROR[0x1018].TxCh0Len3NotSupported", 2, 2 }, + /* 49 */ { "errors/first_error", "PORT_FIRST_ERROR[0x1018].TxCh0InvalidReqEncoding", 1, 1 }, + /* 50 */ { "errors/first_error", "PORT_FIRST_ERROR[0x1018].TxCh0Overflow", 0, 0 }, + + /* 51 */ { "errors/fme-errors/errors", "FME_ERROR0[0x4010].CvlCdcParErro0", 17, 19 }, + /* 52 */ { "errors/fme-errors/errors", "FME_ERROR0[0x4010].Pcie1CdcParErr", 12, 16 }, + /* 53 */ { "errors/fme-errors/errors", "FME_ERROR0[0x4010].Pcie0CdcParErr", 7, 11 }, + /* 54 */ { "errors/fme-errors/errors", "FME_ERROR0[0x4010].MBPErr", 6, 6 }, + /* 55 */ { "errors/fme-errors/errors", "FME_ERROR0[0x4010].AfuAccessModeErr", 5, 5 }, + /* 56 */ { "errors/fme-errors/errors", "FME_ERROR0[0x4010].IommuParityErr", 4, 4 }, + /* 57 */ { "errors/fme-errors/errors", "FME_ERROR0[0x4010].KtiCdcParityErr", 2, 3 }, + /* 58 */ { "errors/fme-errors/errors", "FME_ERROR0[0x4010].FabricFifoUOflow", 1, 1 }, + /* 59 */ { "errors/fme-errors/errors", "FME_ERROR0[0x4010].FabricErr", 0, 0 }, + + /* 60 */ { "errors/pcie0_errors", "PCIE0_ERROR[0x4020].FunctTypeErr", 63, 63 }, + /* 61 */ { "errors/pcie0_errors", "PCIE0_ERROR[0x4020].VFNumb", 62, 62 }, + /* 62 */ { "errors/pcie0_errors", "PCIE0_ERROR[0x4020].RxPoisonTlpErr", 9, 9 }, + /* 63 */ { "errors/pcie0_errors", "PCIE0_ERROR[0x4020].ParityErr", 8, 8 }, + /* 64 */ { "errors/pcie0_errors", "PCIE0_ERROR[0x4020].CompTimeOutErr", 7, 7 }, + /* 65 */ { "errors/pcie0_errors", "PCIE0_ERROR[0x4020].CompStatErr", 6, 6 }, + /* 66 */ { "errors/pcie0_errors", "PCIE0_ERROR[0x4020].CompTagErr", 5, 5 }, + /* 67 */ { "errors/pcie0_errors", "PCIE0_ERROR[0x4020].MRLengthErr", 4, 4 }, + /* 68 */ { "errors/pcie0_errors", "PCIE0_ERROR[0x4020].MRAddrErr", 3, 3 }, + /* 69 */ { "errors/pcie0_errors", "PCIE0_ERROR[0x4020].MWLengthErr", 2, 2 }, + /* 70 */ { "errors/pcie0_errors", "PCIE0_ERROR[0x4020].MWAddrErr", 1, 1 }, + /* 71 */ { "errors/pcie0_errors", "PCIE0_ERROR[0x4020].FormatTypeErr", 0, 0 }, + + /* 72 */ { "errors/pcie1_errors", "PCIE1_ERROR[0x4030].RxPoisonTlpErr", 9, 9 }, + /* 73 */ { "errors/pcie1_errors", "PCIE1_ERROR[0x4030].ParityErr", 8, 8 }, + /* 74 */ { "errors/pcie1_errors", "PCIE1_ERROR[0x4030].CompTimeOutErr", 7, 7 }, + /* 75 */ { "errors/pcie1_errors", "PCIE1_ERROR[0x4030].CompStatErr", 6, 6 }, + /* 76 */ { "errors/pcie1_errors", "PCIE1_ERROR[0x4030].CompTagErr", 5, 5 }, + /* 77 */ { "errors/pcie1_errors", "PCIE1_ERROR[0x4030].MRLengthErr", 4, 4 }, + /* 78 */ { "errors/pcie1_errors", "PCIE1_ERROR[0x4030].MRAddrErr", 3, 3 }, + /* 79 */ { "errors/pcie1_errors", "PCIE1_ERROR[0x4030].MWLengthErr", 2, 2 }, + /* 80 */ { "errors/pcie1_errors", "PCIE1_ERROR[0x4030].MWAddrErr", 1, 1 }, + /* 81 */ { "errors/pcie1_errors", "PCIE1_ERROR[0x4030].FormatTypeErr", 0, 0 }, + + /* 82 */ { "errors/nonfatal_errors", "RAS_NOFAT_ERR_STAT[0x4050].MBPErr", 12, 12 }, + /* 83 */ { "errors/nonfatal_errors", "RAS_NOFAT_ERR_STAT[0x4050].PowerThreshAP2", 11, 11 }, + /* 84 */ { "errors/nonfatal_errors", "RAS_NOFAT_ERR_STAT[0x4050].PowerThreshAP1", 10, 10 }, + /* 85 */ { "errors/nonfatal_errors", "RAS_NOFAT_ERR_STAT[0x4050].TempThreshAP6", 9, 9 }, /* AP6 */ + /* 86 */ { "errors/nonfatal_errors", "RAS_NOFAT_ERR_STAT[0x4050].InjectedWarningErr", 6, 6 }, + /* 87 */ { "errors/nonfatal_errors", "RAS_NOFAT_ERR_STAT[0x4050].AfuAccessModeErr", 5, 5 }, + /* 88 */ { "errors/nonfatal_errors", "RAS_NOFAT_ERR_STAT[0x4050].ProcHot", 4, 4 }, + /* 89 */ { "errors/nonfatal_errors", "RAS_NOFAT_ERR_STAT[0x4050].PortFatalErr", 3, 3 }, + /* 90 */ { "errors/nonfatal_errors", "RAS_NOFAT_ERR_STAT[0x4050].PcieError", 2, 2 }, + /* 91 */ { "errors/nonfatal_errors", "RAS_NOFAT_ERR_STAT[0x4050].TempThreshAP2", 1, 1 }, + /* 92 */ { "errors/nonfatal_errors", "RAS_NOFAT_ERR_STAT[0x4050].TempThreshAP1", 0, 0 }, + + /* 93 */ { "errors/catfatal_errors", "RAS_CATFAT_ERROR_STAT[0x4060].InjectedCatastErr", 11, 11 }, + /* 94 */ { "errors/catfatal_errors", "RAS_CATFAT_ERROR_STAT[0x4060].ThermCatastErr", 10, 10 }, + /* 95 */ { "errors/catfatal_errors", "RAS_CATFAT_ERROR_STAT[0x4060].CrcCatastErr", 9, 9 }, + /* 96 */ { "errors/catfatal_errors", "RAS_CATFAT_ERROR_STAT[0x4060].InjectedFatalErr", 8, 8 }, + /* 97 */ { "errors/catfatal_errors", "RAS_CATFAT_ERROR_STAT[0x4060].PciePoisonErr", 7, 7 }, + /* 98 */ { "errors/catfatal_errors", "RAS_CATFAT_ERROR_STAT[0x4060].FabricFatalErr", 6, 6 }, + /* 99 */ { "errors/catfatal_errors", "RAS_CATFAT_ERROR_STAT[0x4060].IommuFatalErr", 5, 5 }, + /*100 */ { "errors/catfatal_errors", "RAS_CATFAT_ERROR_STAT[0x4060].DramFatalErr", 4, 4 }, + /*101 */ { "errors/catfatal_errors", "RAS_CATFAT_ERROR_STAT[0x4060].KtiProtoFatalErr", 3, 3 }, + /*102 */ { "errors/catfatal_errors", "RAS_CATFAT_ERROR_STAT[0x4060].CciFatalErr", 2, 2 }, + /*103 */ { "errors/catfatal_errors", "RAS_CATFAT_ERROR_STAT[0x4060].TagCchFatalErr", 1, 1 }, + /*104 */ { "errors/catfatal_errors", "RAS_CATFAT_ERROR_STAT[0x4060].KtiLinkFatalErr", 0, 0 }, +}; + +fpgad_detection_status +fpgad_xfpga_detect_Error(fpgad_monitored_device *d, + void *context) +{ + fpgad_xfpga_Error_context *c = + (fpgad_xfpga_Error_context *)context; + fpga_object obj = NULL; + fpga_result res; + uint64_t err = 0; + uint64_t mask; + uint64_t value; + int i; + bool detected = false; + + res = fpgaTokenGetObject(d->token, c->sysfs_file, + &obj, 0); + if (res != FPGA_OK) { + LOG("failed to get error object\n"); + return FPGAD_STATUS_NOT_DETECTED; + } + + res = fpgaObjectRead64(obj, &err, 0); + if (res != FPGA_OK) { + LOG("failed to read error object\n"); + fpgaDestroyObject(&obj); + return FPGAD_STATUS_NOT_DETECTED; + } + + fpgaDestroyObject(&obj); + + mask = 0; + for (i = c->low_bit ; i <= c->high_bit ; ++i) + mask |= 1ULL << i; + + value = mask & err; + + if (value != 0 && !mon_has_error_occurred(d, context)) { + detected = mon_add_device_error(d, context); + } + + if (value == 0 && mon_has_error_occurred(d, context)) { + mon_remove_device_error(d, context); + } + + return detected ? FPGAD_STATUS_DETECTED : FPGAD_STATUS_NOT_DETECTED; +} + +fpgad_detection_status +fpgad_xfpga_detect_High_Priority_Error(fpgad_monitored_device *d, + void *context) +{ + fpgad_detection_status status; + + status = fpgad_xfpga_detect_Error(d, context); + + if (status == FPGAD_STATUS_DETECTED) + return FPGAD_STATUS_DETECTED_HIGH; + + return status; +} + +void fpgad_xfpga_respond_LogError(fpgad_monitored_device *d, + void *context) +{ + fpgad_xfpga_Error_context *c = + (fpgad_xfpga_Error_context *)context; + + LOG("%s\n", c->message); + + // signal OPAE events API + opae_api_send_EVENT_ERROR(d); +} + +void fpgad_xfpga_respond_AP6_and_Null_GBS(fpgad_monitored_device *d, + void *context) +{ + fpgad_xfpga_Error_context *c = + (fpgad_xfpga_Error_context *)context; + + LOG("%s\n", c->message); + + // Program NULL GBS + + // d will be the Port device. We need to find the parent + // (FME) device to perform the PR. + + if (d->bitstr) { + fpga_result res; + fpga_properties prop = NULL; + fpga_token fme_tok = NULL; + fpga_handle fme_h = NULL; + const uint32_t slot = 0; + + res = fpgaGetProperties(d->token, &prop); + if (res != FPGA_OK) { + LOG("(AP6) failed to get properties! : %s\n", + fpgaErrStr(res)); + goto out_signal; + } + + res = fpgaPropertiesGetParent(prop, &fme_tok); + if (res != FPGA_OK || !fme_tok) { + LOG("(AP6) failed to get FME token! : %s\n", + fpgaErrStr(res)); + goto out_destroy_props; + } + + res = fpgaOpen(fme_tok, &fme_h, 0); + if (res != FPGA_OK) { + LOG("(AP6) failed to get FME handle! : %s\n", + fpgaErrStr(res)); + goto out_destroy_fme_tok; + } + + LOG("programming \"%s\": ", d->bitstr->filename); + + res = fpgaReconfigureSlot(fme_h, + slot, + d->bitstr->data, + d->bitstr->data_len, + FPGA_RECONF_FORCE); + if (res != FPGA_OK) + LOG("SUCCESS\n"); + else + LOG("FAILED : %s\n", fpgaErrStr(res)); + + fpgaClose(fme_h); +out_destroy_fme_tok: + fpgaDestroyToken(&fme_tok); +out_destroy_props: + fpgaDestroyProperties(&prop); + } else + LOG("no bitstream to program for AP6!\n"); + + // Signal OPAE events API +out_signal: + opae_api_send_EVENT_POWER_THERMAL(d); +} + +void fpgad_xfpga_respond_AP6(fpgad_monitored_device *d, + void *context) +{ + fpgad_xfpga_Error_context *c = + (fpgad_xfpga_Error_context *)context; + + LOG("%s\n", c->message); + + // Signal OPAE events API + opae_api_send_EVENT_POWER_THERMAL(d); +} + +// Port detections +STATIC fpgad_detect_event_t fpgad_xfpga_port_detections[] = { + fpgad_xfpga_detect_AP1_or_AP2, + fpgad_xfpga_detect_AP1_or_AP2, + fpgad_xfpga_detect_PowerStateChange, + + fpgad_xfpga_detect_Error, // 0 + fpgad_xfpga_detect_High_Priority_Error, // 1 (AP6 and NULL GBS) + fpgad_xfpga_detect_Error, // 2 + fpgad_xfpga_detect_Error, // 3 + fpgad_xfpga_detect_Error, // 4 + fpgad_xfpga_detect_Error, // 5 + fpgad_xfpga_detect_Error, // 6 + fpgad_xfpga_detect_Error, // 7 + fpgad_xfpga_detect_Error, // 8 + fpgad_xfpga_detect_Error, // 9 + fpgad_xfpga_detect_Error, // 10 + fpgad_xfpga_detect_Error, // 11 + fpgad_xfpga_detect_Error, // 12 + fpgad_xfpga_detect_Error, // 13 + fpgad_xfpga_detect_Error, // 14 + fpgad_xfpga_detect_Error, // 15 + fpgad_xfpga_detect_Error, // 16 + fpgad_xfpga_detect_Error, // 17 + fpgad_xfpga_detect_Error, // 18 + fpgad_xfpga_detect_Error, // 19 + fpgad_xfpga_detect_Error, // 20 + fpgad_xfpga_detect_Error, // 21 + fpgad_xfpga_detect_Error, // 22 + fpgad_xfpga_detect_Error, // 23 + fpgad_xfpga_detect_Error, // 24 + fpgad_xfpga_detect_Error, // 25 + fpgad_xfpga_detect_Error, // 26 + fpgad_xfpga_detect_Error, // 27 + fpgad_xfpga_detect_Error, // 28 + fpgad_xfpga_detect_Error, // 29 + fpgad_xfpga_detect_Error, // 30 + + fpgad_xfpga_detect_Error, // 31 + fpgad_xfpga_detect_Error, // 32 + fpgad_xfpga_detect_Error, // 33 + fpgad_xfpga_detect_Error, // 34 + fpgad_xfpga_detect_Error, // 35 + fpgad_xfpga_detect_Error, // 36 + fpgad_xfpga_detect_Error, // 37 + fpgad_xfpga_detect_Error, // 38 + fpgad_xfpga_detect_Error, // 39 + fpgad_xfpga_detect_Error, // 40 + fpgad_xfpga_detect_Error, // 41 + fpgad_xfpga_detect_Error, // 42 + fpgad_xfpga_detect_Error, // 43 + fpgad_xfpga_detect_Error, // 44 + fpgad_xfpga_detect_Error, // 45 + fpgad_xfpga_detect_Error, // 46 + fpgad_xfpga_detect_Error, // 47 + fpgad_xfpga_detect_Error, // 48 + fpgad_xfpga_detect_Error, // 49 + fpgad_xfpga_detect_Error, // 50 + + NULL +}; + +STATIC void *fpgad_xfpga_port_detection_contexts[] = { + &fpgad_xfpga_AP_contexts[0], + &fpgad_xfpga_AP_contexts[1], + &fpgad_xfpga_AP_contexts[2], + + &fpgad_xfpga_Error_contexts[0], + &fpgad_xfpga_Error_contexts[1], + &fpgad_xfpga_Error_contexts[2], + &fpgad_xfpga_Error_contexts[3], + &fpgad_xfpga_Error_contexts[4], + &fpgad_xfpga_Error_contexts[5], + &fpgad_xfpga_Error_contexts[6], + &fpgad_xfpga_Error_contexts[7], + &fpgad_xfpga_Error_contexts[8], + &fpgad_xfpga_Error_contexts[9], + &fpgad_xfpga_Error_contexts[10], + &fpgad_xfpga_Error_contexts[11], + &fpgad_xfpga_Error_contexts[12], + &fpgad_xfpga_Error_contexts[13], + &fpgad_xfpga_Error_contexts[14], + &fpgad_xfpga_Error_contexts[15], + &fpgad_xfpga_Error_contexts[16], + &fpgad_xfpga_Error_contexts[17], + &fpgad_xfpga_Error_contexts[18], + &fpgad_xfpga_Error_contexts[19], + &fpgad_xfpga_Error_contexts[20], + &fpgad_xfpga_Error_contexts[21], + &fpgad_xfpga_Error_contexts[22], + &fpgad_xfpga_Error_contexts[23], + &fpgad_xfpga_Error_contexts[24], + &fpgad_xfpga_Error_contexts[25], + &fpgad_xfpga_Error_contexts[26], + &fpgad_xfpga_Error_contexts[27], + &fpgad_xfpga_Error_contexts[28], + &fpgad_xfpga_Error_contexts[29], + &fpgad_xfpga_Error_contexts[30], + + &fpgad_xfpga_Error_contexts[31], + &fpgad_xfpga_Error_contexts[32], + &fpgad_xfpga_Error_contexts[33], + &fpgad_xfpga_Error_contexts[34], + &fpgad_xfpga_Error_contexts[35], + &fpgad_xfpga_Error_contexts[36], + &fpgad_xfpga_Error_contexts[37], + &fpgad_xfpga_Error_contexts[38], + &fpgad_xfpga_Error_contexts[39], + &fpgad_xfpga_Error_contexts[40], + &fpgad_xfpga_Error_contexts[41], + &fpgad_xfpga_Error_contexts[42], + &fpgad_xfpga_Error_contexts[43], + &fpgad_xfpga_Error_contexts[44], + &fpgad_xfpga_Error_contexts[45], + &fpgad_xfpga_Error_contexts[46], + &fpgad_xfpga_Error_contexts[47], + &fpgad_xfpga_Error_contexts[48], + &fpgad_xfpga_Error_contexts[49], + &fpgad_xfpga_Error_contexts[50], + + NULL +}; + +// Port responses +STATIC fpgad_respond_event_t fpgad_xfpga_port_responses[] = { + fpgad_xfpga_respond_AP1_or_AP2, + fpgad_xfpga_respond_AP1_or_AP2, + fpgad_xfpga_respond_PowerStateChange, + + fpgad_xfpga_respond_LogError, // 0 + fpgad_xfpga_respond_AP6_and_Null_GBS, // 1 + fpgad_xfpga_respond_LogError, // 2 + fpgad_xfpga_respond_LogError, // 3 + fpgad_xfpga_respond_LogError, // 4 + fpgad_xfpga_respond_LogError, // 5 + fpgad_xfpga_respond_LogError, // 6 + fpgad_xfpga_respond_LogError, // 7 + fpgad_xfpga_respond_LogError, // 8 + fpgad_xfpga_respond_LogError, // 9 + fpgad_xfpga_respond_LogError, // 10 + fpgad_xfpga_respond_LogError, // 11 + fpgad_xfpga_respond_LogError, // 12 + fpgad_xfpga_respond_LogError, // 13 + fpgad_xfpga_respond_LogError, // 14 + fpgad_xfpga_respond_LogError, // 15 + fpgad_xfpga_respond_LogError, // 16 + fpgad_xfpga_respond_LogError, // 17 + fpgad_xfpga_respond_LogError, // 18 + fpgad_xfpga_respond_LogError, // 19 + fpgad_xfpga_respond_LogError, // 20 + fpgad_xfpga_respond_LogError, // 21 + fpgad_xfpga_respond_LogError, // 22 + fpgad_xfpga_respond_LogError, // 23 + fpgad_xfpga_respond_LogError, // 24 + fpgad_xfpga_respond_LogError, // 25 + fpgad_xfpga_respond_LogError, // 26 + fpgad_xfpga_respond_LogError, // 27 + fpgad_xfpga_respond_LogError, // 28 + fpgad_xfpga_respond_LogError, // 29 + fpgad_xfpga_respond_LogError, // 30 + + fpgad_xfpga_respond_LogError, // 31 + fpgad_xfpga_respond_LogError, // 32 + fpgad_xfpga_respond_LogError, // 33 + fpgad_xfpga_respond_LogError, // 34 + fpgad_xfpga_respond_LogError, // 35 + fpgad_xfpga_respond_LogError, // 36 + fpgad_xfpga_respond_LogError, // 37 + fpgad_xfpga_respond_LogError, // 38 + fpgad_xfpga_respond_LogError, // 39 + fpgad_xfpga_respond_LogError, // 40 + fpgad_xfpga_respond_LogError, // 41 + fpgad_xfpga_respond_LogError, // 42 + fpgad_xfpga_respond_LogError, // 43 + fpgad_xfpga_respond_LogError, // 44 + fpgad_xfpga_respond_LogError, // 45 + fpgad_xfpga_respond_LogError, // 46 + fpgad_xfpga_respond_LogError, // 47 + fpgad_xfpga_respond_LogError, // 48 + fpgad_xfpga_respond_LogError, // 49 + fpgad_xfpga_respond_LogError, // 50 + + NULL +}; + +STATIC void *fpgad_xfpga_port_response_contexts[] = { + &fpgad_xfpga_AP_contexts[0], + &fpgad_xfpga_AP_contexts[1], + &fpgad_xfpga_AP_contexts[2], + + &fpgad_xfpga_Error_contexts[0], + &fpgad_xfpga_Error_contexts[1], + &fpgad_xfpga_Error_contexts[2], + &fpgad_xfpga_Error_contexts[3], + &fpgad_xfpga_Error_contexts[4], + &fpgad_xfpga_Error_contexts[5], + &fpgad_xfpga_Error_contexts[6], + &fpgad_xfpga_Error_contexts[7], + &fpgad_xfpga_Error_contexts[8], + &fpgad_xfpga_Error_contexts[9], + &fpgad_xfpga_Error_contexts[10], + &fpgad_xfpga_Error_contexts[11], + &fpgad_xfpga_Error_contexts[12], + &fpgad_xfpga_Error_contexts[13], + &fpgad_xfpga_Error_contexts[14], + &fpgad_xfpga_Error_contexts[15], + &fpgad_xfpga_Error_contexts[16], + &fpgad_xfpga_Error_contexts[17], + &fpgad_xfpga_Error_contexts[18], + &fpgad_xfpga_Error_contexts[19], + &fpgad_xfpga_Error_contexts[20], + &fpgad_xfpga_Error_contexts[21], + &fpgad_xfpga_Error_contexts[22], + &fpgad_xfpga_Error_contexts[23], + &fpgad_xfpga_Error_contexts[24], + &fpgad_xfpga_Error_contexts[25], + &fpgad_xfpga_Error_contexts[26], + &fpgad_xfpga_Error_contexts[27], + &fpgad_xfpga_Error_contexts[28], + &fpgad_xfpga_Error_contexts[29], + &fpgad_xfpga_Error_contexts[30], + + &fpgad_xfpga_Error_contexts[31], + &fpgad_xfpga_Error_contexts[32], + &fpgad_xfpga_Error_contexts[33], + &fpgad_xfpga_Error_contexts[34], + &fpgad_xfpga_Error_contexts[35], + &fpgad_xfpga_Error_contexts[36], + &fpgad_xfpga_Error_contexts[37], + &fpgad_xfpga_Error_contexts[38], + &fpgad_xfpga_Error_contexts[39], + &fpgad_xfpga_Error_contexts[40], + &fpgad_xfpga_Error_contexts[41], + &fpgad_xfpga_Error_contexts[42], + &fpgad_xfpga_Error_contexts[43], + &fpgad_xfpga_Error_contexts[44], + &fpgad_xfpga_Error_contexts[45], + &fpgad_xfpga_Error_contexts[46], + &fpgad_xfpga_Error_contexts[47], + &fpgad_xfpga_Error_contexts[48], + &fpgad_xfpga_Error_contexts[49], + &fpgad_xfpga_Error_contexts[50], + + NULL +}; + +// FME detections +STATIC fpgad_detect_event_t fpgad_xfpga_fme_detections[] = { + fpgad_xfpga_detect_Error, // 51 + fpgad_xfpga_detect_Error, // 52 + fpgad_xfpga_detect_Error, // 53 + fpgad_xfpga_detect_Error, // 54 + fpgad_xfpga_detect_Error, // 55 + fpgad_xfpga_detect_Error, // 56 + fpgad_xfpga_detect_Error, // 57 + fpgad_xfpga_detect_Error, // 58 + fpgad_xfpga_detect_Error, // 59 + + fpgad_xfpga_detect_Error, // 60 + fpgad_xfpga_detect_Error, // 61 + fpgad_xfpga_detect_Error, // 62 + fpgad_xfpga_detect_Error, // 63 + fpgad_xfpga_detect_Error, // 64 + fpgad_xfpga_detect_Error, // 65 + fpgad_xfpga_detect_Error, // 66 + fpgad_xfpga_detect_Error, // 67 + fpgad_xfpga_detect_Error, // 68 + fpgad_xfpga_detect_Error, // 69 + fpgad_xfpga_detect_Error, // 70 + fpgad_xfpga_detect_Error, // 71 + + fpgad_xfpga_detect_Error, // 72 + fpgad_xfpga_detect_Error, // 73 + fpgad_xfpga_detect_Error, // 74 + fpgad_xfpga_detect_Error, // 75 + fpgad_xfpga_detect_Error, // 76 + fpgad_xfpga_detect_Error, // 77 + fpgad_xfpga_detect_Error, // 78 + fpgad_xfpga_detect_Error, // 79 + fpgad_xfpga_detect_Error, // 80 + fpgad_xfpga_detect_Error, // 81 + + fpgad_xfpga_detect_Error, // 82 + fpgad_xfpga_detect_Error, // 83 + fpgad_xfpga_detect_Error, // 84 + fpgad_xfpga_detect_Error, // 85 + fpgad_xfpga_detect_Error, // 86 + fpgad_xfpga_detect_Error, // 87 + fpgad_xfpga_detect_Error, // 88 + fpgad_xfpga_detect_Error, // 89 + fpgad_xfpga_detect_Error, // 90 + fpgad_xfpga_detect_Error, // 91 + fpgad_xfpga_detect_Error, // 92 + + fpgad_xfpga_detect_Error, // 93 + fpgad_xfpga_detect_Error, // 94 + fpgad_xfpga_detect_Error, // 95 + fpgad_xfpga_detect_Error, // 96 + fpgad_xfpga_detect_Error, // 97 + fpgad_xfpga_detect_Error, // 98 + fpgad_xfpga_detect_Error, // 99 + fpgad_xfpga_detect_Error, //100 + fpgad_xfpga_detect_Error, //101 + fpgad_xfpga_detect_Error, //102 + fpgad_xfpga_detect_Error, //103 + fpgad_xfpga_detect_Error, //104 + + NULL +}; + +STATIC void *fpgad_xfpga_fme_detection_contexts[] = { + &fpgad_xfpga_Error_contexts[51], + &fpgad_xfpga_Error_contexts[52], + &fpgad_xfpga_Error_contexts[53], + &fpgad_xfpga_Error_contexts[54], + &fpgad_xfpga_Error_contexts[55], + &fpgad_xfpga_Error_contexts[56], + &fpgad_xfpga_Error_contexts[57], + &fpgad_xfpga_Error_contexts[58], + &fpgad_xfpga_Error_contexts[59], + + &fpgad_xfpga_Error_contexts[60], + &fpgad_xfpga_Error_contexts[61], + &fpgad_xfpga_Error_contexts[62], + &fpgad_xfpga_Error_contexts[63], + &fpgad_xfpga_Error_contexts[64], + &fpgad_xfpga_Error_contexts[65], + &fpgad_xfpga_Error_contexts[66], + &fpgad_xfpga_Error_contexts[67], + &fpgad_xfpga_Error_contexts[68], + &fpgad_xfpga_Error_contexts[69], + &fpgad_xfpga_Error_contexts[70], + &fpgad_xfpga_Error_contexts[71], + + &fpgad_xfpga_Error_contexts[72], + &fpgad_xfpga_Error_contexts[73], + &fpgad_xfpga_Error_contexts[74], + &fpgad_xfpga_Error_contexts[75], + &fpgad_xfpga_Error_contexts[76], + &fpgad_xfpga_Error_contexts[77], + &fpgad_xfpga_Error_contexts[78], + &fpgad_xfpga_Error_contexts[79], + &fpgad_xfpga_Error_contexts[80], + &fpgad_xfpga_Error_contexts[81], + + &fpgad_xfpga_Error_contexts[82], + &fpgad_xfpga_Error_contexts[83], + &fpgad_xfpga_Error_contexts[84], + &fpgad_xfpga_Error_contexts[85], + &fpgad_xfpga_Error_contexts[86], + &fpgad_xfpga_Error_contexts[87], + &fpgad_xfpga_Error_contexts[88], + &fpgad_xfpga_Error_contexts[89], + &fpgad_xfpga_Error_contexts[90], + &fpgad_xfpga_Error_contexts[91], + &fpgad_xfpga_Error_contexts[92], + + &fpgad_xfpga_Error_contexts[93], + &fpgad_xfpga_Error_contexts[94], + &fpgad_xfpga_Error_contexts[95], + &fpgad_xfpga_Error_contexts[96], + &fpgad_xfpga_Error_contexts[97], + &fpgad_xfpga_Error_contexts[98], + &fpgad_xfpga_Error_contexts[99], + &fpgad_xfpga_Error_contexts[100], + &fpgad_xfpga_Error_contexts[101], + &fpgad_xfpga_Error_contexts[102], + &fpgad_xfpga_Error_contexts[103], + &fpgad_xfpga_Error_contexts[104], + + NULL +}; + +// FME responses +STATIC fpgad_respond_event_t fpgad_xfpga_fme_responses[] = { + fpgad_xfpga_respond_LogError, // 51 + fpgad_xfpga_respond_LogError, // 52 + fpgad_xfpga_respond_LogError, // 53 + fpgad_xfpga_respond_LogError, // 54 + fpgad_xfpga_respond_LogError, // 55 + fpgad_xfpga_respond_LogError, // 56 + fpgad_xfpga_respond_LogError, // 57 + fpgad_xfpga_respond_LogError, // 58 + fpgad_xfpga_respond_LogError, // 59 + + fpgad_xfpga_respond_LogError, // 60 + fpgad_xfpga_respond_LogError, // 61 + fpgad_xfpga_respond_LogError, // 62 + fpgad_xfpga_respond_LogError, // 63 + fpgad_xfpga_respond_LogError, // 64 + fpgad_xfpga_respond_LogError, // 65 + fpgad_xfpga_respond_LogError, // 66 + fpgad_xfpga_respond_LogError, // 67 + fpgad_xfpga_respond_LogError, // 68 + fpgad_xfpga_respond_LogError, // 69 + fpgad_xfpga_respond_LogError, // 70 + fpgad_xfpga_respond_LogError, // 71 + + fpgad_xfpga_respond_LogError, // 72 + fpgad_xfpga_respond_LogError, // 73 + fpgad_xfpga_respond_LogError, // 74 + fpgad_xfpga_respond_LogError, // 75 + fpgad_xfpga_respond_LogError, // 76 + fpgad_xfpga_respond_LogError, // 77 + fpgad_xfpga_respond_LogError, // 78 + fpgad_xfpga_respond_LogError, // 79 + fpgad_xfpga_respond_LogError, // 80 + fpgad_xfpga_respond_LogError, // 81 + + fpgad_xfpga_respond_LogError, // 82 + fpgad_xfpga_respond_LogError, // 83 + fpgad_xfpga_respond_LogError, // 84 + fpgad_xfpga_respond_AP6, // 85 + fpgad_xfpga_respond_LogError, // 86 + fpgad_xfpga_respond_LogError, // 87 + fpgad_xfpga_respond_LogError, // 88 + fpgad_xfpga_respond_LogError, // 89 + fpgad_xfpga_respond_LogError, // 90 + fpgad_xfpga_respond_LogError, // 91 + fpgad_xfpga_respond_LogError, // 92 + + fpgad_xfpga_respond_LogError, // 93 + fpgad_xfpga_respond_LogError, // 94 + fpgad_xfpga_respond_LogError, // 95 + fpgad_xfpga_respond_LogError, // 96 + fpgad_xfpga_respond_LogError, // 97 + fpgad_xfpga_respond_LogError, // 98 + fpgad_xfpga_respond_LogError, // 99 + fpgad_xfpga_respond_LogError, //100 + fpgad_xfpga_respond_LogError, //101 + fpgad_xfpga_respond_LogError, //102 + fpgad_xfpga_respond_LogError, //103 + fpgad_xfpga_respond_LogError, //104 + + NULL +}; + +STATIC void *fpgad_xfpga_fme_response_contexts[] = { + &fpgad_xfpga_Error_contexts[51], + &fpgad_xfpga_Error_contexts[52], + &fpgad_xfpga_Error_contexts[53], + &fpgad_xfpga_Error_contexts[54], + &fpgad_xfpga_Error_contexts[55], + &fpgad_xfpga_Error_contexts[56], + &fpgad_xfpga_Error_contexts[57], + &fpgad_xfpga_Error_contexts[58], + &fpgad_xfpga_Error_contexts[59], + + &fpgad_xfpga_Error_contexts[60], + &fpgad_xfpga_Error_contexts[61], + &fpgad_xfpga_Error_contexts[62], + &fpgad_xfpga_Error_contexts[63], + &fpgad_xfpga_Error_contexts[64], + &fpgad_xfpga_Error_contexts[65], + &fpgad_xfpga_Error_contexts[66], + &fpgad_xfpga_Error_contexts[67], + &fpgad_xfpga_Error_contexts[68], + &fpgad_xfpga_Error_contexts[69], + &fpgad_xfpga_Error_contexts[70], + &fpgad_xfpga_Error_contexts[71], + + &fpgad_xfpga_Error_contexts[72], + &fpgad_xfpga_Error_contexts[73], + &fpgad_xfpga_Error_contexts[74], + &fpgad_xfpga_Error_contexts[75], + &fpgad_xfpga_Error_contexts[76], + &fpgad_xfpga_Error_contexts[77], + &fpgad_xfpga_Error_contexts[78], + &fpgad_xfpga_Error_contexts[79], + &fpgad_xfpga_Error_contexts[80], + &fpgad_xfpga_Error_contexts[81], + + &fpgad_xfpga_Error_contexts[82], + &fpgad_xfpga_Error_contexts[83], + &fpgad_xfpga_Error_contexts[84], + &fpgad_xfpga_Error_contexts[85], + &fpgad_xfpga_Error_contexts[86], + &fpgad_xfpga_Error_contexts[87], + &fpgad_xfpga_Error_contexts[88], + &fpgad_xfpga_Error_contexts[89], + &fpgad_xfpga_Error_contexts[90], + &fpgad_xfpga_Error_contexts[91], + &fpgad_xfpga_Error_contexts[92], + + &fpgad_xfpga_Error_contexts[93], + &fpgad_xfpga_Error_contexts[94], + &fpgad_xfpga_Error_contexts[95], + &fpgad_xfpga_Error_contexts[96], + &fpgad_xfpga_Error_contexts[97], + &fpgad_xfpga_Error_contexts[98], + &fpgad_xfpga_Error_contexts[99], + &fpgad_xfpga_Error_contexts[100], + &fpgad_xfpga_Error_contexts[101], + &fpgad_xfpga_Error_contexts[102], + &fpgad_xfpga_Error_contexts[103], + &fpgad_xfpga_Error_contexts[104], + + NULL +}; + +int fpgad_plugin_configure(fpgad_monitored_device *d, + const char *cfg) +{ + UNUSED_PARAM(cfg); + + LOG("monitoring vid=0x%04x did=0x%04x objid=0x%x (%s)\n", + d->supported->vendor_id, + d->supported->device_id, + d->object_id, + d->object_type == FPGA_ACCELERATOR ? + "accelerator" : "device"); + + d->type = FPGAD_PLUGIN_TYPE_CALLBACK; + + if (d->object_type == FPGA_ACCELERATOR) { + d->detections = fpgad_xfpga_port_detections; + d->detection_contexts = fpgad_xfpga_port_detection_contexts; + d->responses = fpgad_xfpga_port_responses; + d->response_contexts = fpgad_xfpga_port_response_contexts; + } else { + d->detections = fpgad_xfpga_fme_detections; + d->detection_contexts = fpgad_xfpga_fme_detection_contexts; + d->responses = fpgad_xfpga_fme_responses; + d->response_contexts = fpgad_xfpga_fme_response_contexts; + } + + return 0; +} + +void fpgad_plugin_destroy(fpgad_monitored_device *d) +{ + LOG("stop monitoring vid=0x%04x did=0x%04x objid=0x%x (%s)\n", + d->supported->vendor_id, + d->supported->device_id, + d->object_id, + d->object_type == FPGA_ACCELERATOR ? + "accelerator" : "device"); +} diff --git a/external/opae-test/framework/mock/test_fpgad/fpgad/api/device_monitoring.h b/external/opae-test/framework/mock/test_fpgad/fpgad/api/device_monitoring.h new file mode 100644 index 0000000..c782665 --- /dev/null +++ b/external/opae-test/framework/mock/test_fpgad/fpgad/api/device_monitoring.h @@ -0,0 +1,46 @@ +// Copyright(c) 2018-2019, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifndef __FPGAD_API_DEVICE_MONITORING_H__ +#define __FPGAD_API_DEVICE_MONITORING_H__ + +#ifndef __USE_GNU +#define __USE_GNU +#endif +#ifndef _GNU_SOURCE +#define _GNU_SOURCE +#endif + +#include "fpgad/fpgad.h" +#include "fpgad/monitored_device.h" + +bool mon_has_error_occurred(fpgad_monitored_device *d, void *err); + +bool mon_add_device_error(fpgad_monitored_device *d, void *err); + +void mon_remove_device_error(fpgad_monitored_device *d, void *err); + +#endif /* __FPGAD_API_DEVICE_MONITORING_H__ */ diff --git a/external/opae-test/framework/mock/test_fpgad/fpgad/api/logging.h b/external/opae-test/framework/mock/test_fpgad/fpgad/api/logging.h new file mode 100644 index 0000000..b4e95c1 --- /dev/null +++ b/external/opae-test/framework/mock/test_fpgad/fpgad/api/logging.h @@ -0,0 +1,37 @@ +// Copyright(c) 2018-2019, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifndef __FPGAD_API_LOGGING_H__ +#define __FPGAD_API_LOGGING_H__ + +#include "fpgad/fpgad.h" + +int log_open(const char *filename); +int log_printf(const char *fmt, ...); +void log_set(FILE *fptr); +void log_close(void); + +#endif /* __FPGAD_API_LOGGING_H__ */ diff --git a/external/opae-test/framework/mock/test_fpgad/fpgad/api/opae_events_api.h b/external/opae-test/framework/mock/test_fpgad/fpgad/api/opae_events_api.h new file mode 100644 index 0000000..9e165cc --- /dev/null +++ b/external/opae-test/framework/mock/test_fpgad/fpgad/api/opae_events_api.h @@ -0,0 +1,85 @@ +// Copyright(c) 2018-2019, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifndef __FPGAD_API_OPAE_EVENTS_API_H__ +#define __FPGAD_API_OPAE_EVENTS_API_H__ + +#ifndef __USE_GNU +#define __USE_GNU +#endif +#ifndef _GNU_SOURCE +#define _GNU_SOURCE +#endif + +#include + +#include "fpgad/fpgad.h" +#include "fpgad/monitored_device.h" + +enum request_type { + REGISTER_EVENT = 0, + UNREGISTER_EVENT +}; + +struct event_request { + enum request_type type; + fpga_event_type event; + uint64_t object_id; +}; + +typedef struct _api_client_event_registry { + int conn_socket; + int fd; + uint64_t data; + fpga_event_type event; + uint64_t object_id; + struct _api_client_event_registry *next; +} api_client_event_registry; + +// 0 on success +int opae_api_register_event(int conn_socket, + int fd, + fpga_event_type e, + uint64_t object_id); + +// 0 on success +int opae_api_unregister_event(int conn_socket, + fpga_event_type e, + uint64_t object_id); + +void opae_api_unregister_all_events_for(int conn_socket); + +void opae_api_unregister_all_events(void); + +void opae_api_for_each_registered_event(void (*cb)(api_client_event_registry *r, + void *context), + void *context); + +void opae_api_send_EVENT_ERROR(fpgad_monitored_device *d); + +void opae_api_send_EVENT_POWER_THERMAL(fpgad_monitored_device *d); + +#endif /* __FPGAD_API_OPAE_EVENTS_API_H__ */ diff --git a/external/opae-test/framework/mock/test_fpgad/fpgad/api/sysfs.h b/external/opae-test/framework/mock/test_fpgad/fpgad/api/sysfs.h new file mode 100644 index 0000000..f8f3b3d --- /dev/null +++ b/external/opae-test/framework/mock/test_fpgad/fpgad/api/sysfs.h @@ -0,0 +1,45 @@ +// Copyright(c) 2019, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifndef __FPGAD_API_SYSFS_H__ +#define __FPGAD_API_SYSFS_H__ + +#ifndef __USE_GNU +#define __USE_GNU +#endif +#ifndef _GNU_SOURCE +#define _GNU_SOURCE +#endif + +#include +#include + +// 0 on success +int file_write_string(const char *path, const char *str, size_t len); + +char *cstr_dup(const char *s); + +#endif /* __FPGAD_API_SYSFS_H__ */ diff --git a/external/opae-test/framework/mock/test_fpgad/fpgad/event_dispatcher_thread.h b/external/opae-test/framework/mock/test_fpgad/fpgad/event_dispatcher_thread.h new file mode 100644 index 0000000..5fdff07 --- /dev/null +++ b/external/opae-test/framework/mock/test_fpgad/fpgad/event_dispatcher_thread.h @@ -0,0 +1,63 @@ +// Copyright(c) 2018-2019, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifndef __FPGAD_EVENT_DISPATCHER_THREAD_H__ +#define __FPGAD_EVENT_DISPATCHER_THREAD_H__ + +#include "fpgad.h" +#include "monitored_device.h" + +typedef struct _event_dispatcher_thread_config { + struct fpgad_config *global; + int sched_policy; + int sched_priority; +} event_dispatcher_thread_config; + +extern event_dispatcher_thread_config event_dispatcher_config; + +void *event_dispatcher_thread(void *); + +typedef struct _event_dispatch_queue_item { + fpgad_respond_event_t callback; + fpgad_monitored_device *device; + void *context; +} event_dispatch_queue_item; + +bool evt_dispatcher_is_ready(void); + +bool evt_queue_response(fpgad_respond_event_t callback, + fpgad_monitored_device *device, + void *context); + +bool evt_queue_get(event_dispatch_queue_item *item); + +bool evt_queue_response_high(fpgad_respond_event_t callback, + fpgad_monitored_device *device, + void *context); + +bool evt_queue_get_high(event_dispatch_queue_item *item); + +#endif /* __FPGAD_EVENT_DISPATCHER_THREAD_H__ */ diff --git a/external/opae-test/framework/mock/test_fpgad/fpgad/events_api_thread.h b/external/opae-test/framework/mock/test_fpgad/fpgad/events_api_thread.h new file mode 100644 index 0000000..e94eb5b --- /dev/null +++ b/external/opae-test/framework/mock/test_fpgad/fpgad/events_api_thread.h @@ -0,0 +1,43 @@ +// Copyright(c) 2018-2019, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifndef __FPGAD_EVENTS_API_THREAD_H__ +#define __FPGAD_EVENTS_API_THREAD_H__ + +#include "fpgad.h" +//#include + +typedef struct _events_api_thread_config { + struct fpgad_config *global; + int sched_policy; + int sched_priority; +} events_api_thread_config; + +extern events_api_thread_config events_api_config; + +void *events_api_thread(void *); + +#endif /* __FPGAD_EVENTS_API_THREAD_H__ */ diff --git a/external/opae-test/framework/mock/test_fpgad/fpgad/fpgad.h b/external/opae-test/framework/mock/test_fpgad/fpgad/fpgad.h new file mode 100644 index 0000000..de1453e --- /dev/null +++ b/external/opae-test/framework/mock/test_fpgad/fpgad/fpgad.h @@ -0,0 +1,113 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifndef __FPGAD_FPGAD_H__ +#define __FPGAD_FPGAD_H__ + +#ifndef __USE_GNU +#define __USE_GNU +#endif +#ifndef _GNU_SOURCE +#define _GNU_SOURCE +#endif +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#include "libbitstream/bitstream.h" + +//#include "opae_int.h" + +//#include "api/logging.h" +//#include "command_line.h" + +//int daemonize(void (*hndlr)(int, siginfo_t *, void *), +// mode_t mask, +// const char *dir); + +#define fpgad_mutex_lock(__res, __mtx_ptr) \ + ({ \ + (__res) = pthread_mutex_lock(__mtx_ptr); \ + if (__res) \ + LOG("pthread_mutex_lock failed: %s", \ + strerror(errno)); \ + __res; \ + }) + +#define fpgad_mutex_unlock(__res, __mtx_ptr) \ + ({ \ + (__res) = pthread_mutex_unlock(__mtx_ptr); \ + if (__res) \ + LOG("pthread_mutex_unlock failed: %s", \ + strerror(errno)); \ + __res; \ + }) + +struct _fpgad_supported_device; + +struct fpgad_config { + useconds_t poll_interval_usec; + + bool daemon; + char directory[PATH_MAX]; + char logfile[PATH_MAX]; + char pidfile[PATH_MAX]; + char cfgfile[PATH_MAX]; + mode_t filemode; + + bool running; + + const char *api_socket; + +#define MAX_NULL_GBS 32 + opae_bitstream_info null_gbs[MAX_NULL_GBS]; + unsigned num_null_gbs; + + pthread_t bmc_monitor_thr; + pthread_t monitor_thr; + pthread_t event_dispatcher_thr; + pthread_t events_api_thr; + + struct _fpgad_supported_device *supported_devices; +}; + +extern struct fpgad_config global_config; + +fpga_result fpgaDestroyToken(fpga_token *token); + +#endif /* __FPGAD_FPGAD_H__ */ diff --git a/external/opae-test/framework/mock/test_fpgad/fpgad/monitor_thread.h b/external/opae-test/framework/mock/test_fpgad/fpgad/monitor_thread.h new file mode 100644 index 0000000..407e179 --- /dev/null +++ b/external/opae-test/framework/mock/test_fpgad/fpgad/monitor_thread.h @@ -0,0 +1,50 @@ +// Copyright(c) 2018-2019, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifndef __FPGAD_MONITOR_THREAD_H__ +#define __FPGAD_MONITOR_THREAD_H__ + +#include "fpgad.h" +#include "monitored_device.h" + +typedef struct _monitor_thread_config { + struct fpgad_config *global; + int sched_policy; + int sched_priority; +} monitor_thread_config; + +extern monitor_thread_config monitor_config; + +void *monitor_thread(void *); + +// 0 on success +int mon_enumerate(struct fpgad_config *c); + +void mon_destroy(struct fpgad_config *c); + +void mon_monitor_device(fpgad_monitored_device *d); + +#endif /* __FPGAD_MONITOR_THREAD_H__ */ diff --git a/external/opae-test/framework/mock/test_fpgad/fpgad/monitored_device.h b/external/opae-test/framework/mock/test_fpgad/fpgad/monitored_device.h new file mode 100644 index 0000000..c2ef50e --- /dev/null +++ b/external/opae-test/framework/mock/test_fpgad/fpgad/monitored_device.h @@ -0,0 +1,123 @@ +// Copyright(c) 2018-2019, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifndef __FPGAD_MONITORED_DEVICE_H__ +#define __FPGAD_MONITORED_DEVICE_H__ + +#include "fpgad.h" + +typedef struct _fpgad_supported_device { + uint16_t vendor_id; + uint16_t device_id; + const char *library_path; + uint32_t flags; +#define FPGAD_DEV_DETECTED 0x00000001 +#define FPGAD_DEV_LOADED 0x00000002 + void *dl_handle; + const char *config; +} fpgad_supported_device; + +typedef enum _fpgad_plugin_type { + FPGAD_PLUGIN_TYPE_CALLBACK = 1, + FPGAD_PLUGIN_TYPE_THREAD +} fpgad_plugin_type; + +struct _fpgad_monitored_device; + +typedef enum _fpgad_detection_status { + FPGAD_STATUS_NOT_DETECTED = 0, // no detection + FPGAD_STATUS_DETECTED, // detected (normal priority) + FPGAD_STATUS_DETECTED_HIGH // detected (high priority) +} fpgad_detection_status; + +typedef fpgad_detection_status + (*fpgad_detect_event_t)(struct _fpgad_monitored_device *dev, + void *context); +typedef void (*fpgad_respond_event_t)(struct _fpgad_monitored_device *dev, + void *context); + +typedef void * (*fpgad_plugin_thread_t)(void *context); +typedef void (*fpgad_plugin_thread_stop_t)(void); + +typedef struct _fpgad_monitored_device { + struct fpgad_config *config; + fpgad_supported_device *supported; + fpga_token token; + uint64_t object_id; + fpga_objtype object_type; + opae_bitstream_info *bitstr; + + fpgad_plugin_type type; + + // for type FPGAD_PLUGIN_TYPE_CALLBACK { + + // must be NULL-terminated + fpgad_detect_event_t *detections; + void **detection_contexts; + + fpgad_respond_event_t *responses; + void **response_contexts; + + // } + + // for type FPGAD_PLUGIN_TYPE_THREAD { + + fpgad_plugin_thread_t thread_fn; + + // The parameter to thread_fn will be the address + // of this fpgad_monitored_device. Use the + // following member to pass a thread-specific + // context: + + void *thread_context; + + // This routine is called to make the plugin + // thread stop execution in preparation for + // being joined. + fpgad_plugin_thread_stop_t thread_stop_fn; + + pthread_t thread; + + // } + +#define MAX_DEV_ERROR_OCCURRENCES 64 + void *error_occurrences[MAX_DEV_ERROR_OCCURRENCES]; + unsigned num_error_occurrences; + +#define MAX_DEV_SCRATCHPAD 2 + uint64_t scratchpad[MAX_DEV_SCRATCHPAD]; + + struct _fpgad_monitored_device *next; +} fpgad_monitored_device; + +#define FPGAD_PLUGIN_CONFIGURE "fpgad_plugin_configure" +typedef int (*fpgad_plugin_configure_t)(fpgad_monitored_device *d, + const char *cfg); + +#define FPGAD_PLUGIN_DESTROY "fpgad_plugin_destroy" +typedef void (*fpgad_plugin_destroy_t)(fpgad_monitored_device *d); + +#endif /* __FPGAD_MONITORED_DEVICE_H__ */ diff --git a/external/opae-test/framework/mock/test_fpgad/logging.c b/external/opae-test/framework/mock/test_fpgad/logging.c new file mode 100644 index 0000000..16e94aa --- /dev/null +++ b/external/opae-test/framework/mock/test_fpgad/logging.c @@ -0,0 +1,133 @@ +// Copyright(c) 2018-2019, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include +#include "logging.h" + +#ifdef LOG +#undef LOG +#endif +#define LOG(format, ...) \ +log_printf("logging: " format, ##__VA_ARGS__) + +STATIC pthread_mutex_t log_lock = PTHREAD_RECURSIVE_MUTEX_INITIALIZER_NP; +STATIC FILE *log_file; + +#define BUF_TIME_LEN 256 + +int log_open(const char *filename) +{ + int res; + int err; + + fpgad_mutex_lock(err, &log_lock); + + log_file = fopen(filename, "a"); + if (log_file) { + time_t raw; + struct tm tm; + char timebuf[BUF_TIME_LEN]; + size_t len; + + time(&raw); + localtime_r(&raw, &tm); + asctime_r(&tm, timebuf); + + //len = strnlen_s(timebuf, sizeof(timebuf)); + len = strlen(timebuf); + if (len < BUF_TIME_LEN) { + timebuf[len - 1] = '\0'; /* erase \n */ + } else { + printf(" Invalid time stamp buffer size \n"); + fpgad_mutex_unlock(err, &log_lock); + return -1; + } + + res = fprintf(log_file, "----- %s -----\n", timebuf); + fflush(log_file); + } else { + res = -1; + } + + fpgad_mutex_unlock(err, &log_lock); + + return res; +} + +int log_printf(const char *fmt, ...) +{ + va_list l; + int res = -1; + int err; + + va_start(l, fmt); + + fpgad_mutex_lock(err, &log_lock); + + if (log_file) { + res = vfprintf(log_file, fmt, l); + fflush(log_file); + } + + fpgad_mutex_unlock(err, &log_lock); + + va_end(l); + + return res; +} + +void log_set(FILE *fptr) +{ + int err; + + fpgad_mutex_lock(err, &log_lock); + + log_close(); + log_file = fptr; + + fpgad_mutex_unlock(err, &log_lock); +} + +void log_close(void) +{ + int err; + + fpgad_mutex_lock(err, &log_lock); + + if (log_file) { + if (log_file != stdout && + log_file != stderr) { + fclose(log_file); + } + log_file = NULL; + } + + fpgad_mutex_unlock(err, &log_lock); +} diff --git a/external/opae-test/framework/mock/test_fpgad/monitor_thread.c b/external/opae-test/framework/mock/test_fpgad/monitor_thread.c new file mode 100644 index 0000000..adc4eb4 --- /dev/null +++ b/external/opae-test/framework/mock/test_fpgad/monitor_thread.c @@ -0,0 +1,266 @@ +// Copyright(c) 2018-2019, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include +#include "monitored_device.h" +#include "monitor_thread.h" +#include "event_dispatcher_thread.h" + +#include "logging.h" + +#ifdef LOG +#undef LOG +#endif +#define LOG(format, ...) \ +log_printf("monitor_thread: " format, ##__VA_ARGS__) + +monitor_thread_config monitor_config = { + .global = &global_config, + .sched_policy = SCHED_RR, + .sched_priority = 20, +}; + +STATIC pthread_mutex_t mon_list_lock = PTHREAD_RECURSIVE_MUTEX_INITIALIZER_NP; +STATIC fpgad_monitored_device *monitored_device_list; + +STATIC void mon_queue_response(fpgad_detection_status status, + fpgad_respond_event_t response, + fpgad_monitored_device *d, + void *response_context) +{ + if (status == FPGAD_STATUS_DETECTED_HIGH) { + + if (evt_queue_response_high(response, + d, + response_context)) { + pthread_yield(); + } else { + LOG("high priority event queue is full. Dropping!\n"); + } + + } else if (status == FPGAD_STATUS_DETECTED) { + + if (evt_queue_response(response, + d, + response_context)) { + pthread_yield(); + } else { + LOG("event queue is full. Dropping!\n"); + } + + } +} + +STATIC void mon_monitor(fpgad_monitored_device *d) +{ + unsigned i; + + if (!d->detections) + return; + + for (i = 0 ; d->detections[i] ; ++i) { + fpgad_detection_status result; + fpgad_detect_event_t detect = + d->detections[i]; + void *detect_context = + d->detection_contexts ? + d->detection_contexts[i] : NULL; + + result = detect(d, detect_context); + + if (result != FPGAD_STATUS_NOT_DETECTED && d->responses) { + fpgad_respond_event_t response = + d->responses[i]; + void *response_context = + d->response_contexts ? + d->response_contexts[i] : NULL; + + if (response) { + mon_queue_response(result, + response, + d, + response_context); + } + } + } +} + +STATIC volatile bool mon_is_ready = false; + +bool monitor_is_ready(void) +{ + return mon_is_ready; +} + +void *monitor_thread(void *thread_context) +{ + monitor_thread_config *c = (monitor_thread_config *)thread_context; + struct sched_param sched_param; + int policy = 0; + int res; + //errno_t err; + int err; + fpgad_monitored_device *d; + + LOG("starting\n"); + + res = pthread_getschedparam(pthread_self(), &policy, &sched_param); + if (res) { + LOG("error getting scheduler params: %s\n", strerror(res)); + } else { + policy = c->sched_policy; + sched_param.sched_priority = c->sched_priority; + + res = pthread_setschedparam(pthread_self(), + policy, + &sched_param); + if (res) { + LOG("error setting scheduler params" + " (got root?): %s\n", strerror(res)); + } + } + + mon_is_ready = true; + + while (c->global->running) { + fpgad_mutex_lock(err, &mon_list_lock); + + for (d = monitored_device_list ; d ; d = d->next) { + mon_monitor(d); + } + + fpgad_mutex_unlock(err, &mon_list_lock); + + usleep(c->global->poll_interval_usec); + } + + while (evt_dispatcher_is_ready()) { + // Wait for the event dispatcher to complete + // before we destroy the monitored devices. + usleep(c->global->poll_interval_usec); + } + + mon_destroy(c->global); + mon_is_ready = false; + + LOG("exiting\n"); + return NULL; +} + +void mon_monitor_device(fpgad_monitored_device *d) +{ + //errno_t err; + int err; + fpgad_monitored_device *trav; + + fpgad_mutex_lock(err, &mon_list_lock); + + d->next = NULL; + + if (!monitored_device_list) { + monitored_device_list = d; + goto out_unlock; + } + + for (trav = monitored_device_list ; + trav->next ; + trav = trav->next) + /* find the end of the list */ ; + + trav->next = d; + +out_unlock: + fpgad_mutex_unlock(err, &mon_list_lock); +} + +void mon_destroy(struct fpgad_config *c) +{ + unsigned i; + //errno_t err; + int err; + fpgad_monitored_device *d; + + fpgad_mutex_lock(err, &mon_list_lock); + + for (d = monitored_device_list ; d ; ) { + fpgad_monitored_device *trash = d; + fpgad_plugin_destroy_t destroy; + + d = d->next; + + if (trash->type == FPGAD_PLUGIN_TYPE_THREAD) { + + if (trash->thread_stop_fn) { + trash->thread_stop_fn(); + } else { + LOG("Thread plugin \"%s\" has" + " no thread_stop_fn\n", + trash->supported->library_path); + pthread_cancel(trash->thread); + } + + pthread_join(trash->thread, NULL); + } + + destroy = (fpgad_plugin_destroy_t) + dlsym(trash->supported->dl_handle, + FPGAD_PLUGIN_DESTROY); + + if (destroy) { + destroy(trash); + } else { + LOG("warning - no destructor for \"%s\"\n", + trash->supported->library_path); + } + + if (trash->token) + fpgaDestroyToken(&trash->token); + + free(trash); + } + monitored_device_list = NULL; + + if (c->supported_devices) { + + for (i = 0 ; c->supported_devices[i].library_path ; ++i) { + fpgad_supported_device *d = &c->supported_devices[i]; + + if (d->flags & FPGAD_DEV_LOADED) { + dlclose(d->dl_handle); + } + + d->flags = 0; + d->dl_handle = NULL; + } + + } + + fpgad_mutex_unlock(err, &mon_list_lock); +} diff --git a/external/opae-test/framework/mock/test_fpgad/monitored_device.c b/external/opae-test/framework/mock/test_fpgad/monitored_device.c new file mode 100644 index 0000000..e30606f --- /dev/null +++ b/external/opae-test/framework/mock/test_fpgad/monitored_device.c @@ -0,0 +1,391 @@ +// Copyright(c) 2018-2019, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include +#include +#include +#include + +#include + +#include "monitored_device.h" +#include "monitor_thread.h" +#include "api/sysfs.h" + +#include "logging.h" + +#ifdef LOG +#undef LOG +#endif +#define LOG(format, ...) \ +log_printf("monitored_device: " format, ##__VA_ARGS__) + +/* +fpgad_supported_device default_supported_devices_table[] = { + { 0x8086, 0xbcc0, "libfpgad-xfpga.so", 0, NULL, "" }, + { 0x8086, 0xbcc1, "libfpgad-xfpga.so", 0, NULL, "" }, + { 0x8086, 0x0b30, "libfpgad-vc.so", 0, NULL, "" }, + { 0x8086, 0x0b31, "libfpgad-vc.so", 0, NULL, "" }, + { 0, 0, NULL, 0, NULL, "" }, +}; +*/ + +fpgad_supported_device default_supported_devices_table[] = { + { 0x8086, 0xbcc0, "libtest_fpgad-xfpga.so", 0, NULL, "" }, + { 0x8086, 0xbcc1, "libtest_fpgad-xfpga.so", 0, NULL, "" }, + { 0, 0, NULL, 0, NULL, "" }, +}; + +STATIC fpgad_supported_device *mon_is_loaded(struct fpgad_config *c, + const char *library_path) +{ + //errno_t err; + unsigned i; + int res = 0; + + for (i = 0 ; c->supported_devices[i].library_path ; ++i) { + fpgad_supported_device *d = &c->supported_devices[i]; +/* + err = strcmp_s(library_path, PATH_MAX, + d->library_path, &res); + if (err) { + LOG("strcmp_s failed, skipping"); + continue; + } +*/ + res = strncmp(library_path, d->library_path, PATH_MAX); + + if (!res && (d->flags & FPGAD_DEV_LOADED)) + return d; + } + return NULL; +} + +STATIC fpgad_monitored_device * +allocate_monitored_device(struct fpgad_config *config, + fpgad_supported_device *supported, + fpga_token token, + uint64_t object_id, + fpga_objtype object_type, + opae_bitstream_info *bitstr) +{ + fpgad_monitored_device *d; + + d = (fpgad_monitored_device *) calloc( + 1, sizeof(fpgad_monitored_device)); + + if (!d) { + LOG("out of memory"); + return NULL; + } + + d->config = config; + d->supported = supported; + d->token = token; + d->object_id = object_id; + d->object_type = object_type; + d->bitstr = bitstr; + + return d; +} + +STATIC bool mon_consider_device(struct fpgad_config *c, fpga_token token) +{ + unsigned i; + fpga_properties props = NULL; + fpga_token parent = NULL; + fpga_properties parent_props = NULL; + fpga_result res; + uint16_t vendor_id; + uint16_t device_id; + uint64_t object_id; + fpga_objtype object_type; + opae_bitstream_info *bitstr = NULL; + fpga_guid pr_ifc_id; + bool added = false; + + res = fpgaGetProperties(token, &props); + if (res != FPGA_OK) { + LOG("failed to get properties\n"); + return false; + } + + vendor_id = 0; + res = fpgaPropertiesGetVendorID(props, &vendor_id); + if (res != FPGA_OK) { + LOG("failed to get vendor ID\n"); + goto err_out_destroy; + } + + device_id = 0; + res = fpgaPropertiesGetDeviceID(props, &device_id); + if (res != FPGA_OK) { + LOG("failed to get device ID\n"); + goto err_out_destroy; + } + + object_id = 0; + res = fpgaPropertiesGetObjectID(props, &object_id); + if (res != FPGA_OK) { + LOG("failed to get object ID\n"); + goto err_out_destroy; + } + + object_type = FPGA_ACCELERATOR; + res = fpgaPropertiesGetObjectType(props, &object_type); + if (res != FPGA_OK) { + LOG("failed to get object type\n"); + goto err_out_destroy; + } + + // Do we have a NULL GBS from the command line + // that matches this device? + + if (object_type == FPGA_DEVICE) { + // The token's guid is the PR interface ID. + + res = fpgaPropertiesGetGUID(props, &pr_ifc_id); + if (res != FPGA_OK) { + LOG("failed to get PR interface ID\n");\ + goto err_out_destroy; + } + + for (i = 0 ; i < c->num_null_gbs ; ++i) { + if (!uuid_compare(c->null_gbs[i].pr_interface_id, + pr_ifc_id)) { + bitstr = &c->null_gbs[i]; + break; + } + } + } else { + // The parent token's guid is the PR interface ID. + + res = fpgaPropertiesGetParent(props, &parent); + if (res != FPGA_OK) { + LOG("failed to get parent token\n"); + goto err_out_destroy; + } + + res = fpgaGetProperties(parent, &parent_props); + if (res != FPGA_OK) { + LOG("failed to get parent properties\n"); + goto err_out_destroy; + } + + res = fpgaPropertiesGetGUID(parent_props, &pr_ifc_id); + if (res != FPGA_OK) { + LOG("failed to get PR interface ID\n"); + goto err_out_destroy; + } + + fpgaDestroyProperties(&parent_props); + fpgaDestroyToken(&parent); + + for (i = 0 ; i < c->num_null_gbs ; ++i) { + if (!uuid_compare(c->null_gbs[i].pr_interface_id, + pr_ifc_id)) { + bitstr = &c->null_gbs[i]; + break; + } + } + } + + fpgaDestroyProperties(&props); + + for (i = 0 ; c->supported_devices[i].library_path ; ++i) { + fpgad_supported_device *d = &c->supported_devices[i]; + + // Do we support this device? + if (d->vendor_id == vendor_id && + d->device_id == device_id) { + fpgad_supported_device *loaded_by; + fpgad_monitored_device *monitored; + fpgad_plugin_configure_t cfg; + int res; + + d->flags |= FPGAD_DEV_DETECTED; + + // Is the fpgad plugin already loaded? + loaded_by = mon_is_loaded(c, d->library_path); + + if (loaded_by) { + // The two table entries will share the + // same plugin handle (but only loaded_by + // will have FPGAD_DEV_LOADED). + d->dl_handle = loaded_by->dl_handle; + } else { + // Plugin hasn't been loaded. + // Load it now. + d->dl_handle = dlopen(d->library_path, + RTLD_LAZY|RTLD_LOCAL); + if (!d->dl_handle) { + char *err = dlerror(); + LOG("failed to load \"%s\" %s\n", + d->library_path, + err ? err : ""); + continue; + } + + d->flags |= FPGAD_DEV_LOADED; + } + + if (!bitstr) { + LOG("Warning: no NULL GBS for vid=0x%04x " + "did=0x%04x objid=0x%x (%s)\n", + vendor_id, + device_id, + object_id, + object_type == FPGA_ACCELERATOR ? + "accelerator" : "device"); + } + + // Add the device to the monitored list. + monitored = allocate_monitored_device(c, + d, + token, + object_id, + object_type, + bitstr); + if (!monitored) { + LOG("failed to add device 0x%04x:0x%04x\n", + vendor_id, device_id); + continue; + } + + // Success + cfg = (fpgad_plugin_configure_t) + dlsym(d->dl_handle, + FPGAD_PLUGIN_CONFIGURE); + if (!cfg) { + LOG("failed to find %s in \"%s\"\n", + FPGAD_PLUGIN_CONFIGURE, + d->library_path); + free(monitored); + continue; + } + + res = cfg(monitored, d->config); + if (res) { + LOG("%s for \"%s\" returned %d.\n", + FPGAD_PLUGIN_CONFIGURE, + d->library_path, + res); + free(monitored); + continue; + } + + if (monitored->type == FPGAD_PLUGIN_TYPE_THREAD) { + + if (monitored->thread_fn) { + + if (pthread_create(&monitored->thread, + NULL, + monitored->thread_fn, + monitored)) { + LOG("failed to create thread" + " for \"%s\"\n", + d->library_path); + free(monitored); + continue; + } + + } else { + LOG("Thread plugin \"%s\" has no " + "thread_fn\n", d->library_path); + free(monitored); + continue; + } + + } + + mon_monitor_device(monitored); + added = true; + break; + } + } + + return added; + +err_out_destroy: + if (props) + fpgaDestroyProperties(&props); + if (parent) + fpgaDestroyToken(&parent); + if (parent_props) + fpgaDestroyProperties(&parent_props); + return false; +} + +int mon_enumerate(struct fpgad_config *c) +{ + fpga_token *tokens = NULL; + fpga_result res; + uint32_t num_matches = 0; + uint32_t i; + unsigned monitored_devices = 0; + + res = fpgaEnumerate(NULL, 0, NULL, 0, &num_matches); + if (res != FPGA_OK) { + LOG("enumeration failed\n"); + return res; + } + + if (!num_matches) { + res = 1; + return res; + } + + tokens = calloc(num_matches, sizeof(fpga_token)); + if (!tokens) { + res = 1; + LOG("out of memory\n"); + return res; + } + + res = fpgaEnumerate(NULL, 0, tokens, num_matches, &num_matches); + if (res != FPGA_OK) { + LOG("enumeration failed (2)\n"); + goto out_exit; + } + + for (i = 0 ; i < num_matches ; ++i) { + if (!mon_consider_device(c, tokens[i])) { + // Not monitoring it. Destroy the token. + fpgaDestroyToken(&tokens[i]); + } else { + ++monitored_devices; + } + } + +out_exit: + if (tokens) + free(tokens); + return res + (monitored_devices ? 0 : 1); +} diff --git a/external/opae-test/framework/mock/test_fpgad/opae_events_api.c b/external/opae-test/framework/mock/test_fpgad/opae_events_api.c new file mode 100644 index 0000000..ae52f80 --- /dev/null +++ b/external/opae-test/framework/mock/test_fpgad/opae_events_api.c @@ -0,0 +1,246 @@ +// Copyright(c) 2018-2019, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include + +#include "opae_events_api.h" + +#include "logging.h" + +#ifdef LOG +#undef LOG +#endif +#define LOG(format, ...) \ +log_printf("opae_events_api: " format, ##__VA_ARGS__) + +STATIC pthread_mutex_t list_lock = PTHREAD_RECURSIVE_MUTEX_INITIALIZER_NP; +STATIC api_client_event_registry *event_registry_list; + +int opae_api_register_event(int conn_socket, + int fd, + fpga_event_type e, + uint64_t object_id) +{ + api_client_event_registry *r = + (api_client_event_registry *) malloc(sizeof(*r)); + //errno_t err; + int err; + + if (!r) + return ENOMEM; + + r->conn_socket = conn_socket; + r->fd = fd; + r->data = 1; + r->event = e; + r->object_id = object_id; + + fpgad_mutex_lock(err, &list_lock); + + r->next = event_registry_list; + event_registry_list = r; + + fpgad_mutex_unlock(err, &list_lock); + + return 0; +} + +STATIC void release_event_registry(api_client_event_registry *r) +{ + close(r->fd); + free(r); +} + +int opae_api_unregister_event(int conn_socket, + fpga_event_type e, + uint64_t object_id) +{ + api_client_event_registry *trash; + api_client_event_registry *save; + //errno_t err; + int err; + int res = 0; + + fpgad_mutex_lock(err, &list_lock); + + trash = event_registry_list; + + if (!trash) { // empty list + res = 1; + goto out_unlock; + } + + if ((conn_socket == trash->conn_socket) && + (e == trash->event) && + (object_id == trash->object_id)) { + + // found at head of list + + event_registry_list = event_registry_list->next; + release_event_registry(trash); + goto out_unlock; + } + + save = trash; + trash = trash->next; + while (trash) { + + if ((conn_socket == trash->conn_socket) && + (e == trash->event) && + (object_id == trash->object_id)) + break; + + save = trash; + trash = trash->next; + } + + if (!trash) { // not found + res = 1; + goto out_unlock; + } + + // found at trash + save->next = trash->next; + release_event_registry(trash); + +out_unlock: + fpgad_mutex_unlock(err, &list_lock); + return res; +} + +STATIC api_client_event_registry * +find_event_for(int conn_socket) +{ + api_client_event_registry *r; + + for (r = event_registry_list ; r ; r = r->next) + if (conn_socket == r->conn_socket) + break; + + return r; +} + +void opae_api_unregister_all_events_for(int conn_socket) +{ + api_client_event_registry *r; + //errno_t err; + int err; + + fpgad_mutex_lock(err, &list_lock); + + r = find_event_for(conn_socket); + while (r) { + opae_api_unregister_event(conn_socket, r->event, r->object_id); + r = find_event_for(conn_socket); + } + + fpgad_mutex_unlock(err, &list_lock); +} + +void opae_api_unregister_all_events(void) +{ + api_client_event_registry *r; + //errno_t err; + int err; + + fpgad_mutex_lock(err, &list_lock); + + for (r = event_registry_list ; r != NULL ; ) { + api_client_event_registry *trash; + trash = r; + r = r->next; + release_event_registry(trash); + } + + event_registry_list = NULL; + + fpgad_mutex_unlock(err, &list_lock); +} + +void opae_api_for_each_registered_event +(void (*cb)(api_client_event_registry *r, void *context), +void *context) +{ + api_client_event_registry *r; + //errno_t err; + int err; + + fpgad_mutex_lock(err, &list_lock); + + for (r = event_registry_list; r != NULL; r = r->next) { + cb(r, context); + } + + fpgad_mutex_unlock(err, &list_lock); +} + +STATIC void check_and_send_EVENT_ERROR(api_client_event_registry *r, + void *context) +{ + fpgad_monitored_device *d = + (fpgad_monitored_device *)context; + + if ((r->event == FPGA_EVENT_ERROR) && + (r->object_id == d->object_id)) { + LOG("object_id: 0x%" PRIx64 " event: FPGA_EVENT_ERROR\n", + d->object_id); + if (write(r->fd, &r->data, sizeof(r->data)) < 0) + LOG("write failed: %s\n", strerror(errno)); + r->data++; + } +} + +void opae_api_send_EVENT_ERROR(fpgad_monitored_device *d) +{ + opae_api_for_each_registered_event(check_and_send_EVENT_ERROR, + d); +} + +STATIC void check_and_send_EVENT_POWER_THERMAL(api_client_event_registry *r, + void *context) +{ + fpgad_monitored_device *d = + (fpgad_monitored_device *)context; + + if ((r->event == FPGA_EVENT_POWER_THERMAL) && + (r->object_id == d->object_id)) { + LOG("object_id: 0x%" PRIx64 " event: FPGA_EVENT_POWER_THERMAL\n", + d->object_id); + if (write(r->fd, &r->data, sizeof(r->data)) < 0) + LOG("write failed: %s\n", strerror(errno)); + r->data++; + } +} + +void opae_api_send_EVENT_POWER_THERMAL(fpgad_monitored_device *d) +{ + opae_api_for_each_registered_event(check_and_send_EVENT_POWER_THERMAL, + d); +} diff --git a/external/opae-test/framework/mock/test_system.cpp b/external/opae-test/framework/mock/test_system.cpp new file mode 100644 index 0000000..c83a1cd --- /dev/null +++ b/external/opae-test/framework/mock/test_system.cpp @@ -0,0 +1,876 @@ +// Copyright(c) 2017, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +/* + * test-system.cpp + */ + +#include "test_system.h" +#include +#include +#include +#include +#include +#include +#include +#include "c_test_system.h" +#include "test_utils.h" +#ifndef _GNU_SOURCE +#define _GNU_SOURCE 1 +#endif +#include +#include +#include +#include +#include + +void *__builtin_return_address(unsigned level); + +// hijack malloc +static bool _invalidate_malloc = false; +static uint32_t _invalidate_malloc_after = 0; +static const char *_invalidate_malloc_when_called_from = nullptr; +void *malloc(size_t size) { + if (_invalidate_malloc) { + if (!_invalidate_malloc_when_called_from) { + if (!_invalidate_malloc_after) { + _invalidate_malloc = false; + return nullptr; + } + + --_invalidate_malloc_after; + + } else { + void *caller = __builtin_return_address(0); + int res; + Dl_info info; + + dladdr(caller, &info); + if (!info.dli_sname) + res = 1; + else + res = strcmp(info.dli_sname, _invalidate_malloc_when_called_from); + + if (!_invalidate_malloc_after && !res) { + _invalidate_malloc = false; + _invalidate_malloc_when_called_from = nullptr; + return nullptr; + } else if (!res) + --_invalidate_malloc_after; + } + } + return __libc_malloc(size); +} + +// hijack calloc +static bool _invalidate_calloc = false; +static uint32_t _invalidate_calloc_after = 0; +static const char *_invalidate_calloc_when_called_from = nullptr; +void *calloc(size_t nmemb, size_t size) { + if (_invalidate_calloc) { + if (!_invalidate_calloc_when_called_from) { + if (!_invalidate_calloc_after) { + _invalidate_calloc = false; + return nullptr; + } + + --_invalidate_calloc_after; + + } else { + void *caller = __builtin_return_address(0); + int res; + Dl_info info; + + dladdr(caller, &info); + if (!info.dli_sname) + res = 1; + else + res = strcmp(info.dli_sname, _invalidate_calloc_when_called_from); + + if (!_invalidate_calloc_after && !res) { + _invalidate_calloc = false; + _invalidate_calloc_when_called_from = nullptr; + return nullptr; + } else if (!res) + --_invalidate_calloc_after; + } + } + return __libc_calloc(nmemb, size); +} + +namespace opae { +namespace testing { + +static const char *dev_pattern = + R"regex(/dev/(intel-fpga|dfl)-(fme|port)\.([0-9]+))regex"; +static const char *sysclass_pattern = + R"regex(/sys/class/fpga((?:_region)?/(region|intel-fpga-dev\.)([0-9]+))regex"; + +static std::map fpga_sysfs_path_map = { + {"/dev/intel", "/sys/class/fpga/intel-fpga-dev."}, + {"/dev/dfl", "/sys/class/fpga_region/region"}}; + +mock_object::mock_object(const std::string &devpath, + const std::string &sysclass, uint32_t device_id, + type_t type) + : devpath_(devpath), + sysclass_(sysclass), + device_id_(device_id), + type_(type) {} + +int mock_fme::ioctl(int request, va_list argp) { + (void)request; + (void)argp; + return 0; +} + +int mock_port::ioctl(int request, va_list argp) { + (void)request; + (void)argp; + return 0; +} + +#define ASSERT_FN(fn) \ + do { \ + if (fn == nullptr) { \ + throw std::runtime_error(#fn " not loaded"); \ + } \ + } while (false); + +test_device test_device::unknown() { + return test_device{.fme_guid = "C544CE5C-F630-44E1-8551-59BD87AF432E", + .afu_guid = "C544CE5C-F630-44E1-8551-59BD87AF432E", + .segment = 0x1919, + .bus = 0x0A, + .device = 9, + .function = 5, + .num_vfs = 8, + .socket_id = 9, + .num_slots = 9, + .bbs_id = 9, + .bbs_version = {0xFF, 0xFF, 0xFF}, + .state = FPGA_ACCELERATOR_ASSIGNED, + .num_mmio = 0, + .num_interrupts = 0xf, + .fme_object_id = 9, + .port_object_id = 9, + .vendor_id = 0x1234, + .device_id = 0x1234, + .fme_num_errors = 0x1234, + .port_num_errors = 0x1234, + .gbs_guid = "C544CE5C-F630-44E1-8551-59BD87AF432E", + .mdata = ""}; +} + +test_system *test_system::instance_ = nullptr; + +test_system::test_system() : initialized_(false), root_("") { + open_ = (open_func)dlsym(RTLD_NEXT, "open"); + open_create_ = open_; + read_ = (read_func)dlsym(RTLD_NEXT, "read"); + fopen_ = (fopen_func)dlsym(RTLD_NEXT, "fopen"); + popen_ = (popen_func)dlsym(RTLD_NEXT, "popen"); + pclose_ = (pclose_func)dlsym(RTLD_NEXT, "pclose"); + close_ = (close_func)dlsym(RTLD_NEXT, "close"); + ioctl_ = (ioctl_func)dlsym(RTLD_NEXT, "ioctl"); + opendir_ = (opendir_func)dlsym(RTLD_NEXT, "opendir"); + readlink_ = (readlink_func)dlsym(RTLD_NEXT, "readlink"); + xstat_ = (__xstat_func)dlsym(RTLD_NEXT, "__xstat"); + lstat_ = (__xstat_func)dlsym(RTLD_NEXT, "__lxstat"); + scandir_ = (scandir_func)dlsym(RTLD_NEXT, "scandir"); + sched_setaffinity_ = + (sched_setaffinity_func)dlsym(RTLD_NEXT, "sched_setaffinity"); + + glob_ = (glob_func)dlsym(RTLD_NEXT, "glob"); + realpath_ = (realpath_func)dlsym(RTLD_NEXT, "realpath"); + + hijack_sched_setaffinity_ = false; + hijack_sched_setaffinity_return_val_ = 0; + hijack_sched_setaffinity_after_ = 0; + hijack_sched_setaffinity_caller_ = nullptr; +} + +test_system *test_system::instance() { + if (test_system::instance_ == nullptr) { + test_system::instance_ = new test_system(); + } + return test_system::instance_; +} + +void test_system::prepare_syfs(const test_platform &platform) { + int result = 0; + char tmpsysfs[]{"tmpsysfs-XXXXXX"}; + + if (platform.mock_sysfs != nullptr) { + char *tmp = mkdtemp(tmpsysfs); + if (tmp == nullptr) { + throw std::runtime_error("error making tmpsysfs"); + } + root_ = std::string(tmp); + std::string cmd = "tar xzf " + std::string(platform.mock_sysfs) + " -C " + + root_ + " --strip 1"; + result = std::system(cmd.c_str()); + } + return (void)result; +} + + +extern "C" { +int process_fpath(const char *fpath, const struct stat *sb, int typeflag, struct FTW *ftw) { + (void)sb; + (void)ftw; + if (typeflag & FTW_DP) { + if (rmdir(fpath)) { + if (errno == ENOTDIR) { + goto do_unlink; + } + std::cerr << "error removing directory: " << fpath << " - " << strerror(errno) << "\n"; + return -1; + } + } +do_unlink: + if (unlink(fpath) && errno != ENOENT) { + std::cerr << "error removing node: " << fpath << " - " << strerror(errno) << "\n"; + return -1; + } + return 0; +} +} + +int test_system::remove_sysfs_dir(const char *path) { + if (root_.find("tmpsysfs") != std::string::npos) { + auto real_path = path == nullptr ? root_ : get_sysfs_path(path); + return nftw(real_path.c_str(), process_fpath, 100, FTW_DEPTH | FTW_PHYS); + } + return 0; +} + +int test_system::remove_sysfs() { + return remove_sysfs_dir(); +} + + +void test_system::set_root(const char *root) { root_ = root; } +std::string test_system::get_root() { return root_; } + +std::string test_system::get_sysfs_path(const std::string &src) { + auto it = registered_files_.find(src); + if (it != registered_files_.end()) { + return it->second; + } + if (src.find("/sys") == 0 || src.find("/dev/intel-fpga") == 0 || + src.find("/dev/dfl-") == 0) { + if (!root_.empty() && root_.size() > 1) { + return root_ + src; + } + } + return src; +} + +std::vector test_system::assemble_gbs_header(const test_device &td) { + std::vector gbs_header(20, 0); + if (uuid_parse(td.gbs_guid, gbs_header.data())) { + std::string msg = "unable to parse UUID: "; + msg.append(td.gbs_guid); + throw std::runtime_error(msg); + } + uint32_t len = strlen(td.mdata); + *reinterpret_cast(gbs_header.data() + 16) = len; + std::copy(&td.mdata[0], &td.mdata[len], std::back_inserter(gbs_header)); + return gbs_header; +} + +std::vector test_system::assemble_gbs_header(const test_device &td, + const char *mdata) { + if (mdata) { + test_device copy = td; + copy.mdata = mdata; + return assemble_gbs_header(copy); + } + return std::vector(0); +} + +void test_system::initialize() { + ASSERT_FN(open_); + ASSERT_FN(open_create_); + ASSERT_FN(read_); + ASSERT_FN(fopen_); + ASSERT_FN(popen_); + ASSERT_FN(pclose_); + ASSERT_FN(close_); + ASSERT_FN(ioctl_); + ASSERT_FN(readlink_); + ASSERT_FN(xstat_); + ASSERT_FN(lstat_); + ASSERT_FN(scandir_); + ASSERT_FN(sched_setaffinity_); + ASSERT_FN(glob_); + ASSERT_FN(realpath_); + for (const auto &kv : default_ioctl_handlers_) { + register_ioctl_handler(kv.first, kv.second); + } + initialized_ = true; +} + +void test_system::finalize() { + if (!initialized_) { + return; + } + initialized_ = false; + std::lock_guard guard(fds_mutex_); + for (auto kv : fds_) { + if (kv.second) { + delete kv.second; + kv.second = nullptr; + } + } + remove_sysfs(); + root_ = ""; + fds_.clear(); + for (auto kv : registered_files_) { + unlink(kv.second.c_str()); + } + registered_files_.clear(); + ioctl_handlers_.clear(); +} + +bool test_system::default_ioctl_handler(int request, ioctl_handler_t h) { + bool already_registered = + default_ioctl_handlers_.find(request) != default_ioctl_handlers_.end(); + default_ioctl_handlers_[request] = h; + return already_registered; +} + +bool test_system::register_ioctl_handler(int request, ioctl_handler_t h) { + bool already_registered = + ioctl_handlers_.find(request) != ioctl_handlers_.end(); + ioctl_handlers_[request] = h; + return already_registered; +} + +FILE *test_system::register_file(const std::string &path) { + auto it = registered_files_.find(path); + if (it == registered_files_.end()) { + registered_files_[path] = + "/tmp/testfile" + std::to_string(registered_files_.size()); + } + + auto fptr = fopen(path.c_str(), "w+"); + return fptr; +} + +void test_system::normalize_guid(std::string &guid_str, bool with_hyphens) { + // normalizing a guid string can make it easier to compare guid strings + // and can also put the string in a format that can be parsed into actual + // guid bytes (uuid_parse expects the string to include hyphens). + const size_t std_guid_str_size = 36; + const size_t char_guid_str_size = 32; + if (guid_str.back() == '\n') { + guid_str.erase(guid_str.end() - 1); + } + std::locale lc; + auto c_idx = guid_str.find('-'); + if (with_hyphens && c_idx == std::string::npos) { + // if we want the standard UUID format with hyphens (8-4-4-4-12) + if (guid_str.size() == char_guid_str_size) { + int idx = 20; + while (c_idx != 8) { + guid_str.insert(idx, 1, '-'); + idx -= 4; + c_idx = guid_str.find('-'); + } + } else { + throw std::invalid_argument("invalid guid string"); + } + } else if (!with_hyphens && c_idx == 8) { + // we want the hex characters only, no other extra chars + if (guid_str.size() == std_guid_str_size) { + while (c_idx != std::string::npos) { + guid_str.erase(c_idx, 1); + c_idx = guid_str.find('-'); + } + } else { + throw std::invalid_argument("invalid guid string"); + } + } + + for (auto &c : guid_str) { + c = std::tolower(c, lc); + } +} + +uint32_t get_device_id(const std::string &sysclass) { + uint32_t res(0); + std::ifstream fs; + fs.open(sysclass + "/device/device"); + if (fs.is_open()) { + std::string line; + std::getline(fs, line); + fs.close(); + return std::stoul(line, 0, 16); + } + return res; +} + +std::string test_system::get_sysfs_claass_path(const std::string &path) { + for (auto it : fpga_sysfs_path_map) { + if (path.find(it.first) == 0) { + return it.second; + } + } + return ""; +} + +int test_system::open(const std::string &path, int flags) { + if (!initialized_) { + return open_(path.c_str(), flags); + } + std::string syspath = get_sysfs_path(path); + int fd; + auto r1 = regex<>::create(sysclass_pattern); + auto r2 = regex<>::create(dev_pattern); + match_t::ptr_t m; + + // check if we are opening a driver attribute file + // or a device file to save the fd in an internal map + // this can be used later, (especially in ioctl) + if (r1 && (m = r1->match(path))) { + // path matches /sys/class/fpga/intel-fpga-dev\..* + // we are opening a driver attribute file + + if (flags == O_WRONLY) { + // truncate the file to zero to emulate the sysfs behavior. + flags |= O_TRUNC; + } + + fd = open_(syspath.c_str(), flags); + auto sysclass_path = m->group(0); + auto device_id = get_device_id(get_sysfs_path(sysclass_path)); + std::lock_guard guard(fds_mutex_); + fds_[fd] = new mock_object(path, sysclass_path, device_id); + } else if (r2 && (m = r2->match(path))) { + // path matches /dev/intel-fpga-(fme|port)\..* + // we are opening a device + fd = open_(syspath.c_str(), flags); + auto sysclass_path = get_sysfs_claass_path(path) + m->group(3); + auto device_id = get_device_id(get_sysfs_path(sysclass_path)); + if (m->group(2) == "fme") { + std::lock_guard guard(fds_mutex_); + fds_[fd] = new mock_fme(path, sysclass_path, device_id); + } else if (m->group(2) == "port") { + std::lock_guard guard(fds_mutex_); + fds_[fd] = new mock_port(path, sysclass_path, device_id); + } + } else { + fd = open_(syspath.c_str(), flags); + } + return fd; +} + +int test_system::open(const std::string &path, int flags, mode_t mode) { + if (!initialized_) { + return open_create_(path.c_str(), flags, mode); + } + + std::string syspath = get_sysfs_path(path); + int fd = open_create_(syspath.c_str(), flags, mode); + if (syspath.find(root_) == 0) { + std::lock_guard guard(fds_mutex_); + std::map::iterator it = fds_.find(fd); + if (it != fds_.end()) { + delete it->second; + } + fds_[fd] = new mock_object(path, "", 0); + } + return fd; +} + +static bool _invalidate_read = false; +static uint32_t _invalidate_read_after = 0; +static const char *_invalidate_read_when_called_from = nullptr; +void test_system::invalidate_read(uint32_t after, + const char *when_called_from) { + _invalidate_read = true; + _invalidate_read_after = after; + _invalidate_read_when_called_from = when_called_from; +} + +ssize_t test_system::read(int fd, void *buf, size_t count) { + if (_invalidate_read) { + if (!_invalidate_read_when_called_from) { + if (!_invalidate_read_after) { + _invalidate_read = false; + return -1; + } + + --_invalidate_read_after; + + } else { + // 2 here, because we were called through.. + // 0 test_system.cpp:opae_test_read() + // 1 mock.c:read() + // 2 + void *caller = __builtin_return_address(2); + int res; + Dl_info info; + + dladdr(caller, &info); + if (!info.dli_sname) + res = 1; + else + res = strcmp(info.dli_sname, _invalidate_read_when_called_from); + + if (!_invalidate_read_after && !res) { + _invalidate_read = false; + _invalidate_read_when_called_from = nullptr; + return -1; + } else if (!res) + --_invalidate_read_after; + } + } + return read_(fd, buf, count); +} + +FILE *test_system::fopen(const std::string &path, const std::string &mode) { + std::string syspath = get_sysfs_path(path); + return fopen_(syspath.c_str(), mode.c_str()); +} + +FILE *test_system::popen(const std::string &cmd, const std::string &type) { + // Is this something we're interested in? + if (0 == cmd.compare(0, 5, "rdmsr")) { + char tmpfile[20]; + strcpy(tmpfile, "popen-XXXXXX.tmp"); + close(mkstemps(tmpfile, 4)); + + FILE *fp = fopen(tmpfile, "w+"); + + size_t last_spc = cmd.find_last_of(' '); + std::string msr(cmd.substr(last_spc + 1)); + + if (0 == msr.compare("0x35")) { + fprintf(fp, "0x0000000000180030"); + } else if (0 == msr.compare("0x610")) { + fprintf(fp, "0x000388d000148758"); + } else if (0 == msr.compare("0x606")) { + fprintf(fp, "0x00000000000a0e03"); + } + + fseek(fp, 0, SEEK_SET); + popen_requests_.insert(std::make_pair(fp, tmpfile)); + + return fp; + } else { + return popen_(cmd.c_str(), type.c_str()); + } +} + +int test_system::pclose(FILE *stream) { + // Is this something we intercepted? + std::map::iterator it = popen_requests_.find(stream); + if (it != popen_requests_.end()) { + unlink(it->second.c_str()); + popen_requests_.erase(it); + fclose(stream); + return 0; // process exit status + } + return pclose_(stream); +} + +int test_system::close(int fd) { + if (initialized_) { + std::lock_guard guard(fds_mutex_); + std::map::iterator it = fds_.find(fd); + if (it != fds_.end()) { + delete it->second; + fds_.erase(it); + } + } + return close_(fd); +} + +int test_system::ioctl(int fd, unsigned long request, va_list argp) { + mock_object *mo = nullptr; + { + std::lock_guard guard(fds_mutex_); + auto mi = fds_.find(fd); + if (mi != fds_.end()) { + mo = mi->second; + } + } + + if (mo == nullptr) { + char *arg = va_arg(argp, char *); + return ioctl_(fd, request, arg); + } + + // replace mock_it->second with mo + auto handler_it = ioctl_handlers_.find(request); + if (handler_it != ioctl_handlers_.end()) { + return handler_it->second(mo, request, argp); + } + return mo->ioctl(request, argp); +} + +DIR *test_system::opendir(const char *path) { + std::string syspath = get_sysfs_path(path); + return opendir_(syspath.c_str()); +} + +ssize_t test_system::readlink(const char *path, char *buf, size_t bufsize) { + std::string syspath = get_sysfs_path(path); + return readlink_(syspath.c_str(), buf, bufsize); +} + +int test_system::xstat(int ver, const char *path, struct stat *buf) { + std::string syspath = get_sysfs_path(path); + int res = xstat_(ver, syspath.c_str(), buf); + + if (!res && strlen(path) > 5) { + // If path is rooted at /dev, assume it is a char device. + std::string p(path, 5); + if (p == std::string("/dev/")) { + buf->st_mode &= ~S_IFMT; + buf->st_mode |= S_IFCHR; + } + } + + return res; +} + +int test_system::lstat(int ver, const char *path, struct stat *buf) { + std::string syspath = get_sysfs_path(path); + int res = lstat_(ver, syspath.c_str(), buf); + + if (!res && strlen(path) > 5) { + // If path is rooted at /dev, assume it is a char device. + std::string p(path, 5); + if (p == std::string("/dev/")) { + buf->st_mode &= ~S_IFMT; + buf->st_mode |= S_IFCHR; + } + } + + return res; +} + +int test_system::scandir(const char *dirp, struct dirent ***namelist, + filter_func filter, compare_func cmp) { + std::string syspath = get_sysfs_path(dirp); + return scandir_(syspath.c_str(), namelist, filter, cmp); +} + +int test_system::sched_setaffinity(pid_t pid, size_t cpusetsize, + const cpu_set_t *mask) { + UNUSED_PARAM(pid); + UNUSED_PARAM(cpusetsize); + UNUSED_PARAM(mask); + if (hijack_sched_setaffinity_) { + if (!hijack_sched_setaffinity_caller_) { + if (!hijack_sched_setaffinity_after_) { + hijack_sched_setaffinity_ = false; + int res = hijack_sched_setaffinity_return_val_; + hijack_sched_setaffinity_return_val_ = 0; + return res; + } + + --hijack_sched_setaffinity_after_; + + } else { + // 2 here, because we were called through.. + // 0 test_system.cpp:opae_test_sched_setaffinity() + // 1 mock.c:sched_setaffinity() + // 2 + void *caller = __builtin_return_address(2); + int res; + Dl_info info; + + dladdr(caller, &info); + if (!info.dli_sname) + res = 1; + else + res = strcmp(info.dli_sname, hijack_sched_setaffinity_caller_); + + if (!hijack_sched_setaffinity_after_ && !res) { + hijack_sched_setaffinity_ = false; + hijack_sched_setaffinity_caller_ = nullptr; + res = hijack_sched_setaffinity_return_val_; + hijack_sched_setaffinity_return_val_ = 0; + return res; + } else if (!res) + --hijack_sched_setaffinity_after_; + } + } + return 0; // return success - we don't actually + // want to change the affinity. +} + +void test_system::hijack_sched_setaffinity(int return_val, uint32_t after, + const char *when_called_from) { + hijack_sched_setaffinity_ = true; + hijack_sched_setaffinity_return_val_ = return_val; + hijack_sched_setaffinity_after_ = after; + hijack_sched_setaffinity_caller_ = when_called_from; +} + +int test_system::glob(const char *pattern, int flags, + int (*errfunc)(const char *epath, int eerrno), + glob_t *pglob) { + if (pattern == nullptr) { + return glob_(pattern, flags, errfunc, pglob); + } + + auto path = get_sysfs_path(pattern); + + auto res = glob_(path.c_str(), flags, errfunc, pglob); + if (!res) { + for (unsigned int i = 0; i < pglob->gl_pathc; ++i) { + std::string tmppath(pglob->gl_pathv[i]); + if (tmppath.find(get_root()) == 0) { + auto p = pglob->gl_pathv[i]; + auto root_len = get_root().size(); + auto new_len = tmppath.size() - root_len; + std::copy(tmppath.begin() + root_len, tmppath.end(), p); + p[new_len] = '\0'; + } + } + } + + return res; +} + +char *test_system::realpath(const char *inp, char *dst) +{ + if (!initialized_ || root_.empty()) { + return realpath_(inp, dst); + } + bool current_inv_state = _invalidate_malloc; + _invalidate_malloc = false; + char *retvalue = realpath_(get_sysfs_path(inp).c_str(), dst); + if (retvalue) { + std::string dst_str(dst); + char prefix[PATH_MAX] = {0}; + char *prefix_ptr = realpath_(root_.c_str(), prefix); + std::string prefix_str(prefix_ptr ? prefix_ptr : ""); + if (prefix_str.size() && dst_str.find(prefix_str) == 0) { + auto cleaned_str = dst_str.substr(prefix_str.size()); + std::copy(cleaned_str.begin(), cleaned_str.end(), &dst[0]); + dst[cleaned_str.size()] = '\0'; + retvalue = &dst[0]; + } + } + _invalidate_malloc = current_inv_state; + return retvalue; +} + +void test_system::invalidate_malloc(uint32_t after, + const char *when_called_from) { + _invalidate_malloc = true; + _invalidate_malloc_after = after; + _invalidate_malloc_when_called_from = when_called_from; +} + +void test_system::invalidate_calloc(uint32_t after, + const char *when_called_from) { + _invalidate_calloc = true; + _invalidate_calloc_after = after; + _invalidate_calloc_when_called_from = when_called_from; +} + +} // end of namespace testing +} // end of namespace opae + +// C functions + +int opae_test_open(const char *path, int flags) { + return opae::testing::test_system::instance()->open(path, flags); +} + +int opae_test_open_create(const char *path, int flags, mode_t mode) { + return opae::testing::test_system::instance()->open(path, flags, mode); +} + +ssize_t opae_test_read(int fd, void *buf, size_t count) { + return opae::testing::test_system::instance()->read(fd, buf, count); +} + +FILE *opae_test_fopen(const char *path, const char *mode) { + return opae::testing::test_system::instance()->fopen(path, mode); +} + +FILE *opae_test_popen(const char *cmd, const char *type) { + return opae::testing::test_system::instance()->popen(cmd, type); +} + +int opae_test_pclose(FILE *stream) { + return opae::testing::test_system::instance()->pclose(stream); +} + +int opae_test_close(int fd) { + return opae::testing::test_system::instance()->close(fd); +} + +int opae_test_ioctl(int fd, unsigned long request, va_list argp) { + return opae::testing::test_system::instance()->ioctl(fd, request, argp); +} + +DIR *opae_test_opendir(const char *name) { + return opae::testing::test_system::instance()->opendir(name); +} + +ssize_t opae_test_readlink(const char *path, char *buf, size_t bufsize) { + return opae::testing::test_system::instance()->readlink(path, buf, bufsize); +} + +int opae_test_xstat(int ver, const char *path, struct stat *buf) { + return opae::testing::test_system::instance()->xstat(ver, path, buf); +} + +int opae_test_lstat(int ver, const char *path, struct stat *buf) { + return opae::testing::test_system::instance()->lstat(ver, path, buf); +} + +int opae_test_scandir(const char *dirp, struct dirent ***namelist, + filter_func filter, compare_func cmp) { + return opae::testing::test_system::instance()->scandir(dirp, namelist, filter, + cmp); +} + +int opae_test_sched_setaffinity(pid_t pid, size_t cpusetsize, + const cpu_set_t *mask) { + return opae::testing::test_system::instance()->sched_setaffinity( + pid, cpusetsize, mask); +} + +int opae_test_glob(const char *pattern, int flags, + int (*errfunc)(const char *epath, int eerrno), + glob_t *pglob) { + return opae::testing::test_system::instance()->glob(pattern, flags, errfunc, + pglob); +} + +char *opae_test_realpath(const char *inp, char *dst) { + return opae::testing::test_system::instance()->realpath(inp, dst); +} diff --git a/external/opae-test/framework/mock/test_system.h b/external/opae-test/framework/mock/test_system.h new file mode 100644 index 0000000..eeb2328 --- /dev/null +++ b/external/opae-test/framework/mock/test_system.h @@ -0,0 +1,228 @@ +// Copyright(c) 2017, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#ifndef _TEST_SYSTEM_H +#define _TEST_SYSTEM_H + +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include "platform/fpga_hw.h" +#include + +extern "C" { +extern void *__libc_malloc(size_t size); +extern void *__libc_calloc(size_t nmemb, size_t size); +} +typedef struct stat stat_t; +typedef int (*filter_func)(const struct dirent *); +typedef int (*compare_func)(const struct dirent **, const struct dirent **); + +namespace opae { +namespace testing { + +constexpr size_t KiB(size_t n) { return n * 1024; } +constexpr size_t MiB(size_t n) { return n * 1024 * KiB(1); } + +#ifndef UNUSED_PARAM +#define UNUSED_PARAM(x) ((void)x) +#endif // UNUSED_PARAM + +class mock_object { + public: + enum type_t { sysfs_attr = 0, fme, afu }; + mock_object(const std::string &devpath, const std::string &sysclass, + uint32_t device_id, type_t type = sysfs_attr); + virtual ~mock_object() {} + + virtual int ioctl(int request, va_list arg) { + UNUSED_PARAM(request); + UNUSED_PARAM(arg); + throw std::logic_error("not implemented"); + return 0; + } + + std::string sysclass() const { return sysclass_; } + uint32_t device_id() const { return device_id_; } + type_t type() const { return type_; } + + private: + std::string devpath_; + std::string sysclass_; + uint32_t device_id_; + type_t type_; +}; + +class mock_fme : public mock_object { + public: + mock_fme(const std::string &devpath, const std::string &sysclass, + uint32_t device_id) + : mock_object(devpath, sysclass, device_id, fme) {} + virtual int ioctl(int request, va_list argp) override; +}; + +class mock_port : public mock_object { + public: + mock_port(const std::string &devpath, const std::string &sysclass, + uint32_t device_id) + : mock_object(devpath, sysclass, device_id, fme) {} + virtual int ioctl(int request, va_list argp) override; +}; + +template +static int dummy_ioctl(mock_object *, int, va_list) { + errno = _E; + return _R; +} + +class test_system { + public: + typedef int (*ioctl_handler_t)(mock_object *, int, va_list); + static test_system *instance(); + + void set_root(const char *root); + std::string get_root(); + std::string get_sysfs_path(const std::string &src); + std::vector assemble_gbs_header(const test_device &td); + std::vector assemble_gbs_header(const test_device &td, const char* mdata); + + void initialize(); + void finalize(); + void prepare_syfs(const test_platform &platform); + int remove_sysfs(); + int remove_sysfs_dir(const char *path = nullptr); + std::string get_sysfs_claass_path(const std::string &path); + + int open(const std::string &path, int flags); + int open(const std::string &path, int flags, mode_t m); + void invalidate_read(uint32_t after=0, const char *when_called_from=nullptr); + ssize_t read(int fd, void *buf, size_t count); + + FILE * fopen(const std::string &path, const std::string &mode); + + FILE * popen(const std::string &cmd, const std::string &type); + int pclose(FILE *stream); + + int close(int fd); + int ioctl(int fd, unsigned long request, va_list argp); + + DIR *opendir(const char *name); + ssize_t readlink(const char *path, char *buf, size_t bufsize); + int xstat(int ver, const char *path, stat_t *buf); + int lstat(int ver, const char *path, stat_t *buf); + int scandir(const char *dirp, struct dirent ***namelist, filter_func filter, + compare_func cmp); + int sched_setaffinity(pid_t pid, size_t cpusetsize, + const cpu_set_t *mask); + + int glob(const char *pattern, int flags, + int (*errfunc) (const char *epath, int eerrno), + glob_t *pglob); + + char *realpath(const char *inp, char *dst); + + void hijack_sched_setaffinity(int return_val, uint32_t after=0, + const char *when_called_from=nullptr); + + void invalidate_malloc(uint32_t after=0, const char *when_called_from=nullptr); + void invalidate_calloc(uint32_t after=0, const char *when_called_from=nullptr); + + bool default_ioctl_handler(int request, ioctl_handler_t); + bool register_ioctl_handler(int request, ioctl_handler_t); + + FILE *register_file(const std::string &path); + + void normalize_guid(std::string &guid_str, bool with_hyphens = true); + + private: + test_system(); + std::mutex fds_mutex_; + std::atomic_bool initialized_; + std::string root_; + std::map fds_; + std::map default_ioctl_handlers_; + std::map ioctl_handlers_; + std::map registered_files_; + std::map popen_requests_; + static test_system *instance_; + + typedef int (*open_func)(const char *pathname, int flags, ...); + typedef ssize_t (*read_func)(int fd, void *buf, size_t count); + typedef FILE * (*fopen_func)(const char *path, const char *mode); + typedef FILE * (*popen_func)(const char *cmd, const char *type); + typedef int (*pclose_func)(FILE *stream); + typedef int (*close_func)(int fd); + typedef int (*ioctl_func)(int fd, unsigned long request, char *argp); + typedef DIR *(*opendir_func)(const char *name); + typedef ssize_t (*readlink_func)(const char *pathname, char *buf, + size_t bufsiz); + typedef int (*__xstat_func)(int ver, const char *pathname, struct stat *buf); + typedef int (*scandir_func)(const char *, struct dirent ***, filter_func, + compare_func); + typedef int (*sched_setaffinity_func)(pid_t pid, size_t cpusetsize, + const cpu_set_t *mask); + typedef char *(*realpath_func)(const char *, char *); + + typedef int (*glob_func)(const char *pattern, int flags, + int (*errfunc)(const char *epath, int eerrno), + glob_t *pglob); + + open_func open_; + open_func open_create_; + read_func read_; + fopen_func fopen_; + popen_func popen_; + pclose_func pclose_; + close_func close_; + ioctl_func ioctl_; + opendir_func opendir_; + readlink_func readlink_; + __xstat_func xstat_; + __xstat_func lstat_; + scandir_func scandir_; + sched_setaffinity_func sched_setaffinity_; + glob_func glob_; + realpath_func realpath_; + + bool hijack_sched_setaffinity_; + int hijack_sched_setaffinity_return_val_; + uint32_t hijack_sched_setaffinity_after_; + const char * hijack_sched_setaffinity_caller_; +}; + +} // end of namespace testing +} // end of namespace opae + +#endif /* !_TEST_SYSTEM_H */ diff --git a/external/opae-test/framework/mock/test_utils.h b/external/opae-test/framework/mock/test_utils.h new file mode 100644 index 0000000..bc60929 --- /dev/null +++ b/external/opae-test/framework/mock/test_utils.h @@ -0,0 +1,154 @@ +// Copyright(c) 2017-2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +/* + * test_utils.h + */ +#pragma once +#include +#include +#include +#include + +namespace opae { +namespace testing { + +class match_t { + public: + typedef std::shared_ptr ptr_t; + match_t(const std::string &str, const std::vector &matches) + : string_(str), groups_(matches) {} + + std::vector &groups() { return groups_; } + + std::string group(uint32_t idx) { return groups_[idx]; } + + std::string str() { return string_; } + + private: + std::string string_; + std::vector groups_; +}; + +template +class regex { + public: + typedef std::shared_ptr ptr_t; + + static regex::ptr_t create(const std::string &pattern, int flags = 0) { + regex::ptr_t m(new regex(pattern)); + if (regcomp(&m->regex_, pattern.c_str(), flags | REG_EXTENDED)) { + m.reset(); + } + return m; + } + + ~regex() { regfree(®ex_); } + + match_t::ptr_t match(const std::string str, int flags = 0) { + std::vector matches; + match_t::ptr_t m; + auto res = + regexec(®ex_, str.c_str(), matches_.size(), matches_.data(), flags); + if (!res) { + for (const auto &m : matches_) { + if (m.rm_so >= 0) { + matches.push_back(str.substr(m.rm_so, m.rm_eo - m.rm_so)); + } else { + break; + } + } + m.reset(new match_t(str, matches)); + } else { + regerror(res, ®ex_, err_, 128); + } + return m; + } + + std::string error() { return std::string(err_); } + + private: + regex() = delete; + regex(const std::string &pattern) : pattern_(pattern) {} + std::string pattern_; + regex_t regex_; + char err_[128]; + std::array matches_; +}; + +class jobject { + public: + jobject() { obj_ = json_object_new_object(); } + + jobject(json_object *obj) { obj_ = obj; } + + jobject(int32_t v) : jobject(json_object_new_int(v)) {} + jobject(int64_t v) : jobject(json_object_new_int64(v)) {} + jobject(double v) : jobject(json_object_new_double(v)) {} + jobject(const char *v) : jobject(json_object_new_string(v)) {} + jobject(const std::string &v) : jobject(json_object_new_string(v.c_str())) {} + jobject(const std::string &k, jobject o) : jobject() { + json_object_object_add(obj_, k.c_str(), o.obj_); + } + jobject(std::initializer_list arr) { + obj_ = json_object_new_array(); + for (auto &o : arr) { + json_object_array_add(obj_, o.obj_); + } + } + + jobject(const jobject &other) { + if (&other != this) { + obj_ = other.obj_; + } + } + + jobject &operator=(const jobject &other) { + if (&other != this) { + obj_ = other.obj_; + } + return *this; + } + + virtual ~jobject() {} + + void put() { json_object_put(obj_); } + + void get() { json_object_get(obj_); } + + virtual jobject &operator()(const std::string &key, jobject j) { + json_object_object_add(obj_, key.c_str(), j.obj_); + return *this; + } + + virtual const char *c_str() { return json_object_to_json_string(obj_); } + + protected: + json_object *obj_; +}; + + +} // end of namespace testing +} // end of namespace opae diff --git a/external/opae-test/framework/mock_sys_tmp-1socket-nlb0-vf.tar.gz b/external/opae-test/framework/mock_sys_tmp-1socket-nlb0-vf.tar.gz new file mode 100644 index 0000000..aee4e8f Binary files /dev/null and b/external/opae-test/framework/mock_sys_tmp-1socket-nlb0-vf.tar.gz differ diff --git a/external/opae-test/framework/mock_sys_tmp-1socket-nlb0.tar.gz b/external/opae-test/framework/mock_sys_tmp-1socket-nlb0.tar.gz new file mode 100644 index 0000000..6fcef04 Binary files /dev/null and b/external/opae-test/framework/mock_sys_tmp-1socket-nlb0.tar.gz differ diff --git a/external/opae-test/framework/mock_sys_tmp-dcp-rc-dfl0_patchset2-nlb0.tar.gz b/external/opae-test/framework/mock_sys_tmp-dcp-rc-dfl0_patchset2-nlb0.tar.gz new file mode 100644 index 0000000..43ef4f2 Binary files /dev/null and b/external/opae-test/framework/mock_sys_tmp-dcp-rc-dfl0_patchset2-nlb0.tar.gz differ diff --git a/external/opae-test/framework/mock_sys_tmp-dcp-rc-nlb3.tar.gz b/external/opae-test/framework/mock_sys_tmp-dcp-rc-nlb3.tar.gz new file mode 100644 index 0000000..9827ec0 Binary files /dev/null and b/external/opae-test/framework/mock_sys_tmp-dcp-rc-nlb3.tar.gz differ diff --git a/external/opae-test/framework/mock_sys_tmp-dcp-vc-dfl0_patchset2-nlb0.tar.gz b/external/opae-test/framework/mock_sys_tmp-dcp-vc-dfl0_patchset2-nlb0.tar.gz new file mode 100644 index 0000000..d1aef7b Binary files /dev/null and b/external/opae-test/framework/mock_sys_tmp-dcp-vc-dfl0_patchset2-nlb0.tar.gz differ diff --git a/external/opae-test/framework/mock_sys_tmp-dcp-vc.tar.gz b/external/opae-test/framework/mock_sys_tmp-dcp-vc.tar.gz new file mode 100644 index 0000000..0e0ac39 Binary files /dev/null and b/external/opae-test/framework/mock_sys_tmp-dcp-vc.tar.gz differ diff --git a/external/opae-test/framework/mock_sys_tmp-dfl0-nlb0.tar.gz b/external/opae-test/framework/mock_sys_tmp-dfl0-nlb0.tar.gz new file mode 100644 index 0000000..5bb81b7 Binary files /dev/null and b/external/opae-test/framework/mock_sys_tmp-dfl0-nlb0.tar.gz differ diff --git a/external/opae-test/framework/mock_sys_tmp-dfl0_patchset2-nlb0.tar.gz b/external/opae-test/framework/mock_sys_tmp-dfl0_patchset2-nlb0.tar.gz new file mode 100644 index 0000000..d8fa67c Binary files /dev/null and b/external/opae-test/framework/mock_sys_tmp-dfl0_patchset2-nlb0.tar.gz differ diff --git a/external/opae-test/framework/platform/discover.cpp b/external/opae-test/framework/platform/discover.cpp new file mode 100644 index 0000000..cb3ee4f --- /dev/null +++ b/external/opae-test/framework/platform/discover.cpp @@ -0,0 +1,15 @@ +#include +#include "fpga_hw.h" + +using namespace opae::testing; + +int main(int argc, char* argv[]) +{ + (void)argc; + (void)argv; + auto db = fpga_db::instance(); + for (auto k : db->keys()) { + std::cout << "platform: " << k << "\n"; + } + +} diff --git a/external/opae-test/framework/platform/fpga_hw.cpp b/external/opae-test/framework/platform/fpga_hw.cpp new file mode 100644 index 0000000..b480804 --- /dev/null +++ b/external/opae-test/framework/platform/fpga_hw.cpp @@ -0,0 +1,759 @@ +// Copyright(c) 2017-2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#include "fpga_hw.h" +#include +#include +#include +#include +#include +#include +#include +#include "mock/test_utils.h" +#include +#include +#include + +#define FPGA_BBS_VER_MAJOR(i) (((i) >> 56) & 0xf) +#define FPGA_BBS_VER_MINOR(i) (((i) >> 52) & 0xf) +#define FPGA_BBS_VER_PATCH(i) (((i) >> 48) & 0xf) + +namespace opae { +namespace testing { + +test_device test_device::unknown() { + return test_device{.fme_guid = "C544CE5C-F630-44E1-8551-59BD87AF432E", + .afu_guid = "C544CE5C-F630-44E1-8551-59BD87AF432E", + .segment = 0x1919, + .bus = 0x0A, + .device = 9, + .function = 5, + .num_vfs = 0, + .socket_id = 9, + .num_slots = 9, + .bbs_id = 9, + .bbs_version = {0xFF, 0xFF, 0xFF}, + .state = FPGA_ACCELERATOR_ASSIGNED, + .num_mmio = 0, + .num_interrupts = 0xf, + .fme_object_id = 9, + .port_object_id = 9, + .vendor_id = 0x1234, + .device_id = 0x1234, + .fme_num_errors = 0x1234, + .port_num_errors = 0x1234, + .gbs_guid = "C544CE5C-F630-44E1-8551-59BD87AF432E", + .mdata = ""}; +} + + +const char *skx_mdata = + R"mdata({"version": 1, + "afu-image": + {"clock-frequency-high": 312, + "clock-frequency-low": 156, + "power": 50, + "interface-uuid": "1a422218-6dba-448e-b302-425cbcde1406", + "magic-no": 488605312, + "accelerator-clusters": + [ + { + "total-contexts": 1, + "name": "nlb_400", + "accelerator-type-uuid": "d8424dc4-a4a3-c413-f89e-433683f9040b" + } + ] + }, + "platform-name": "MCP"}" +)mdata"; + +const char *rc_mdata = + R"mdata({"version": 1, + "afu-image": + {"clock-frequency-high": 312, + "clock-frequency-low": 156, + "interface-uuid": "89a05379-528e-5b84-b8f2-348aea5d02c0", + "magic-no": 488605312, + "accelerator-clusters": + [ + { + "total-contexts": 1, + "name": "nlb3", + "accelerator-type-uuid": "d8424dc4-a4a3-c413-f89e-433683f9040b" + } + ] + }, + "platform-name": "PAC"}" +)mdata"; + +const char *vc_mdata = + R"mdata({"version": 1, + "afu-image": + {"clock-frequency-high": 312, + "clock-frequency-low": 156, + "interface-uuid": "cf9b1c50-37c9-45e9-8030-f921b17d2b3a", + "magic-no": 488605312, + "accelerator-clusters": + [ + { + "total-contexts": 1, + "name": "nlb3", + "accelerator-type-uuid": "9aeffe5f-8457-0612-c000-c9660d824272" + } + ] + }, + "platform-name": "PAC"}"; +)mdata"; + +static platform_db MOCK_PLATFORMS = { + {"skx-p", + test_platform{.mock_sysfs = "mock_sys_tmp-1socket-nlb0.tar.gz", + .driver = fpga_driver::linux_intel, + .devices = {test_device{ + .fme_guid = "1A422218-6DBA-448E-B302-425CBCDE1406", + .afu_guid = "D8424DC4-A4A3-C413-F89E-433683F9040B", + .segment = 0x0, + .bus = 0x5e, + .device = 0, + .function = 0, + .num_vfs = 0, + .socket_id = 0, + .num_slots = 1, + .bbs_id = 0x06400002fc614bb9, + .bbs_version = {6, 4, 0}, + .state = FPGA_ACCELERATOR_UNASSIGNED, + .num_mmio = 0x2, + .num_interrupts = 0, + .fme_object_id = 0xf500000, + .port_object_id = 0xf400000, + .vendor_id = 0x8086, + .device_id = 0xbcc0, + .fme_num_errors = 8, + .port_num_errors = 3, + .gbs_guid = "58656f6e-4650-4741-b747-425376303031", + .mdata = skx_mdata}}}}, + {"skx-p-1vf", + test_platform{.mock_sysfs = "mock_sys_tmp-1socket-nlb0-vf.tar.gz", + .driver = fpga_driver::linux_intel, + .devices = {test_device{ + .fme_guid = "1A422218-6DBA-448E-B302-425CBCDE1406", + .afu_guid = "D8424DC4-A4A3-C413-F89E-433683F9040B", + .segment = 0x0, + .bus = 0x5e, + .device = 0, + .function = 0, + .num_vfs = 1, + .socket_id = 0, + .num_slots = 1, + .bbs_id = 0x06400002fc614bb9, + .bbs_version = {6, 4, 0}, + .state = FPGA_ACCELERATOR_UNASSIGNED, + .num_mmio = 0x2, + .num_interrupts = 0, + .fme_object_id = 0xf500000, + .port_object_id = 0xf400000, + .vendor_id = 0x8086, + .device_id = 0xbcc0, + .fme_num_errors = 8, + .port_num_errors = 3, + .gbs_guid = "58656f6e-4650-4741-b747-425376303031", + .mdata = skx_mdata}}}}, + {"dcp-rc", + test_platform{.mock_sysfs = "mock_sys_tmp-dcp-rc-nlb3.tar.gz", + .driver = fpga_driver::linux_intel, + .devices = {test_device{ + .fme_guid = "F64E598B-EA11-517F-A28E-7BC65D885104", + .afu_guid = "D8424DC4-A4A3-C413-F89E-433683F9040B", + .segment = 0x0, + .bus = 0x05, + .device = 0, + .function = 0, + .num_vfs = 0, + .socket_id = 0, + .num_slots = 1, + .bbs_id = 0x0113000200000177, + .bbs_version = {1, 1, 3}, + .state = FPGA_ACCELERATOR_UNASSIGNED, + .num_mmio = 0x2, + .num_interrupts = 0, + .fme_object_id = 0xf500000, + .port_object_id = 0xf400000, + .vendor_id = 0x8086, + .device_id = 0x09c4, + .fme_num_errors = 8, + .port_num_errors = 3, + .gbs_guid = "58656f6e-4650-4741-b747-425376303031", + .mdata = rc_mdata}}}}, + {"skx-p-dfl0", + test_platform{.mock_sysfs = "mock_sys_tmp-dfl0-nlb0.tar.gz", + .driver = fpga_driver::linux_dfl0, + .devices = {test_device{ + .fme_guid = "1A422218-6DBA-448E-B302-425CBCDE1406", + .afu_guid = "D8424DC4-A4A3-C413-F89E-433683F9040B", + .segment = 0x0, + .bus = 0x5e, + .device = 0, + .function = 0, + .num_vfs = 0, + .socket_id = 0, + .num_slots = 1, + .bbs_id = 0x06400002fc614bb9, + .bbs_version = {6, 4, 0}, + .state = FPGA_ACCELERATOR_UNASSIGNED, + .num_mmio = 0x2, + .num_interrupts = 0, + .fme_object_id = 0xf500000, + .port_object_id = 0xf400000, + .vendor_id = 0x8086, + .device_id = 0xbcc0, + .fme_num_errors = 8, + .port_num_errors = 3, + .gbs_guid = "58656f6e-4650-4741-b747-425376303031", + .mdata = skx_mdata}}}}, + {"skx-p-dfl0_patchset2", + test_platform{.mock_sysfs = "mock_sys_tmp-dfl0_patchset2-nlb0.tar.gz", + .driver = fpga_driver::linux_dfl0, + .devices = {test_device{ + .fme_guid = "1A422218-6DBA-448E-B302-425CBCDE1406", + .afu_guid = "D8424DC4-A4A3-C413-F89E-433683F9040B", + .segment = 0x0, + .bus = 0x5e, + .device = 0, + .function = 0, + .num_vfs = 0, + .socket_id = 0, + .num_slots = 1, + .bbs_id = 0x06400002fc614bb9, + .bbs_version = {6, 4, 0}, + .state = FPGA_ACCELERATOR_UNASSIGNED, + .num_mmio = 0x2, + .num_interrupts = 0, + .fme_object_id = 0xf500000, + .port_object_id = 0xf400000, + .vendor_id = 0x8086, + .device_id = 0xbcc0, + .fme_num_errors = 8, + .port_num_errors = 3, + .gbs_guid = "58656f6e-4650-4741-b747-425376303031", + .mdata = skx_mdata}}}}, + {"dcp-rc-dfl0_patchset2", + test_platform{.mock_sysfs = "mock_sys_tmp-dcp-rc-dfl0_patchset2-nlb0.tar.gz", + .driver = fpga_driver::linux_dfl0, + .devices = {test_device{ + .fme_guid = "F64E598B-EA11-517F-A28E-7BC65D885104", + .afu_guid = "D8424DC4-A4A3-C413-F89E-433683F9040B", + .segment = 0x0, + .bus = 0x05, + .device = 0, + .function = 0, + .num_vfs = 0, + .socket_id = 0, + .num_slots = 1, + .bbs_id = 0x0113000200000177, + .bbs_version = {1, 1, 3}, + .state = FPGA_ACCELERATOR_UNASSIGNED, + .num_mmio = 0x2, + .num_interrupts = 0, + .fme_object_id = 0xf500000, + .port_object_id = 0xf400000, + .vendor_id = 0x8086, + .device_id = 0x09c4, + .fme_num_errors = 8, + .port_num_errors = 3, + .gbs_guid = "58656f6e-4650-4741-b747-425376303031", + .mdata = rc_mdata}}}}, + {"dcp-vc", + test_platform{.mock_sysfs = "mock_sys_tmp-dcp-vc.tar.gz", + .driver = fpga_driver::linux_intel, + .devices = {test_device{ + .fme_guid = "CF9B1C50-37C9-45E9-8030-F921B17D2B3A", + .afu_guid = "9AEFFE5F-8457-0612-C000-C9660D824272", + .segment = 0x0, + .bus = 0x05, + .device = 0, + .function = 0, + .num_vfs = 0, + .socket_id = 0, + .num_slots = 1, + .bbs_id = 0x222000200567bd1, + .bbs_version = {2, 2, 2}, + .state = FPGA_ACCELERATOR_UNASSIGNED, + .num_mmio = 0x2, + .num_interrupts = 0, + .fme_object_id = 0xf500000, + .port_object_id = 0xf400000, + .vendor_id = 0x8086, + .device_id = 0x0b30, + .fme_num_errors = 9, + .port_num_errors = 3, + .gbs_guid = "58656f6e-4650-4741-b747-425376303031", + .mdata = vc_mdata}}}}, + {"dcp-vc-dfl0", + test_platform{.mock_sysfs = "mock_sys_tmp-dcp-vc-dfl0_patchset2-nlb0.tar.gz", + .driver = fpga_driver::linux_dfl0, + .devices = {test_device{ + .fme_guid = "CF9B1C50-37C9-45E9-8030-F921B17D2B3A", + .afu_guid = "9AEFFE5F-8457-0612-C000-C9660D824272", + .segment = 0x0, + .bus = 0x05, + .device = 0, + .function = 0, + .num_vfs = 0, + .socket_id = 0, + .num_slots = 1, + .bbs_id = 0x222000200567bd1, + .bbs_version = {2, 2, 2}, + .state = FPGA_ACCELERATOR_UNASSIGNED, + .num_mmio = 0x2, + .num_interrupts = 0, + .fme_object_id = 0xf500000, + .port_object_id = 0xf400000, + .vendor_id = 0x8086, + .device_id = 0x0b30, + .fme_num_errors = 9, + .port_num_errors = 3, + .gbs_guid = "58656f6e-4650-4741-b747-425376303031", + .mdata = vc_mdata}}}} +}; + + +test_platform test_platform::get(const std::string &key) { + return fpga_db::instance()->get(key); +} + +bool test_platform::exists(const std::string &key) { + return fpga_db::instance()->exists(key); +} + +std::vector test_platform::keys(bool sorted) { + return fpga_db::instance()->keys(sorted); +} + +std::vector test_platform::platforms( + std::initializer_list names, fpga_driver drv) { + std::vector keys(names); + if (keys.empty()) { + keys = fpga_db::instance()->keys(); + } + // from the list of platform names requested, remove the ones not found in + // the platform db + keys.erase( + std::remove_if(keys.begin(), keys.end(), [drv](const std::string &n) { + auto db = fpga_db::instance(); + return !db->exists(n) || (drv != fpga_driver::linux_any + && drv != db->get(n).driver) + || db->get(n).devices.empty(); + }), keys.end()); + return keys; +} + +std::vector test_platform::mock_platforms( + std::initializer_list names, fpga_driver drv) { + std::vector keys(names); + if (keys.empty()) { + keys = fpga_db::instance()->keys(); + } + std::vector want; + std::copy_if(keys.begin(), keys.end(), std::back_inserter(want), + [drv](const std::string &k) { + auto db = fpga_db::instance(); + return db->exists(k) && (drv == fpga_driver::linux_any || + db->get(k).driver == drv), + db->get(k).mock_sysfs != nullptr; + }); + return want; +} + +std::vector test_platform::hw_platforms( + std::initializer_list names, fpga_driver drv) { + std::vector keys(names); + if (keys.empty()) { + keys = fpga_db::instance()->keys(); + } + std::vector want; + std::copy_if(keys.begin(), keys.end(), std::back_inserter(want), + [drv](const std::string &k) { + auto db = fpga_db::instance(); + return db->exists(k) && (drv == fpga_driver::linux_any || + db->get(k).driver == drv) && + !db->get(k).devices.empty() && + db->get(k).mock_sysfs == nullptr; + }); + return want; +} + +const std::string PCI_DEVICES = "/sys/bus/pci/devices"; + +typedef std::pair ven_dev_id; +typedef std::tuple platform_cfg; +std::map> known_devices = { + { { 0x8086, 0xbcc0}, std::vector() }, + { { 0x8086, 0xbcc1}, std::vector() }, + { { 0x8086, 0x09c4}, std::vector() }, + { { 0x8086, 0x09c5}, std::vector() }, + { { 0x8086, 0x0b30}, std::vector() }, + { { 0x8086, 0x0b31}, std::vector() }, +}; + +static std::vector supported_devices() { + std::vector devs; + for (auto kv : known_devices) { + devs.push_back(kv.first); + } + return devs; +} + +static std::string read_file(const std::string &path) { + std::ifstream df; + struct stat st; + std::string value_string; + + if (stat(path.c_str(), &st)) { + std::cerr << std::string("WARNING: stat:") + path << ":" << strerror(errno) << "\n"; + return ""; + } + + df.open(path); + if (!df.is_open()) { + std::cerr << std::string("WARNING: could not open file ") + path << "\n"; + return ""; + } + df >> value_string; + return value_string; +} +template +static T parse_file_int(const std::string &path) { + std::string value_string = read_file(path); + + if (value_string.empty()) + return 0; + + T value; + + try { + value = std::stol(value_string, nullptr, 0); + } + catch (std::invalid_argument& e) { + std::cerr << "WARNING: unable to convert integer from file: " << path << std::endl; + return 0; + } + catch (std::out_of_range& e) { + std::cerr << "WARNING: value too large from file: " << path << std::endl; + return 0; + } + + return value; +} + +static std::string make_path(int seg, int bus, int dev, int func){ + std::stringstream num; + num << std::setw(2) << std::hex << bus; + std::string b (num.str()); + num.clear(); + num.str(std::string()); + + num << std::setw(4) << std::setfill('0') << seg; + std::string s (num.str()); + + num.clear(); + num.str(std::string()); + num << std::setw(2) << std::setfill('0') << dev; + std::string d (num.str()); + + std::string device_string = s + ":" + b + ":" + d + "." + std::to_string(func); + return device_string; +} + +static std::string glob_first_path(const std::string path) { + glob_t glob_buf; + glob_buf.gl_pathc = 0; + glob_buf.gl_pathv = NULL; + int globres = glob(path.c_str(), 0, NULL, &glob_buf); + std::string found_path; + + if (!globres){ + if (glob_buf.gl_pathc > 1) { + std::cerr << "Ambiguous object key - using first one" << std::endl; + } + found_path = std::string(glob_buf.gl_pathv[0]); + } + else { + switch (globres) { + case GLOB_NOSPACE: + std::cerr << "FPGA No Memory found." << std::endl; + break; + case GLOB_NOMATCH: + std::cerr << "FPGA Not found." << std::endl; + break; + } + } + + if (glob_buf.gl_pathc && glob_buf.gl_pathv) { + globfree(&glob_buf); + } + + return found_path; +} + +static std::string format_uuid(const std::string &uuid) { + std::string formatted_uuid = uuid; + formatted_uuid.insert(8, "-"); + formatted_uuid.insert(13, "-"); + formatted_uuid.insert(18, "-"); + formatted_uuid.insert(23, "-"); + std::transform(formatted_uuid.begin(), formatted_uuid.end(), formatted_uuid.begin(), ::toupper); + return formatted_uuid; +} + +template +static T read_attribute(const std::string &pci_dir, const std::string &attr) { + std::string attr_path = pci_dir + "/" + attr; + struct stat st; + + + if (stat(attr_path.c_str(), &st)) { + std::cerr << std::string("WARNING: stat:") + attr_path << ":" << strerror(errno) << "\n"; + return 0; + } + return parse_file_int(attr_path); +} + +static uint16_t read_socket_id(const std::string devices) { + std::string glob_path = PCI_DEVICES + "/" + devices + "/fpga*/*/*fme.*/socket_id"; + std::string socket_path = glob_first_path(glob_path); + return parse_file_int(socket_path); +} + +static uint16_t read_device_id(const std::string &pci_dir) { + std::string device_path = pci_dir + "/device"; + return parse_file_int(device_path); +} + +static uint16_t read_vendor_id(const std::string &pci_dir) { + std::string vendor_path = pci_dir + "/vendor"; + return parse_file_int(vendor_path); +} + +static uint64_t read_bitstream_id(const std::string &pci_dir) { + std::string bitstream_path = pci_dir + "/fpga*/*/*-fme.*/bitstream_id"; + bitstream_path = glob_first_path(bitstream_path); + return parse_file_int(bitstream_path); +} + +static std::string read_afu_id(const std::string &pci_dir) { + std::string afu_path = pci_dir + "/fpga*/*/*-port.*/afu_id"; + + afu_path = glob_first_path(afu_path); + return format_uuid(read_file(afu_path)); +} + +static std::string read_pr_interface_id(const std::string &pci_dir) { + std::string pr_interface_path = pci_dir + "/fpga/intel-fpga-dev.*/intel-fpga-fme.*/pr/interface_id"; + pr_interface_path = glob_first_path(pr_interface_path); + + if (pr_interface_path.empty()) { + pr_interface_path = pci_dir + "/fpga_region/region*/dfl-fme.*/dfl-fme-region.*/fpga_region/region*/compat_id"; + pr_interface_path = glob_first_path(pr_interface_path); + } + + return format_uuid(read_file(pr_interface_path)); +} + +int filter_fpga(const struct dirent *ent) { + std::string ename(ent->d_name); + if (ename[0] == '.') { + return 0; + } + std::string pci_path = PCI_DEVICES + "/" + ename; + auto did = read_device_id(pci_path); + auto vid = read_vendor_id(pci_path); + + auto devices = supported_devices(); + std::vector::const_iterator it = std::find(devices.begin(), devices.end(), ven_dev_id(vid, did)); + if (it == devices.end()) { + return 0; + } + known_devices[ven_dev_id(vid, did)].push_back(pci_path); + return 1; +} + +std::vector find_supported_devices() { + struct dirent **dirs; + int n = scandir(PCI_DEVICES.c_str(), &dirs, filter_fpga, alphasort); + if (n == -1) { + std::string msg = "error scanning pci devices: " + std::string(strerror(errno)); + throw std::runtime_error(msg); + } + std::vector entries; + while (n--) { + entries.push_back(std::string(dirs[n]->d_name)); + free(dirs[n]); + } + free(dirs); + return entries; +} + +fpga_db *fpga_db::instance_ = nullptr; + +fpga_db::fpga_db() +{ + +} + +fpga_db *fpga_db::instance() { + if (fpga_db::instance_ == nullptr) { + fpga_db::instance_ = new fpga_db(); + fpga_db::instance_->discover_hw(); + } + return fpga_db::instance_; +} + +static std::map platform_names = { + { platform_cfg(0x8086, 0xbcc0, fpga_driver::linux_intel), "skx-p" }, + { platform_cfg(0x8086, 0xbcc1, fpga_driver::linux_intel), "skx-p-v" }, + { platform_cfg(0x8086, 0x09c4, fpga_driver::linux_intel), "dcp-rc" }, + { platform_cfg(0x8086, 0x09c5, fpga_driver::linux_intel), "dcp-rc-v" }, + { platform_cfg(0x8086, 0xbcc0, fpga_driver::linux_dfl0), "skx-p-dfl0_patchset2" }, + { platform_cfg(0x8086, 0x09c4, fpga_driver::linux_dfl0), "dcp-rc-dfl0_patchset2" }, + { platform_cfg(0x8086, 0xbcc0, fpga_driver::linux_dfl0), "skx-p-dfl0" }, + { platform_cfg(0x8086, 0x0b30, fpga_driver::linux_intel), "dcp-vc" }, + { platform_cfg(0x8086, 0x0b31, fpga_driver::linux_intel), "dcp-vc-v" }, + { platform_cfg(0x8086, 0x0b30, fpga_driver::linux_dfl0), "dcp-vc-dfl0" }, + +}; + +const char *PCI_DEV_PATTERN = "([0-9a-fA-F]{4}):([0-9a-fA-F]{2}):([0-9]{2})\\.([0-9])"; + +test_device make_device(uint16_t ven_id, uint16_t dev_id, const std::string &platform, const std::string &pci_path) { + test_device dev = MOCK_PLATFORMS[platform].devices[0]; + + auto r = regex<>::create(PCI_DEV_PATTERN); + auto m = r->match(pci_path); + if (m) { + dev.segment = std::stoi(m->group(1), nullptr, 16); + dev.bus = std::stoi(m->group(2), nullptr, 16); + dev.device = std::stoi(m->group(3), nullptr, 10); + dev.function = std::stoi(m->group(4), nullptr, 10); + dev.num_vfs = read_attribute(pci_path, "sriov_numvfs"); + dev.vendor_id = ven_id; + dev.device_id = dev_id; + + std::string device_string = make_path(dev.segment, dev.bus, dev.device, dev.function); + dev.socket_id = read_socket_id(device_string); + uint64_t bitstream_id = read_bitstream_id(pci_path); + dev.bbs_id = bitstream_id; + dev.bbs_version = {(uint8_t)FPGA_BBS_VER_MAJOR(bitstream_id), + (uint8_t)FPGA_BBS_VER_MINOR(bitstream_id), + (uint16_t)FPGA_BBS_VER_PATCH(bitstream_id)}; + strcpy(dev.fme_guid, read_pr_interface_id(pci_path).c_str()); + strcpy(dev.afu_guid, read_afu_id(pci_path).c_str()); + } else { + std::cerr << "error matching pci dev pattern (" << pci_path << ")\n"; + } + return dev; +} + +/** + * @brief read the 'driver' symlink to determine if the driver is dfl or intel + * + * @param path a sysfs path representing a device (under + * /sys/bus/pci/) + * + * @return fpga_driver enumerating indicating what kind of driver is bound to + * the device + */ +fpga_driver get_driver(const std::string &path) +{ + char buffer[PATH_MAX] = { 0 }; + std::string sysfs_drvpath = path + "/driver"; + ssize_t lnk_len = readlink(sysfs_drvpath.c_str(), buffer, PATH_MAX); + if (!lnk_len) { + auto msg = std::string("error readling link: ") + sysfs_drvpath; + throw std::runtime_error(msg); + } + std::string bname = basename(buffer); + if (bname == "intel-fpga-pci") { + return fpga_driver::linux_intel; + } + if (bname == "dfl-pci") { + return fpga_driver::linux_dfl0; + } + return fpga_driver::none; +} + +std::pair make_platform(uint16_t ven_id, uint16_t dev_id, const std::vector &pci_paths) { + test_platform platform; + // test_platform data structure only supports one driver (for now) + // TODO: assert that all devices represented by pci_patsh are all bound to + // the same driver - for now, just use the first path + platform.driver = get_driver(pci_paths[0]); + // this is discovered hw platform, set mock_sysfs to null + platform.mock_sysfs = nullptr; + std::string name = platform_names[platform_cfg(ven_id, dev_id, platform.driver)]; + for (auto p : pci_paths) { + platform.devices.push_back(make_device(ven_id, dev_id, name, p)); + } + return std::make_pair(name, platform); +} + +void fpga_db::discover_hw() { + platform_db db; +#ifdef OPAE_ENABLE_MOCK + std::cout << "Mock is enabled." << std::endl; + platforms_ = MOCK_PLATFORMS; +#else + auto sys_pci_devs = find_supported_devices(); + + for (auto kv : known_devices) { + if (!kv.second.empty()) { + ven_dev_id id = kv.first; + platforms_.insert(make_platform(id.first, id.second, kv.second)); + } + } +#endif // OPAE_ENABLE_MOCK +} + +std::vector fpga_db::keys(bool sorted) { + std::vector keys(platforms_.size()); + std::transform( + platforms_.begin(), platforms_.end(), keys.begin(), + [](const std::pair &it) { return it.first; }); + if (sorted) { + std::sort(keys.begin(), keys.end()); + } + + return keys; +} + +test_platform fpga_db::get(const std::string &key) { + return platforms_[key]; +} + +bool fpga_db::exists(const std::string &key) { + return platforms_.find(key) != platforms_.end(); +} + +} // end of namespace testing +} // end of namespace opae diff --git a/external/opae-test/framework/platform/fpga_hw.h b/external/opae-test/framework/platform/fpga_hw.h new file mode 100644 index 0000000..4a8fcf1 --- /dev/null +++ b/external/opae-test/framework/platform/fpga_hw.h @@ -0,0 +1,115 @@ +// Copyright(c) 2017-2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#pragma once +#include + +#include +#include + +#define UUID_LENGTH 37 + +namespace opae { +namespace testing { + +struct test_device { + char fme_guid[UUID_LENGTH]; + char afu_guid[UUID_LENGTH]; + uint16_t segment; + uint8_t bus; + uint8_t device; + uint8_t function; + uint32_t num_vfs; + uint8_t socket_id; + uint32_t num_slots; + uint64_t bbs_id; + fpga_version bbs_version; + fpga_accelerator_state state; + uint32_t num_mmio; + uint32_t num_interrupts; + uint64_t fme_object_id; + uint64_t port_object_id; + uint16_t vendor_id; + uint32_t device_id; + uint32_t fme_num_errors; + uint32_t port_num_errors; + const char *gbs_guid; + const char *mdata; + static test_device unknown(); +}; + + +struct test_platform; + +typedef std::map platform_db; +class fpga_db { +public: + static fpga_db *instance(); + test_platform get(const std::string &key); + bool exists(const std::string &key); + std::vector keys(bool sorted = false); +private: + fpga_db(); + static fpga_db *instance_; + void discover_hw(); + platform_db platforms_; +}; + +#define COUNT_DEVICES(P, F, V) \ + P.count_devices([V](const test_device &td) { return td.F == V;}) + +#define COUNT_DEVICES_STR(P, F, V) \ + P.count_devices([V](const test_device &td) { return strcmp(td.F, V) == 0;}) + +enum class fpga_driver { linux_any, linux_dfl0, linux_intel, none}; + +struct test_platform { + const char *mock_sysfs; + fpga_driver driver; + std::vector devices; + static test_platform get(const std::string &key); + static bool exists(const std::string &key); + static std::vector keys(bool sorted = false); + static std::vector platforms(std::initializer_list names = {}, fpga_driver drv = fpga_driver::linux_any); + static std::vector mock_platforms(std::initializer_list names = {}, fpga_driver drv = fpga_driver::linux_any); + static std::vector hw_platforms(std::initializer_list names = {}, fpga_driver drv = fpga_driver::linux_any); + template + int count_devices(P op) { + int count = 0; + auto b = devices.cbegin(); + auto e = devices.cend(); + while (b != e) { + if (op(*b)) { + count++; + } + b++; + } + return count; + } +}; + + +} // end of namespace testing +} // end of namespace opae diff --git a/external/pybind11/.appveyor.yml b/external/pybind11/.appveyor.yml new file mode 100644 index 0000000..8fbb726 --- /dev/null +++ b/external/pybind11/.appveyor.yml @@ -0,0 +1,70 @@ +version: 1.0.{build} +image: +- Visual Studio 2017 +- Visual Studio 2015 +test: off +skip_branch_with_pr: true +build: + parallel: true +platform: +- x64 +- x86 +environment: + matrix: + - PYTHON: 36 + CPP: 14 + CONFIG: Debug + - PYTHON: 27 + CPP: 14 + CONFIG: Debug + - CONDA: 36 + CPP: latest + CONFIG: Release +matrix: + exclude: + - image: Visual Studio 2015 + platform: x86 + - image: Visual Studio 2015 + CPP: latest + - image: Visual Studio 2017 + CPP: latest + platform: x86 +install: +- ps: | + if ($env:PLATFORM -eq "x64") { $env:CMAKE_ARCH = "x64" } + if ($env:APPVEYOR_JOB_NAME -like "*Visual Studio 2017*") { + $env:CMAKE_GENERATOR = "Visual Studio 15 2017" + $env:CMAKE_INCLUDE_PATH = "C:\Libraries\boost_1_64_0" + $env:CXXFLAGS = "-permissive-" + } else { + $env:CMAKE_GENERATOR = "Visual Studio 14 2015" + } + if ($env:PYTHON) { + if ($env:PLATFORM -eq "x64") { $env:PYTHON = "$env:PYTHON-x64" } + $env:PATH = "C:\Python$env:PYTHON\;C:\Python$env:PYTHON\Scripts\;$env:PATH" + python -W ignore -m pip install --upgrade pip wheel + python -W ignore -m pip install pytest numpy --no-warn-script-location + } elseif ($env:CONDA) { + if ($env:CONDA -eq "27") { $env:CONDA = "" } + if ($env:PLATFORM -eq "x64") { $env:CONDA = "$env:CONDA-x64" } + $env:PATH = "C:\Miniconda$env:CONDA\;C:\Miniconda$env:CONDA\Scripts\;$env:PATH" + $env:PYTHONHOME = "C:\Miniconda$env:CONDA" + conda --version + conda install -y -q pytest numpy scipy + } +- ps: | + Start-FileDownload 'http://bitbucket.org/eigen/eigen/get/3.3.3.zip' + 7z x 3.3.3.zip -y > $null + $env:CMAKE_INCLUDE_PATH = "eigen-eigen-67e894c6cd8f;$env:CMAKE_INCLUDE_PATH" +build_script: +- cmake -G "%CMAKE_GENERATOR%" -A "%CMAKE_ARCH%" + -DPYBIND11_CPP_STANDARD=/std:c++%CPP% + -DPYBIND11_WERROR=ON + -DDOWNLOAD_CATCH=ON + -DCMAKE_SUPPRESS_REGENERATION=1 + . +- set MSBuildLogger="C:\Program Files\AppVeyor\BuildAgent\Appveyor.MSBuildLogger.dll" +- cmake --build . --config %CONFIG% --target pytest -- /m /v:m /logger:%MSBuildLogger% +- cmake --build . --config %CONFIG% --target cpptest -- /m /v:m /logger:%MSBuildLogger% +- if "%CPP%"=="latest" (cmake --build . --config %CONFIG% --target test_cmake_build -- /m /v:m /logger:%MSBuildLogger%) +on_failure: if exist "tests\test_cmake_build" type tests\test_cmake_build\*.log* diff --git a/external/pybind11/.gitmodules b/external/pybind11/.gitmodules new file mode 100644 index 0000000..d063a8e --- /dev/null +++ b/external/pybind11/.gitmodules @@ -0,0 +1,3 @@ +[submodule "tools/clang"] + path = tools/clang + url = ../../wjakob/clang-cindex-python3 diff --git a/external/pybind11/.readthedocs.yml b/external/pybind11/.readthedocs.yml new file mode 100644 index 0000000..c9c6161 --- /dev/null +++ b/external/pybind11/.readthedocs.yml @@ -0,0 +1,3 @@ +python: + version: 3 +requirements_file: docs/requirements.txt diff --git a/external/pybind11/CMakeLists.txt b/external/pybind11/CMakeLists.txt new file mode 100644 index 0000000..85ecd90 --- /dev/null +++ b/external/pybind11/CMakeLists.txt @@ -0,0 +1,157 @@ +# CMakeLists.txt -- Build system for the pybind11 modules +# +# Copyright (c) 2015 Wenzel Jakob +# +# All rights reserved. Use of this source code is governed by a +# BSD-style license that can be found in the LICENSE file. + +cmake_minimum_required(VERSION 2.8.12) + +if (POLICY CMP0048) + # cmake warns if loaded from a min-3.0-required parent dir, so silence the warning: + cmake_policy(SET CMP0048 NEW) +endif() + +# CMake versions < 3.4.0 do not support try_compile/pthread checks without C as active language. +if(CMAKE_VERSION VERSION_LESS 3.4.0) + project(pybind11) +else() + project(pybind11 CXX) +endif() + +# Check if pybind11 is being used directly or via add_subdirectory +set(PYBIND11_MASTER_PROJECT OFF) +if (CMAKE_CURRENT_SOURCE_DIR STREQUAL CMAKE_SOURCE_DIR) + set(PYBIND11_MASTER_PROJECT ON) +endif() + +option(PYBIND11_INSTALL "Install pybind11 header files?" ${PYBIND11_MASTER_PROJECT}) +option(PYBIND11_TEST "Build pybind11 test suite?" ${PYBIND11_MASTER_PROJECT}) + +list(APPEND CMAKE_MODULE_PATH "${CMAKE_CURRENT_LIST_DIR}/tools") + +include(pybind11Tools) + +# Cache variables so pybind11_add_module can be used in parent projects +set(PYBIND11_INCLUDE_DIR "${CMAKE_CURRENT_LIST_DIR}/include" CACHE INTERNAL "") +set(PYTHON_INCLUDE_DIRS ${PYTHON_INCLUDE_DIRS} CACHE INTERNAL "") +set(PYTHON_LIBRARIES ${PYTHON_LIBRARIES} CACHE INTERNAL "") +set(PYTHON_MODULE_PREFIX ${PYTHON_MODULE_PREFIX} CACHE INTERNAL "") +set(PYTHON_MODULE_EXTENSION ${PYTHON_MODULE_EXTENSION} CACHE INTERNAL "") +set(PYTHON_VERSION_MAJOR ${PYTHON_VERSION_MAJOR} CACHE INTERNAL "") +set(PYTHON_VERSION_MINOR ${PYTHON_VERSION_MINOR} CACHE INTERNAL "") + +# NB: when adding a header don't forget to also add it to setup.py +set(PYBIND11_HEADERS + include/pybind11/detail/class.h + include/pybind11/detail/common.h + include/pybind11/detail/descr.h + include/pybind11/detail/init.h + include/pybind11/detail/internals.h + include/pybind11/detail/typeid.h + include/pybind11/attr.h + include/pybind11/buffer_info.h + include/pybind11/cast.h + include/pybind11/chrono.h + include/pybind11/common.h + include/pybind11/complex.h + include/pybind11/options.h + include/pybind11/eigen.h + include/pybind11/embed.h + include/pybind11/eval.h + include/pybind11/functional.h + include/pybind11/numpy.h + include/pybind11/operators.h + include/pybind11/pybind11.h + include/pybind11/pytypes.h + include/pybind11/stl.h + include/pybind11/stl_bind.h +) +string(REPLACE "include/" "${CMAKE_CURRENT_SOURCE_DIR}/include/" + PYBIND11_HEADERS "${PYBIND11_HEADERS}") + +if (PYBIND11_TEST) + add_subdirectory(tests) +endif() + +include(GNUInstallDirs) +include(CMakePackageConfigHelpers) + +# extract project version from source +file(STRINGS "${PYBIND11_INCLUDE_DIR}/pybind11/detail/common.h" pybind11_version_defines + REGEX "#define PYBIND11_VERSION_(MAJOR|MINOR|PATCH) ") +foreach(ver ${pybind11_version_defines}) + if (ver MATCHES "#define PYBIND11_VERSION_(MAJOR|MINOR|PATCH) +([^ ]+)$") + set(PYBIND11_VERSION_${CMAKE_MATCH_1} "${CMAKE_MATCH_2}" CACHE INTERNAL "") + endif() +endforeach() +set(${PROJECT_NAME}_VERSION ${PYBIND11_VERSION_MAJOR}.${PYBIND11_VERSION_MINOR}.${PYBIND11_VERSION_PATCH}) +message(STATUS "pybind11 v${${PROJECT_NAME}_VERSION}") + +option (USE_PYTHON_INCLUDE_DIR "Install pybind11 headers in Python include directory instead of default installation prefix" OFF) +if (USE_PYTHON_INCLUDE_DIR) + file(RELATIVE_PATH CMAKE_INSTALL_INCLUDEDIR ${CMAKE_INSTALL_PREFIX} ${PYTHON_INCLUDE_DIRS}) +endif() + +if(NOT (CMAKE_VERSION VERSION_LESS 3.0)) # CMake >= 3.0 + # Build an interface library target: + add_library(pybind11 INTERFACE) + add_library(pybind11::pybind11 ALIAS pybind11) # to match exported target + target_include_directories(pybind11 INTERFACE $ + $ + $) + target_compile_options(pybind11 INTERFACE $) + + add_library(module INTERFACE) + add_library(pybind11::module ALIAS module) + if(NOT MSVC) + target_compile_options(module INTERFACE -fvisibility=hidden) + endif() + target_link_libraries(module INTERFACE pybind11::pybind11) + if(WIN32 OR CYGWIN) + target_link_libraries(module INTERFACE $) + elseif(APPLE) + target_link_libraries(module INTERFACE "-undefined dynamic_lookup") + endif() + + add_library(embed INTERFACE) + add_library(pybind11::embed ALIAS embed) + target_link_libraries(embed INTERFACE pybind11::pybind11 $) +endif() + +if (PYBIND11_INSTALL) + install(DIRECTORY ${PYBIND11_INCLUDE_DIR}/pybind11 DESTINATION ${CMAKE_INSTALL_INCLUDEDIR}) + # GNUInstallDirs "DATADIR" wrong here; CMake search path wants "share". + set(PYBIND11_CMAKECONFIG_INSTALL_DIR "share/cmake/${PROJECT_NAME}" CACHE STRING "install path for pybind11Config.cmake") + + configure_package_config_file(tools/${PROJECT_NAME}Config.cmake.in + "${CMAKE_CURRENT_BINARY_DIR}/${PROJECT_NAME}Config.cmake" + INSTALL_DESTINATION ${PYBIND11_CMAKECONFIG_INSTALL_DIR}) + # Remove CMAKE_SIZEOF_VOID_P from ConfigVersion.cmake since the library does + # not depend on architecture specific settings or libraries. + set(_PYBIND11_CMAKE_SIZEOF_VOID_P ${CMAKE_SIZEOF_VOID_P}) + unset(CMAKE_SIZEOF_VOID_P) + write_basic_package_version_file(${CMAKE_CURRENT_BINARY_DIR}/${PROJECT_NAME}ConfigVersion.cmake + VERSION ${${PROJECT_NAME}_VERSION} + COMPATIBILITY AnyNewerVersion) + set(CMAKE_SIZEOF_VOID_P ${_PYBIND11_CMAKE_SIZEOF_VOID_P}) + install(FILES ${CMAKE_CURRENT_BINARY_DIR}/${PROJECT_NAME}Config.cmake + ${CMAKE_CURRENT_BINARY_DIR}/${PROJECT_NAME}ConfigVersion.cmake + tools/FindPythonLibsNew.cmake + tools/pybind11Tools.cmake + DESTINATION ${PYBIND11_CMAKECONFIG_INSTALL_DIR}) + + if(NOT (CMAKE_VERSION VERSION_LESS 3.0)) + if(NOT PYBIND11_EXPORT_NAME) + set(PYBIND11_EXPORT_NAME "${PROJECT_NAME}Targets") + endif() + + install(TARGETS pybind11 module embed + EXPORT "${PYBIND11_EXPORT_NAME}") + if(PYBIND11_MASTER_PROJECT) + install(EXPORT "${PYBIND11_EXPORT_NAME}" + NAMESPACE "${PROJECT_NAME}::" + DESTINATION ${PYBIND11_CMAKECONFIG_INSTALL_DIR}) + endif() + endif() +endif() diff --git a/external/pybind11/CONTRIBUTING.md b/external/pybind11/CONTRIBUTING.md new file mode 100644 index 0000000..01596d9 --- /dev/null +++ b/external/pybind11/CONTRIBUTING.md @@ -0,0 +1,49 @@ +Thank you for your interest in this project! Please refer to the following +sections on how to contribute code and bug reports. + +### Reporting bugs + +At the moment, this project is run in the spare time of a single person +([Wenzel Jakob](http://rgl.epfl.ch/people/wjakob)) with very limited resources +for issue tracker tickets. Thus, before submitting a question or bug report, +please take a moment of your time and ensure that your issue isn't already +discussed in the project documentation provided at +[http://pybind11.readthedocs.org/en/latest](http://pybind11.readthedocs.org/en/latest). + +Assuming that you have identified a previously unknown problem or an important +question, it's essential that you submit a self-contained and minimal piece of +code that reproduces the problem. In other words: no external dependencies, +isolate the function(s) that cause breakage, submit matched and complete C++ +and Python snippets that can be easily compiled and run on my end. + +## Pull requests +Contributions are submitted, reviewed, and accepted using Github pull requests. +Please refer to [this +article](https://help.github.com/articles/using-pull-requests) for details and +adhere to the following rules to make the process as smooth as possible: + +* Make a new branch for every feature you're working on. +* Make small and clean pull requests that are easy to review but make sure they + do add value by themselves. +* Add tests for any new functionality and run the test suite (``make pytest``) + to ensure that no existing features break. +* Please run ``flake8`` and ``tools/check-style.sh`` to check your code matches + the project style. (Note that ``check-style.sh`` requires ``gawk``.) +* This project has a strong focus on providing general solutions using a + minimal amount of code, thus small pull requests are greatly preferred. + +### Licensing of contributions + +pybind11 is provided under a BSD-style license that can be found in the +``LICENSE`` file. By using, distributing, or contributing to this project, you +agree to the terms and conditions of this license. + +You are under no obligation whatsoever to provide any bug fixes, patches, or +upgrades to the features, functionality or performance of the source code +("Enhancements") to anyone; however, if you choose to make your Enhancements +available either publicly, or directly to the author of this software, without +imposing a separate written license agreement for such Enhancements, then you +hereby grant the following license: a non-exclusive, royalty-free perpetual +license to install, use, modify, prepare derivative works, incorporate into +other computer software, distribute, and sublicense such enhancements or +derivative works thereof, in binary and source code form. diff --git a/external/pybind11/ISSUE_TEMPLATE.md b/external/pybind11/ISSUE_TEMPLATE.md new file mode 100644 index 0000000..75df399 --- /dev/null +++ b/external/pybind11/ISSUE_TEMPLATE.md @@ -0,0 +1,17 @@ +Make sure you've completed the following steps before submitting your issue -- thank you! + +1. Check if your question has already been answered in the [FAQ](http://pybind11.readthedocs.io/en/latest/faq.html) section. +2. Make sure you've read the [documentation](http://pybind11.readthedocs.io/en/latest/). Your issue may be addressed there. +3. If those resources didn't help and you only have a short question (not a bug report), consider asking in the [Gitter chat room](https://gitter.im/pybind/Lobby). +4. If you have a genuine bug report or a more complex question which is not answered in the previous items (or not suitable for chat), please fill in the details below. +5. Include a self-contained and minimal piece of code that reproduces the problem. If that's not possible, try to make the description as clear as possible. + +*After reading, remove this checklist and the template text in parentheses below.* + +## Issue description + +(Provide a short description, state the expected behavior and what actually happens.) + +## Reproducible example code + +(The code should be minimal, have no external dependencies, isolate the function(s) that cause breakage. Submit matched and complete C++ and Python snippets that can be easily compiled and run to diagnose the issue.) diff --git a/external/pybind11/LICENSE b/external/pybind11/LICENSE new file mode 100644 index 0000000..6f15578 --- /dev/null +++ b/external/pybind11/LICENSE @@ -0,0 +1,29 @@ +Copyright (c) 2016 Wenzel Jakob , All rights reserved. + +Redistribution and use in source and binary forms, with or without +modification, are permitted provided that the following conditions are met: + +1. Redistributions of source code must retain the above copyright notice, this + list of conditions and the following disclaimer. + +2. Redistributions in binary form must reproduce the above copyright notice, + this list of conditions and the following disclaimer in the documentation + and/or other materials provided with the distribution. + +3. Neither the name of the copyright holder nor the names of its contributors + may be used to endorse or promote products derived from this software + without specific prior written permission. + +THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND +ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED +WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE +DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE +FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL +DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR +SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER +CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, +OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE +OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. + +Please also refer to the file CONTRIBUTING.md, which clarifies licensing of +external contributions to this project including patches, pull requests, etc. diff --git a/external/pybind11/MANIFEST.in b/external/pybind11/MANIFEST.in new file mode 100644 index 0000000..6e57bae --- /dev/null +++ b/external/pybind11/MANIFEST.in @@ -0,0 +1,2 @@ +recursive-include include/pybind11 *.h +include LICENSE README.md CONTRIBUTING.md diff --git a/external/pybind11/README.md b/external/pybind11/README.md new file mode 100644 index 0000000..35d2d76 --- /dev/null +++ b/external/pybind11/README.md @@ -0,0 +1,129 @@ +![pybind11 logo](https://github.com/pybind/pybind11/raw/master/docs/pybind11-logo.png) + +# pybind11 ā€” Seamless operability between C++11 and Python + +[![Documentation Status](https://readthedocs.org/projects/pybind11/badge/?version=master)](http://pybind11.readthedocs.org/en/master/?badge=master) +[![Documentation Status](https://readthedocs.org/projects/pybind11/badge/?version=stable)](http://pybind11.readthedocs.org/en/stable/?badge=stable) +[![Gitter chat](https://img.shields.io/gitter/room/gitterHQ/gitter.svg)](https://gitter.im/pybind/Lobby) +[![Build Status](https://travis-ci.org/pybind/pybind11.svg?branch=master)](https://travis-ci.org/pybind/pybind11) +[![Build status](https://ci.appveyor.com/api/projects/status/riaj54pn4h08xy40?svg=true)](https://ci.appveyor.com/project/wjakob/pybind11) + +**pybind11** is a lightweight header-only library that exposes C++ types in Python +and vice versa, mainly to create Python bindings of existing C++ code. Its +goals and syntax are similar to the excellent +[Boost.Python](http://www.boost.org/doc/libs/1_58_0/libs/python/doc/) library +by David Abrahams: to minimize boilerplate code in traditional extension +modules by inferring type information using compile-time introspection. + +The main issue with Boost.Pythonā€”and the reason for creating such a similar +projectā€”is Boost. Boost is an enormously large and complex suite of utility +libraries that works with almost every C++ compiler in existence. This +compatibility has its cost: arcane template tricks and workarounds are +necessary to support the oldest and buggiest of compiler specimens. Now that +C++11-compatible compilers are widely available, this heavy machinery has +become an excessively large and unnecessary dependency. + +Think of this library as a tiny self-contained version of Boost.Python with +everything stripped away that isn't relevant for binding generation. Without +comments, the core header files only require ~4K lines of code and depend on +Python (2.7 or 3.x, or PyPy2.7 >= 5.7) and the C++ standard library. This +compact implementation was possible thanks to some of the new C++11 language +features (specifically: tuples, lambda functions and variadic templates). Since +its creation, this library has grown beyond Boost.Python in many ways, leading +to dramatically simpler binding code in many common situations. + +Tutorial and reference documentation is provided at +[http://pybind11.readthedocs.org/en/master](http://pybind11.readthedocs.org/en/master). +A PDF version of the manual is available +[here](https://media.readthedocs.org/pdf/pybind11/master/pybind11.pdf). + +## Core features +pybind11 can map the following core C++ features to Python + +- Functions accepting and returning custom data structures per value, reference, or pointer +- Instance methods and static methods +- Overloaded functions +- Instance attributes and static attributes +- Arbitrary exception types +- Enumerations +- Callbacks +- Iterators and ranges +- Custom operators +- Single and multiple inheritance +- STL data structures +- Smart pointers with reference counting like ``std::shared_ptr`` +- Internal references with correct reference counting +- C++ classes with virtual (and pure virtual) methods can be extended in Python + +## Goodies +In addition to the core functionality, pybind11 provides some extra goodies: + +- Python 2.7, 3.x, and PyPy (PyPy2.7 >= 5.7) are supported with an + implementation-agnostic interface. + +- It is possible to bind C++11 lambda functions with captured variables. The + lambda capture data is stored inside the resulting Python function object. + +- pybind11 uses C++11 move constructors and move assignment operators whenever + possible to efficiently transfer custom data types. + +- It's easy to expose the internal storage of custom data types through + Pythons' buffer protocols. This is handy e.g. for fast conversion between + C++ matrix classes like Eigen and NumPy without expensive copy operations. + +- pybind11 can automatically vectorize functions so that they are transparently + applied to all entries of one or more NumPy array arguments. + +- Python's slice-based access and assignment operations can be supported with + just a few lines of code. + +- Everything is contained in just a few header files; there is no need to link + against any additional libraries. + +- Binaries are generally smaller by a factor of at least 2 compared to + equivalent bindings generated by Boost.Python. A recent pybind11 conversion + of PyRosetta, an enormous Boost.Python binding project, + [reported](http://graylab.jhu.edu/RosettaCon2016/PyRosetta-4.pdf) a binary + size reduction of **5.4x** and compile time reduction by **5.8x**. + +- Function signatures are precomputed at compile time (using ``constexpr``), + leading to smaller binaries. + +- With little extra effort, C++ types can be pickled and unpickled similar to + regular Python objects. + +## Supported compilers + +1. Clang/LLVM 3.3 or newer (for Apple Xcode's clang, this is 5.0.0 or newer) +2. GCC 4.8 or newer +3. Microsoft Visual Studio 2015 Update 3 or newer +4. Intel C++ compiler 17 or newer (16 with pybind11 v2.0 and 15 with pybind11 v2.0 and a [workaround](https://github.com/pybind/pybind11/issues/276)) +5. Cygwin/GCC (tested on 2.5.1) + +## About + +This project was created by [Wenzel Jakob](http://rgl.epfl.ch/people/wjakob). +Significant features and/or improvements to the code were contributed by +Jonas Adler, +Lori A. Burns, +Sylvain Corlay, +Trent Houliston, +Axel Huebl, +@hulucc, +Sergey Lyskov +Johan Mabille, +Tomasz Miąsko, +Dean Moldovan, +Ben Pritchard, +Jason Rhinelander, +Boris SchƤling, +Pim Schellart, +Henry Schreiner, +Ivan Smirnov, and +Patrick Stewart. + +### License + +pybind11 is provided under a BSD-style license that can be found in the +``LICENSE`` file. By using, distributing, or contributing to this project, +you agree to the terms and conditions of this license. diff --git a/external/pybind11/docs/Doxyfile b/external/pybind11/docs/Doxyfile new file mode 100644 index 0000000..1b9d129 --- /dev/null +++ b/external/pybind11/docs/Doxyfile @@ -0,0 +1,20 @@ +PROJECT_NAME = pybind11 +INPUT = ../include/pybind11/ +RECURSIVE = YES + +GENERATE_HTML = NO +GENERATE_LATEX = NO +GENERATE_XML = YES +XML_OUTPUT = .build/doxygenxml +XML_PROGRAMLISTING = YES + +MACRO_EXPANSION = YES +EXPAND_ONLY_PREDEF = YES +EXPAND_AS_DEFINED = PYBIND11_RUNTIME_EXCEPTION + +ALIASES = "rst=\verbatim embed:rst" +ALIASES += "endrst=\endverbatim" + +QUIET = YES +WARNINGS = YES +WARN_IF_UNDOCUMENTED = NO diff --git a/external/pybind11/docs/Makefile b/external/pybind11/docs/Makefile new file mode 100644 index 0000000..511b47c --- /dev/null +++ b/external/pybind11/docs/Makefile @@ -0,0 +1,192 @@ +# Makefile for Sphinx documentation +# + +# You can set these variables from the command line. +SPHINXOPTS = +SPHINXBUILD = sphinx-build +PAPER = +BUILDDIR = .build + +# User-friendly check for sphinx-build +ifeq ($(shell which $(SPHINXBUILD) >/dev/null 2>&1; echo $$?), 1) +$(error The '$(SPHINXBUILD)' command was not found. Make sure you have Sphinx installed, then set the SPHINXBUILD environment variable to point to the full path of the '$(SPHINXBUILD)' executable. Alternatively you can add the directory with the executable to your PATH. If you don't have Sphinx installed, grab it from http://sphinx-doc.org/) +endif + +# Internal variables. +PAPEROPT_a4 = -D latex_paper_size=a4 +PAPEROPT_letter = -D latex_paper_size=letter +ALLSPHINXOPTS = -d $(BUILDDIR)/doctrees $(PAPEROPT_$(PAPER)) $(SPHINXOPTS) . +# the i18n builder cannot share the environment and doctrees with the others +I18NSPHINXOPTS = $(PAPEROPT_$(PAPER)) $(SPHINXOPTS) . + +.PHONY: help clean html dirhtml singlehtml pickle json htmlhelp qthelp devhelp epub latex latexpdf text man changes linkcheck doctest coverage gettext + +help: + @echo "Please use \`make ' where is one of" + @echo " html to make standalone HTML files" + @echo " dirhtml to make HTML files named index.html in directories" + @echo " singlehtml to make a single large HTML file" + @echo " pickle to make pickle files" + @echo " json to make JSON files" + @echo " htmlhelp to make HTML files and a HTML help project" + @echo " qthelp to make HTML files and a qthelp project" + @echo " applehelp to make an Apple Help Book" + @echo " devhelp to make HTML files and a Devhelp project" + @echo " epub to make an epub" + @echo " latex to make LaTeX files, you can set PAPER=a4 or PAPER=letter" + @echo " latexpdf to make LaTeX files and run them through pdflatex" + @echo " latexpdfja to make LaTeX files and run them through platex/dvipdfmx" + @echo " text to make text files" + @echo " man to make manual pages" + @echo " texinfo to make Texinfo files" + @echo " info to make Texinfo files and run them through makeinfo" + @echo " gettext to make PO message catalogs" + @echo " changes to make an overview of all changed/added/deprecated items" + @echo " xml to make Docutils-native XML files" + @echo " pseudoxml to make pseudoxml-XML files for display purposes" + @echo " linkcheck to check all external links for integrity" + @echo " doctest to run all doctests embedded in the documentation (if enabled)" + @echo " coverage to run coverage check of the documentation (if enabled)" + +clean: + rm -rf $(BUILDDIR)/* + +html: + $(SPHINXBUILD) -b html $(ALLSPHINXOPTS) $(BUILDDIR)/html + @echo + @echo "Build finished. The HTML pages are in $(BUILDDIR)/html." + +dirhtml: + $(SPHINXBUILD) -b dirhtml $(ALLSPHINXOPTS) $(BUILDDIR)/dirhtml + @echo + @echo "Build finished. The HTML pages are in $(BUILDDIR)/dirhtml." + +singlehtml: + $(SPHINXBUILD) -b singlehtml $(ALLSPHINXOPTS) $(BUILDDIR)/singlehtml + @echo + @echo "Build finished. The HTML page is in $(BUILDDIR)/singlehtml." + +pickle: + $(SPHINXBUILD) -b pickle $(ALLSPHINXOPTS) $(BUILDDIR)/pickle + @echo + @echo "Build finished; now you can process the pickle files." + +json: + $(SPHINXBUILD) -b json $(ALLSPHINXOPTS) $(BUILDDIR)/json + @echo + @echo "Build finished; now you can process the JSON files." + +htmlhelp: + $(SPHINXBUILD) -b htmlhelp $(ALLSPHINXOPTS) $(BUILDDIR)/htmlhelp + @echo + @echo "Build finished; now you can run HTML Help Workshop with the" \ + ".hhp project file in $(BUILDDIR)/htmlhelp." + +qthelp: + $(SPHINXBUILD) -b qthelp $(ALLSPHINXOPTS) $(BUILDDIR)/qthelp + @echo + @echo "Build finished; now you can run "qcollectiongenerator" with the" \ + ".qhcp project file in $(BUILDDIR)/qthelp, like this:" + @echo "# qcollectiongenerator $(BUILDDIR)/qthelp/pybind11.qhcp" + @echo "To view the help file:" + @echo "# assistant -collectionFile $(BUILDDIR)/qthelp/pybind11.qhc" + +applehelp: + $(SPHINXBUILD) -b applehelp $(ALLSPHINXOPTS) $(BUILDDIR)/applehelp + @echo + @echo "Build finished. The help book is in $(BUILDDIR)/applehelp." + @echo "N.B. You won't be able to view it unless you put it in" \ + "~/Library/Documentation/Help or install it in your application" \ + "bundle." + +devhelp: + $(SPHINXBUILD) -b devhelp $(ALLSPHINXOPTS) $(BUILDDIR)/devhelp + @echo + @echo "Build finished." + @echo "To view the help file:" + @echo "# mkdir -p $$HOME/.local/share/devhelp/pybind11" + @echo "# ln -s $(BUILDDIR)/devhelp $$HOME/.local/share/devhelp/pybind11" + @echo "# devhelp" + +epub: + $(SPHINXBUILD) -b epub $(ALLSPHINXOPTS) $(BUILDDIR)/epub + @echo + @echo "Build finished. The epub file is in $(BUILDDIR)/epub." + +latex: + $(SPHINXBUILD) -b latex $(ALLSPHINXOPTS) $(BUILDDIR)/latex + @echo + @echo "Build finished; the LaTeX files are in $(BUILDDIR)/latex." + @echo "Run \`make' in that directory to run these through (pdf)latex" \ + "(use \`make latexpdf' here to do that automatically)." + +latexpdf: + $(SPHINXBUILD) -b latex $(ALLSPHINXOPTS) $(BUILDDIR)/latex + @echo "Running LaTeX files through pdflatex..." + $(MAKE) -C $(BUILDDIR)/latex all-pdf + @echo "pdflatex finished; the PDF files are in $(BUILDDIR)/latex." + +latexpdfja: + $(SPHINXBUILD) -b latex $(ALLSPHINXOPTS) $(BUILDDIR)/latex + @echo "Running LaTeX files through platex and dvipdfmx..." + $(MAKE) -C $(BUILDDIR)/latex all-pdf-ja + @echo "pdflatex finished; the PDF files are in $(BUILDDIR)/latex." + +text: + $(SPHINXBUILD) -b text $(ALLSPHINXOPTS) $(BUILDDIR)/text + @echo + @echo "Build finished. The text files are in $(BUILDDIR)/text." + +man: + $(SPHINXBUILD) -b man $(ALLSPHINXOPTS) $(BUILDDIR)/man + @echo + @echo "Build finished. The manual pages are in $(BUILDDIR)/man." + +texinfo: + $(SPHINXBUILD) -b texinfo $(ALLSPHINXOPTS) $(BUILDDIR)/texinfo + @echo + @echo "Build finished. The Texinfo files are in $(BUILDDIR)/texinfo." + @echo "Run \`make' in that directory to run these through makeinfo" \ + "(use \`make info' here to do that automatically)." + +info: + $(SPHINXBUILD) -b texinfo $(ALLSPHINXOPTS) $(BUILDDIR)/texinfo + @echo "Running Texinfo files through makeinfo..." + make -C $(BUILDDIR)/texinfo info + @echo "makeinfo finished; the Info files are in $(BUILDDIR)/texinfo." + +gettext: + $(SPHINXBUILD) -b gettext $(I18NSPHINXOPTS) $(BUILDDIR)/locale + @echo + @echo "Build finished. The message catalogs are in $(BUILDDIR)/locale." + +changes: + $(SPHINXBUILD) -b changes $(ALLSPHINXOPTS) $(BUILDDIR)/changes + @echo + @echo "The overview file is in $(BUILDDIR)/changes." + +linkcheck: + $(SPHINXBUILD) -b linkcheck $(ALLSPHINXOPTS) $(BUILDDIR)/linkcheck + @echo + @echo "Link check complete; look for any errors in the above output " \ + "or in $(BUILDDIR)/linkcheck/output.txt." + +doctest: + $(SPHINXBUILD) -b doctest $(ALLSPHINXOPTS) $(BUILDDIR)/doctest + @echo "Testing of doctests in the sources finished, look at the " \ + "results in $(BUILDDIR)/doctest/output.txt." + +coverage: + $(SPHINXBUILD) -b coverage $(ALLSPHINXOPTS) $(BUILDDIR)/coverage + @echo "Testing of coverage in the sources finished, look at the " \ + "results in $(BUILDDIR)/coverage/python.txt." + +xml: + $(SPHINXBUILD) -b xml $(ALLSPHINXOPTS) $(BUILDDIR)/xml + @echo + @echo "Build finished. The XML files are in $(BUILDDIR)/xml." + +pseudoxml: + $(SPHINXBUILD) -b pseudoxml $(ALLSPHINXOPTS) $(BUILDDIR)/pseudoxml + @echo + @echo "Build finished. The pseudo-XML files are in $(BUILDDIR)/pseudoxml." diff --git a/external/pybind11/docs/_static/theme_overrides.css b/external/pybind11/docs/_static/theme_overrides.css new file mode 100644 index 0000000..1071809 --- /dev/null +++ b/external/pybind11/docs/_static/theme_overrides.css @@ -0,0 +1,11 @@ +.wy-table-responsive table td, +.wy-table-responsive table th { + white-space: initial !important; +} +.rst-content table.docutils td { + vertical-align: top !important; +} +div[class^='highlight'] pre { + white-space: pre; + white-space: pre-wrap; +} diff --git a/external/pybind11/docs/advanced/cast/chrono.rst b/external/pybind11/docs/advanced/cast/chrono.rst new file mode 100644 index 0000000..fbd4605 --- /dev/null +++ b/external/pybind11/docs/advanced/cast/chrono.rst @@ -0,0 +1,81 @@ +Chrono +====== + +When including the additional header file :file:`pybind11/chrono.h` conversions +from C++11 chrono datatypes to python datetime objects are automatically enabled. +This header also enables conversions of python floats (often from sources such +as ``time.monotonic()``, ``time.perf_counter()`` and ``time.process_time()``) +into durations. + +An overview of clocks in C++11 +------------------------------ + +A point of confusion when using these conversions is the differences between +clocks provided in C++11. There are three clock types defined by the C++11 +standard and users can define their own if needed. Each of these clocks have +different properties and when converting to and from python will give different +results. + +The first clock defined by the standard is ``std::chrono::system_clock``. This +clock measures the current date and time. However, this clock changes with to +updates to the operating system time. For example, if your time is synchronised +with a time server this clock will change. This makes this clock a poor choice +for timing purposes but good for measuring the wall time. + +The second clock defined in the standard is ``std::chrono::steady_clock``. +This clock ticks at a steady rate and is never adjusted. This makes it excellent +for timing purposes, however the value in this clock does not correspond to the +current date and time. Often this clock will be the amount of time your system +has been on, although it does not have to be. This clock will never be the same +clock as the system clock as the system clock can change but steady clocks +cannot. + +The third clock defined in the standard is ``std::chrono::high_resolution_clock``. +This clock is the clock that has the highest resolution out of the clocks in the +system. It is normally a typedef to either the system clock or the steady clock +but can be its own independent clock. This is important as when using these +conversions as the types you get in python for this clock might be different +depending on the system. +If it is a typedef of the system clock, python will get datetime objects, but if +it is a different clock they will be timedelta objects. + +Provided conversions +-------------------- + +.. rubric:: C++ to Python + +- ``std::chrono::system_clock::time_point`` ā†’ ``datetime.datetime`` + System clock times are converted to python datetime instances. They are + in the local timezone, but do not have any timezone information attached + to them (they are naive datetime objects). + +- ``std::chrono::duration`` ā†’ ``datetime.timedelta`` + Durations are converted to timedeltas, any precision in the duration + greater than microseconds is lost by rounding towards zero. + +- ``std::chrono::[other_clocks]::time_point`` ā†’ ``datetime.timedelta`` + Any clock time that is not the system clock is converted to a time delta. + This timedelta measures the time from the clocks epoch to now. + +.. rubric:: Python to C++ + +- ``datetime.datetime`` or ``datetime.date`` or ``datetime.time`` ā†’ ``std::chrono::system_clock::time_point`` + Date/time objects are converted into system clock timepoints. Any + timezone information is ignored and the type is treated as a naive + object. + +- ``datetime.timedelta`` ā†’ ``std::chrono::duration`` + Time delta are converted into durations with microsecond precision. + +- ``datetime.timedelta`` ā†’ ``std::chrono::[other_clocks]::time_point`` + Time deltas that are converted into clock timepoints are treated as + the amount of time from the start of the clocks epoch. + +- ``float`` ā†’ ``std::chrono::duration`` + Floats that are passed to C++ as durations be interpreted as a number of + seconds. These will be converted to the duration using ``duration_cast`` + from the float. + +- ``float`` ā†’ ``std::chrono::[other_clocks]::time_point`` + Floats that are passed to C++ as time points will be interpreted as the + number of seconds from the start of the clocks epoch. diff --git a/external/pybind11/docs/advanced/cast/custom.rst b/external/pybind11/docs/advanced/cast/custom.rst new file mode 100644 index 0000000..e4f99ac --- /dev/null +++ b/external/pybind11/docs/advanced/cast/custom.rst @@ -0,0 +1,91 @@ +Custom type casters +=================== + +In very rare cases, applications may require custom type casters that cannot be +expressed using the abstractions provided by pybind11, thus requiring raw +Python C API calls. This is fairly advanced usage and should only be pursued by +experts who are familiar with the intricacies of Python reference counting. + +The following snippets demonstrate how this works for a very simple ``inty`` +type that that should be convertible from Python types that provide a +``__int__(self)`` method. + +.. code-block:: cpp + + struct inty { long long_value; }; + + void print(inty s) { + std::cout << s.long_value << std::endl; + } + +The following Python snippet demonstrates the intended usage from the Python side: + +.. code-block:: python + + class A: + def __int__(self): + return 123 + + from example import print + print(A()) + +To register the necessary conversion routines, it is necessary to add +a partial overload to the ``pybind11::detail::type_caster`` template. +Although this is an implementation detail, adding partial overloads to this +type is explicitly allowed. + +.. code-block:: cpp + + namespace pybind11 { namespace detail { + template <> struct type_caster { + public: + /** + * This macro establishes the name 'inty' in + * function signatures and declares a local variable + * 'value' of type inty + */ + PYBIND11_TYPE_CASTER(inty, _("inty")); + + /** + * Conversion part 1 (Python->C++): convert a PyObject into a inty + * instance or return false upon failure. The second argument + * indicates whether implicit conversions should be applied. + */ + bool load(handle src, bool) { + /* Extract PyObject from handle */ + PyObject *source = src.ptr(); + /* Try converting into a Python integer value */ + PyObject *tmp = PyNumber_Long(source); + if (!tmp) + return false; + /* Now try to convert into a C++ int */ + value.long_value = PyLong_AsLong(tmp); + Py_DECREF(tmp); + /* Ensure return code was OK (to avoid out-of-range errors etc) */ + return !(value.long_value == -1 && !PyErr_Occurred()); + } + + /** + * Conversion part 2 (C++ -> Python): convert an inty instance into + * a Python object. The second and third arguments are used to + * indicate the return value policy and parent object (for + * ``return_value_policy::reference_internal``) and are generally + * ignored by implicit casters. + */ + static handle cast(inty src, return_value_policy /* policy */, handle /* parent */) { + return PyLong_FromLong(src.long_value); + } + }; + }} // namespace pybind11::detail + +.. note:: + + A ``type_caster`` defined with ``PYBIND11_TYPE_CASTER(T, ...)`` requires + that ``T`` is default-constructible (``value`` is first default constructed + and then ``load()`` assigns to it). + +.. warning:: + + When using custom type casters, it's important to declare them consistently + in every compilation unit of the Python extension module. Otherwise, + undefined behavior can ensue. diff --git a/external/pybind11/docs/advanced/cast/eigen.rst b/external/pybind11/docs/advanced/cast/eigen.rst new file mode 100644 index 0000000..59ba08c --- /dev/null +++ b/external/pybind11/docs/advanced/cast/eigen.rst @@ -0,0 +1,310 @@ +Eigen +##### + +`Eigen `_ is C++ header-based library for dense and +sparse linear algebra. Due to its popularity and widespread adoption, pybind11 +provides transparent conversion and limited mapping support between Eigen and +Scientific Python linear algebra data types. + +To enable the built-in Eigen support you must include the optional header file +:file:`pybind11/eigen.h`. + +Pass-by-value +============= + +When binding a function with ordinary Eigen dense object arguments (for +example, ``Eigen::MatrixXd``), pybind11 will accept any input value that is +already (or convertible to) a ``numpy.ndarray`` with dimensions compatible with +the Eigen type, copy its values into a temporary Eigen variable of the +appropriate type, then call the function with this temporary variable. + +Sparse matrices are similarly copied to or from +``scipy.sparse.csr_matrix``/``scipy.sparse.csc_matrix`` objects. + +Pass-by-reference +================= + +One major limitation of the above is that every data conversion implicitly +involves a copy, which can be both expensive (for large matrices) and disallows +binding functions that change their (Matrix) arguments. Pybind11 allows you to +work around this by using Eigen's ``Eigen::Ref`` class much as you +would when writing a function taking a generic type in Eigen itself (subject to +some limitations discussed below). + +When calling a bound function accepting a ``Eigen::Ref`` +type, pybind11 will attempt to avoid copying by using an ``Eigen::Map`` object +that maps into the source ``numpy.ndarray`` data: this requires both that the +data types are the same (e.g. ``dtype='float64'`` and ``MatrixType::Scalar`` is +``double``); and that the storage is layout compatible. The latter limitation +is discussed in detail in the section below, and requires careful +consideration: by default, numpy matrices and Eigen matrices are *not* storage +compatible. + +If the numpy matrix cannot be used as is (either because its types differ, e.g. +passing an array of integers to an Eigen parameter requiring doubles, or +because the storage is incompatible), pybind11 makes a temporary copy and +passes the copy instead. + +When a bound function parameter is instead ``Eigen::Ref`` (note the +lack of ``const``), pybind11 will only allow the function to be called if it +can be mapped *and* if the numpy array is writeable (that is +``a.flags.writeable`` is true). Any access (including modification) made to +the passed variable will be transparently carried out directly on the +``numpy.ndarray``. + +This means you can can write code such as the following and have it work as +expected: + +.. code-block:: cpp + + void scale_by_2(Eigen::Ref v) { + v *= 2; + } + +Note, however, that you will likely run into limitations due to numpy and +Eigen's difference default storage order for data; see the below section on +:ref:`storage_orders` for details on how to bind code that won't run into such +limitations. + +.. note:: + + Passing by reference is not supported for sparse types. + +Returning values to Python +========================== + +When returning an ordinary dense Eigen matrix type to numpy (e.g. +``Eigen::MatrixXd`` or ``Eigen::RowVectorXf``) pybind11 keeps the matrix and +returns a numpy array that directly references the Eigen matrix: no copy of the +data is performed. The numpy array will have ``array.flags.owndata`` set to +``False`` to indicate that it does not own the data, and the lifetime of the +stored Eigen matrix will be tied to the returned ``array``. + +If you bind a function with a non-reference, ``const`` return type (e.g. +``const Eigen::MatrixXd``), the same thing happens except that pybind11 also +sets the numpy array's ``writeable`` flag to false. + +If you return an lvalue reference or pointer, the usual pybind11 rules apply, +as dictated by the binding function's return value policy (see the +documentation on :ref:`return_value_policies` for full details). That means, +without an explicit return value policy, lvalue references will be copied and +pointers will be managed by pybind11. In order to avoid copying, you should +explicitly specify an appropriate return value policy, as in the following +example: + +.. code-block:: cpp + + class MyClass { + Eigen::MatrixXd big_mat = Eigen::MatrixXd::Zero(10000, 10000); + public: + Eigen::MatrixXd &getMatrix() { return big_mat; } + const Eigen::MatrixXd &viewMatrix() { return big_mat; } + }; + + // Later, in binding code: + py::class_(m, "MyClass") + .def(py::init<>()) + .def("copy_matrix", &MyClass::getMatrix) // Makes a copy! + .def("get_matrix", &MyClass::getMatrix, py::return_value_policy::reference_internal) + .def("view_matrix", &MyClass::viewMatrix, py::return_value_policy::reference_internal) + ; + +.. code-block:: python + + a = MyClass() + m = a.get_matrix() # flags.writeable = True, flags.owndata = False + v = a.view_matrix() # flags.writeable = False, flags.owndata = False + c = a.copy_matrix() # flags.writeable = True, flags.owndata = True + # m[5,6] and v[5,6] refer to the same element, c[5,6] does not. + +Note in this example that ``py::return_value_policy::reference_internal`` is +used to tie the life of the MyClass object to the life of the returned arrays. + +You may also return an ``Eigen::Ref``, ``Eigen::Map`` or other map-like Eigen +object (for example, the return value of ``matrix.block()`` and related +methods) that map into a dense Eigen type. When doing so, the default +behaviour of pybind11 is to simply reference the returned data: you must take +care to ensure that this data remains valid! You may ask pybind11 to +explicitly *copy* such a return value by using the +``py::return_value_policy::copy`` policy when binding the function. You may +also use ``py::return_value_policy::reference_internal`` or a +``py::keep_alive`` to ensure the data stays valid as long as the returned numpy +array does. + +When returning such a reference of map, pybind11 additionally respects the +readonly-status of the returned value, marking the numpy array as non-writeable +if the reference or map was itself read-only. + +.. note:: + + Sparse types are always copied when returned. + +.. _storage_orders: + +Storage orders +============== + +Passing arguments via ``Eigen::Ref`` has some limitations that you must be +aware of in order to effectively pass matrices by reference. First and +foremost is that the default ``Eigen::Ref`` class requires +contiguous storage along columns (for column-major types, the default in Eigen) +or rows if ``MatrixType`` is specifically an ``Eigen::RowMajor`` storage type. +The former, Eigen's default, is incompatible with ``numpy``'s default row-major +storage, and so you will not be able to pass numpy arrays to Eigen by reference +without making one of two changes. + +(Note that this does not apply to vectors (or column or row matrices): for such +types the "row-major" and "column-major" distinction is meaningless). + +The first approach is to change the use of ``Eigen::Ref`` to the +more general ``Eigen::Ref>`` (or similar type with a fully dynamic stride type in the +third template argument). Since this is a rather cumbersome type, pybind11 +provides a ``py::EigenDRef`` type alias for your convenience (along +with EigenDMap for the equivalent Map, and EigenDStride for just the stride +type). + +This type allows Eigen to map into any arbitrary storage order. This is not +the default in Eigen for performance reasons: contiguous storage allows +vectorization that cannot be done when storage is not known to be contiguous at +compile time. The default ``Eigen::Ref`` stride type allows non-contiguous +storage along the outer dimension (that is, the rows of a column-major matrix +or columns of a row-major matrix), but not along the inner dimension. + +This type, however, has the added benefit of also being able to map numpy array +slices. For example, the following (contrived) example uses Eigen with a numpy +slice to multiply by 2 all coefficients that are both on even rows (0, 2, 4, +...) and in columns 2, 5, or 8: + +.. code-block:: cpp + + m.def("scale", [](py::EigenDRef m, double c) { m *= c; }); + +.. code-block:: python + + # a = np.array(...) + scale_by_2(myarray[0::2, 2:9:3]) + +The second approach to avoid copying is more intrusive: rearranging the +underlying data types to not run into the non-contiguous storage problem in the +first place. In particular, that means using matrices with ``Eigen::RowMajor`` +storage, where appropriate, such as: + +.. code-block:: cpp + + using RowMatrixXd = Eigen::Matrix; + // Use RowMatrixXd instead of MatrixXd + +Now bound functions accepting ``Eigen::Ref`` arguments will be +callable with numpy's (default) arrays without involving a copying. + +You can, alternatively, change the storage order that numpy arrays use by +adding the ``order='F'`` option when creating an array: + +.. code-block:: python + + myarray = np.array(source, order='F') + +Such an object will be passable to a bound function accepting an +``Eigen::Ref`` (or similar column-major Eigen type). + +One major caveat with this approach, however, is that it is not entirely as +easy as simply flipping all Eigen or numpy usage from one to the other: some +operations may alter the storage order of a numpy array. For example, ``a2 = +array.transpose()`` results in ``a2`` being a view of ``array`` that references +the same data, but in the opposite storage order! + +While this approach allows fully optimized vectorized calculations in Eigen, it +cannot be used with array slices, unlike the first approach. + +When *returning* a matrix to Python (either a regular matrix, a reference via +``Eigen::Ref<>``, or a map/block into a matrix), no special storage +consideration is required: the created numpy array will have the required +stride that allows numpy to properly interpret the array, whatever its storage +order. + +Failing rather than copying +=========================== + +The default behaviour when binding ``Eigen::Ref`` Eigen +references is to copy matrix values when passed a numpy array that does not +conform to the element type of ``MatrixType`` or does not have a compatible +stride layout. If you want to explicitly avoid copying in such a case, you +should bind arguments using the ``py::arg().noconvert()`` annotation (as +described in the :ref:`nonconverting_arguments` documentation). + +The following example shows an example of arguments that don't allow data +copying to take place: + +.. code-block:: cpp + + // The method and function to be bound: + class MyClass { + // ... + double some_method(const Eigen::Ref &matrix) { /* ... */ } + }; + float some_function(const Eigen::Ref &big, + const Eigen::Ref &small) { + // ... + } + + // The associated binding code: + using namespace pybind11::literals; // for "arg"_a + py::class_(m, "MyClass") + // ... other class definitions + .def("some_method", &MyClass::some_method, py::arg().noconvert()); + + m.def("some_function", &some_function, + "big"_a.noconvert(), // <- Don't allow copying for this arg + "small"_a // <- This one can be copied if needed + ); + +With the above binding code, attempting to call the the ``some_method(m)`` +method on a ``MyClass`` object, or attempting to call ``some_function(m, m2)`` +will raise a ``RuntimeError`` rather than making a temporary copy of the array. +It will, however, allow the ``m2`` argument to be copied into a temporary if +necessary. + +Note that explicitly specifying ``.noconvert()`` is not required for *mutable* +Eigen references (e.g. ``Eigen::Ref`` without ``const`` on the +``MatrixXd``): mutable references will never be called with a temporary copy. + +Vectors versus column/row matrices +================================== + +Eigen and numpy have fundamentally different notions of a vector. In Eigen, a +vector is simply a matrix with the number of columns or rows set to 1 at +compile time (for a column vector or row vector, respectively). Numpy, in +contrast, has comparable 2-dimensional 1xN and Nx1 arrays, but *also* has +1-dimensional arrays of size N. + +When passing a 2-dimensional 1xN or Nx1 array to Eigen, the Eigen type must +have matching dimensions: That is, you cannot pass a 2-dimensional Nx1 numpy +array to an Eigen value expecting a row vector, or a 1xN numpy array as a +column vector argument. + +On the other hand, pybind11 allows you to pass 1-dimensional arrays of length N +as Eigen parameters. If the Eigen type can hold a column vector of length N it +will be passed as such a column vector. If not, but the Eigen type constraints +will accept a row vector, it will be passed as a row vector. (The column +vector takes precedence when both are supported, for example, when passing a +1D numpy array to a MatrixXd argument). Note that the type need not be +explicitly a vector: it is permitted to pass a 1D numpy array of size 5 to an +Eigen ``Matrix``: you would end up with a 1x5 Eigen matrix. +Passing the same to an ``Eigen::MatrixXd`` would result in a 5x1 Eigen matrix. + +When returning an Eigen vector to numpy, the conversion is ambiguous: a row +vector of length 4 could be returned as either a 1D array of length 4, or as a +2D array of size 1x4. When encountering such a situation, pybind11 compromises +by considering the returned Eigen type: if it is a compile-time vector--that +is, the type has either the number of rows or columns set to 1 at compile +time--pybind11 converts to a 1D numpy array when returning the value. For +instances that are a vector only at run-time (e.g. ``MatrixXd``, +``Matrix``), pybind11 returns the vector as a 2D array to +numpy. If this isn't want you want, you can use ``array.reshape(...)`` to get +a view of the same data in the desired dimensions. + +.. seealso:: + + The file :file:`tests/test_eigen.cpp` contains a complete example that + shows how to pass Eigen sparse and dense data types in more detail. diff --git a/external/pybind11/docs/advanced/cast/functional.rst b/external/pybind11/docs/advanced/cast/functional.rst new file mode 100644 index 0000000..d9b4605 --- /dev/null +++ b/external/pybind11/docs/advanced/cast/functional.rst @@ -0,0 +1,109 @@ +Functional +########## + +The following features must be enabled by including :file:`pybind11/functional.h`. + + +Callbacks and passing anonymous functions +========================================= + +The C++11 standard brought lambda functions and the generic polymorphic +function wrapper ``std::function<>`` to the C++ programming language, which +enable powerful new ways of working with functions. Lambda functions come in +two flavors: stateless lambda function resemble classic function pointers that +link to an anonymous piece of code, while stateful lambda functions +additionally depend on captured variables that are stored in an anonymous +*lambda closure object*. + +Here is a simple example of a C++ function that takes an arbitrary function +(stateful or stateless) with signature ``int -> int`` as an argument and runs +it with the value 10. + +.. code-block:: cpp + + int func_arg(const std::function &f) { + return f(10); + } + +The example below is more involved: it takes a function of signature ``int -> int`` +and returns another function of the same kind. The return value is a stateful +lambda function, which stores the value ``f`` in the capture object and adds 1 to +its return value upon execution. + +.. code-block:: cpp + + std::function func_ret(const std::function &f) { + return [f](int i) { + return f(i) + 1; + }; + } + +This example demonstrates using python named parameters in C++ callbacks which +requires using ``py::cpp_function`` as a wrapper. Usage is similar to defining +methods of classes: + +.. code-block:: cpp + + py::cpp_function func_cpp() { + return py::cpp_function([](int i) { return i+1; }, + py::arg("number")); + } + +After including the extra header file :file:`pybind11/functional.h`, it is almost +trivial to generate binding code for all of these functions. + +.. code-block:: cpp + + #include + + PYBIND11_MODULE(example, m) { + m.def("func_arg", &func_arg); + m.def("func_ret", &func_ret); + m.def("func_cpp", &func_cpp); + } + +The following interactive session shows how to call them from Python. + +.. code-block:: pycon + + $ python + >>> import example + >>> def square(i): + ... return i * i + ... + >>> example.func_arg(square) + 100L + >>> square_plus_1 = example.func_ret(square) + >>> square_plus_1(4) + 17L + >>> plus_1 = func_cpp() + >>> plus_1(number=43) + 44L + +.. warning:: + + Keep in mind that passing a function from C++ to Python (or vice versa) + will instantiate a piece of wrapper code that translates function + invocations between the two languages. Naturally, this translation + increases the computational cost of each function call somewhat. A + problematic situation can arise when a function is copied back and forth + between Python and C++ many times in a row, in which case the underlying + wrappers will accumulate correspondingly. The resulting long sequence of + C++ -> Python -> C++ -> ... roundtrips can significantly decrease + performance. + + There is one exception: pybind11 detects case where a stateless function + (i.e. a function pointer or a lambda function without captured variables) + is passed as an argument to another C++ function exposed in Python. In this + case, there is no overhead. Pybind11 will extract the underlying C++ + function pointer from the wrapped function to sidestep a potential C++ -> + Python -> C++ roundtrip. This is demonstrated in :file:`tests/test_callbacks.cpp`. + +.. note:: + + This functionality is very useful when generating bindings for callbacks in + C++ libraries (e.g. GUI libraries, asynchronous networking libraries, etc.). + + The file :file:`tests/test_callbacks.cpp` contains a complete example + that demonstrates how to work with callbacks and anonymous functions in + more detail. diff --git a/external/pybind11/docs/advanced/cast/index.rst b/external/pybind11/docs/advanced/cast/index.rst new file mode 100644 index 0000000..54c1057 --- /dev/null +++ b/external/pybind11/docs/advanced/cast/index.rst @@ -0,0 +1,42 @@ +Type conversions +################ + +Apart from enabling cross-language function calls, a fundamental problem +that a binding tool like pybind11 must address is to provide access to +native Python types in C++ and vice versa. There are three fundamentally +different ways to do thisā€”which approach is preferable for a particular type +depends on the situation at hand. + +1. Use a native C++ type everywhere. In this case, the type must be wrapped + using pybind11-generated bindings so that Python can interact with it. + +2. Use a native Python type everywhere. It will need to be wrapped so that + C++ functions can interact with it. + +3. Use a native C++ type on the C++ side and a native Python type on the + Python side. pybind11 refers to this as a *type conversion*. + + Type conversions are the most "natural" option in the sense that native + (non-wrapped) types are used everywhere. The main downside is that a copy + of the data must be made on every Python ā†” C++ transition: this is + needed since the C++ and Python versions of the same type generally won't + have the same memory layout. + + pybind11 can perform many kinds of conversions automatically. An overview + is provided in the table ":ref:`conversion_table`". + +The following subsections discuss the differences between these options in more +detail. The main focus in this section is on type conversions, which represent +the last case of the above list. + +.. toctree:: + :maxdepth: 1 + + overview + strings + stl + functional + chrono + eigen + custom + diff --git a/external/pybind11/docs/advanced/cast/overview.rst b/external/pybind11/docs/advanced/cast/overview.rst new file mode 100644 index 0000000..b0e32a5 --- /dev/null +++ b/external/pybind11/docs/advanced/cast/overview.rst @@ -0,0 +1,165 @@ +Overview +######## + +.. rubric:: 1. Native type in C++, wrapper in Python + +Exposing a custom C++ type using :class:`py::class_` was covered in detail +in the :doc:`/classes` section. There, the underlying data structure is +always the original C++ class while the :class:`py::class_` wrapper provides +a Python interface. Internally, when an object like this is sent from C++ to +Python, pybind11 will just add the outer wrapper layer over the native C++ +object. Getting it back from Python is just a matter of peeling off the +wrapper. + +.. rubric:: 2. Wrapper in C++, native type in Python + +This is the exact opposite situation. Now, we have a type which is native to +Python, like a ``tuple`` or a ``list``. One way to get this data into C++ is +with the :class:`py::object` family of wrappers. These are explained in more +detail in the :doc:`/advanced/pycpp/object` section. We'll just give a quick +example here: + +.. code-block:: cpp + + void print_list(py::list my_list) { + for (auto item : my_list) + std::cout << item << " "; + } + +.. code-block:: pycon + + >>> print_list([1, 2, 3]) + 1 2 3 + +The Python ``list`` is not converted in any way -- it's just wrapped in a C++ +:class:`py::list` class. At its core it's still a Python object. Copying a +:class:`py::list` will do the usual reference-counting like in Python. +Returning the object to Python will just remove the thin wrapper. + +.. rubric:: 3. Converting between native C++ and Python types + +In the previous two cases we had a native type in one language and a wrapper in +the other. Now, we have native types on both sides and we convert between them. + +.. code-block:: cpp + + void print_vector(const std::vector &v) { + for (auto item : v) + std::cout << item << "\n"; + } + +.. code-block:: pycon + + >>> print_vector([1, 2, 3]) + 1 2 3 + +In this case, pybind11 will construct a new ``std::vector`` and copy each +element from the Python ``list``. The newly constructed object will be passed +to ``print_vector``. The same thing happens in the other direction: a new +``list`` is made to match the value returned from C++. + +Lots of these conversions are supported out of the box, as shown in the table +below. They are very convenient, but keep in mind that these conversions are +fundamentally based on copying data. This is perfectly fine for small immutable +types but it may become quite expensive for large data structures. This can be +avoided by overriding the automatic conversion with a custom wrapper (i.e. the +above-mentioned approach 1). This requires some manual effort and more details +are available in the :ref:`opaque` section. + +.. _conversion_table: + +List of all builtin conversions +------------------------------- + +The following basic data types are supported out of the box (some may require +an additional extension header to be included). To pass other data structures +as arguments and return values, refer to the section on binding :ref:`classes`. + ++------------------------------------+---------------------------+-------------------------------+ +| Data type | Description | Header file | ++====================================+===========================+===============================+ +| ``int8_t``, ``uint8_t`` | 8-bit integers | :file:`pybind11/pybind11.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``int16_t``, ``uint16_t`` | 16-bit integers | :file:`pybind11/pybind11.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``int32_t``, ``uint32_t`` | 32-bit integers | :file:`pybind11/pybind11.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``int64_t``, ``uint64_t`` | 64-bit integers | :file:`pybind11/pybind11.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``ssize_t``, ``size_t`` | Platform-dependent size | :file:`pybind11/pybind11.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``float``, ``double`` | Floating point types | :file:`pybind11/pybind11.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``bool`` | Two-state Boolean type | :file:`pybind11/pybind11.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``char`` | Character literal | :file:`pybind11/pybind11.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``char16_t`` | UTF-16 character literal | :file:`pybind11/pybind11.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``char32_t`` | UTF-32 character literal | :file:`pybind11/pybind11.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``wchar_t`` | Wide character literal | :file:`pybind11/pybind11.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``const char *`` | UTF-8 string literal | :file:`pybind11/pybind11.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``const char16_t *`` | UTF-16 string literal | :file:`pybind11/pybind11.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``const char32_t *`` | UTF-32 string literal | :file:`pybind11/pybind11.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``const wchar_t *`` | Wide string literal | :file:`pybind11/pybind11.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``std::string`` | STL dynamic UTF-8 string | :file:`pybind11/pybind11.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``std::u16string`` | STL dynamic UTF-16 string | :file:`pybind11/pybind11.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``std::u32string`` | STL dynamic UTF-32 string | :file:`pybind11/pybind11.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``std::wstring`` | STL dynamic wide string | :file:`pybind11/pybind11.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``std::string_view``, | STL C++17 string views | :file:`pybind11/pybind11.h` | +| ``std::u16string_view``, etc. | | | ++------------------------------------+---------------------------+-------------------------------+ +| ``std::pair`` | Pair of two custom types | :file:`pybind11/pybind11.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``std::tuple<...>`` | Arbitrary tuple of types | :file:`pybind11/pybind11.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``std::reference_wrapper<...>`` | Reference type wrapper | :file:`pybind11/pybind11.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``std::complex`` | Complex numbers | :file:`pybind11/complex.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``std::array`` | STL static array | :file:`pybind11/stl.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``std::vector`` | STL dynamic array | :file:`pybind11/stl.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``std::deque`` | STL double-ended queue | :file:`pybind11/stl.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``std::valarray`` | STL value array | :file:`pybind11/stl.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``std::list`` | STL linked list | :file:`pybind11/stl.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``std::map`` | STL ordered map | :file:`pybind11/stl.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``std::unordered_map`` | STL unordered map | :file:`pybind11/stl.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``std::set`` | STL ordered set | :file:`pybind11/stl.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``std::unordered_set`` | STL unordered set | :file:`pybind11/stl.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``std::optional`` | STL optional type (C++17) | :file:`pybind11/stl.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``std::experimental::optional`` | STL optional type (exp.) | :file:`pybind11/stl.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``std::variant<...>`` | Type-safe union (C++17) | :file:`pybind11/stl.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``std::function<...>`` | STL polymorphic function | :file:`pybind11/functional.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``std::chrono::duration<...>`` | STL time duration | :file:`pybind11/chrono.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``std::chrono::time_point<...>`` | STL date/time | :file:`pybind11/chrono.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``Eigen::Matrix<...>`` | Eigen: dense matrix | :file:`pybind11/eigen.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``Eigen::Map<...>`` | Eigen: mapped memory | :file:`pybind11/eigen.h` | ++------------------------------------+---------------------------+-------------------------------+ +| ``Eigen::SparseMatrix<...>`` | Eigen: sparse matrix | :file:`pybind11/eigen.h` | ++------------------------------------+---------------------------+-------------------------------+ diff --git a/external/pybind11/docs/advanced/cast/stl.rst b/external/pybind11/docs/advanced/cast/stl.rst new file mode 100644 index 0000000..e48409f --- /dev/null +++ b/external/pybind11/docs/advanced/cast/stl.rst @@ -0,0 +1,240 @@ +STL containers +############## + +Automatic conversion +==================== + +When including the additional header file :file:`pybind11/stl.h`, conversions +between ``std::vector<>``/``std::deque<>``/``std::list<>``/``std::array<>``, +``std::set<>``/``std::unordered_set<>``, and +``std::map<>``/``std::unordered_map<>`` and the Python ``list``, ``set`` and +``dict`` data structures are automatically enabled. The types ``std::pair<>`` +and ``std::tuple<>`` are already supported out of the box with just the core +:file:`pybind11/pybind11.h` header. + +The major downside of these implicit conversions is that containers must be +converted (i.e. copied) on every Python->C++ and C++->Python transition, which +can have implications on the program semantics and performance. Please read the +next sections for more details and alternative approaches that avoid this. + +.. note:: + + Arbitrary nesting of any of these types is possible. + +.. seealso:: + + The file :file:`tests/test_stl.cpp` contains a complete + example that demonstrates how to pass STL data types in more detail. + +.. _cpp17_container_casters: + +C++17 library containers +======================== + +The :file:`pybind11/stl.h` header also includes support for ``std::optional<>`` +and ``std::variant<>``. These require a C++17 compiler and standard library. +In C++14 mode, ``std::experimental::optional<>`` is supported if available. + +Various versions of these containers also exist for C++11 (e.g. in Boost). +pybind11 provides an easy way to specialize the ``type_caster`` for such +types: + +.. code-block:: cpp + + // `boost::optional` as an example -- can be any `std::optional`-like container + namespace pybind11 { namespace detail { + template + struct type_caster> : optional_caster> {}; + }} + +The above should be placed in a header file and included in all translation units +where automatic conversion is needed. Similarly, a specialization can be provided +for custom variant types: + +.. code-block:: cpp + + // `boost::variant` as an example -- can be any `std::variant`-like container + namespace pybind11 { namespace detail { + template + struct type_caster> : variant_caster> {}; + + // Specifies the function used to visit the variant -- `apply_visitor` instead of `visit` + template <> + struct visit_helper { + template + static auto call(Args &&...args) -> decltype(boost::apply_visitor(args...)) { + return boost::apply_visitor(args...); + } + }; + }} // namespace pybind11::detail + +The ``visit_helper`` specialization is not required if your ``name::variant`` provides +a ``name::visit()`` function. For any other function name, the specialization must be +included to tell pybind11 how to visit the variant. + +.. note:: + + pybind11 only supports the modern implementation of ``boost::variant`` + which makes use of variadic templates. This requires Boost 1.56 or newer. + Additionally, on Windows, MSVC 2017 is required because ``boost::variant`` + falls back to the old non-variadic implementation on MSVC 2015. + +.. _opaque: + +Making opaque types +=================== + +pybind11 heavily relies on a template matching mechanism to convert parameters +and return values that are constructed from STL data types such as vectors, +linked lists, hash tables, etc. This even works in a recursive manner, for +instance to deal with lists of hash maps of pairs of elementary and custom +types, etc. + +However, a fundamental limitation of this approach is that internal conversions +between Python and C++ types involve a copy operation that prevents +pass-by-reference semantics. What does this mean? + +Suppose we bind the following function + +.. code-block:: cpp + + void append_1(std::vector &v) { + v.push_back(1); + } + +and call it from Python, the following happens: + +.. code-block:: pycon + + >>> v = [5, 6] + >>> append_1(v) + >>> print(v) + [5, 6] + +As you can see, when passing STL data structures by reference, modifications +are not propagated back the Python side. A similar situation arises when +exposing STL data structures using the ``def_readwrite`` or ``def_readonly`` +functions: + +.. code-block:: cpp + + /* ... definition ... */ + + class MyClass { + std::vector contents; + }; + + /* ... binding code ... */ + + py::class_(m, "MyClass") + .def(py::init<>()) + .def_readwrite("contents", &MyClass::contents); + +In this case, properties can be read and written in their entirety. However, an +``append`` operation involving such a list type has no effect: + +.. code-block:: pycon + + >>> m = MyClass() + >>> m.contents = [5, 6] + >>> print(m.contents) + [5, 6] + >>> m.contents.append(7) + >>> print(m.contents) + [5, 6] + +Finally, the involved copy operations can be costly when dealing with very +large lists. To deal with all of the above situations, pybind11 provides a +macro named ``PYBIND11_MAKE_OPAQUE(T)`` that disables the template-based +conversion machinery of types, thus rendering them *opaque*. The contents of +opaque objects are never inspected or extracted, hence they *can* be passed by +reference. For instance, to turn ``std::vector`` into an opaque type, add +the declaration + +.. code-block:: cpp + + PYBIND11_MAKE_OPAQUE(std::vector); + +before any binding code (e.g. invocations to ``class_::def()``, etc.). This +macro must be specified at the top level (and outside of any namespaces), since +it instantiates a partial template overload. If your binding code consists of +multiple compilation units, it must be present in every file (typically via a +common header) preceding any usage of ``std::vector``. Opaque types must +also have a corresponding ``class_`` declaration to associate them with a name +in Python, and to define a set of available operations, e.g.: + +.. code-block:: cpp + + py::class_>(m, "IntVector") + .def(py::init<>()) + .def("clear", &std::vector::clear) + .def("pop_back", &std::vector::pop_back) + .def("__len__", [](const std::vector &v) { return v.size(); }) + .def("__iter__", [](std::vector &v) { + return py::make_iterator(v.begin(), v.end()); + }, py::keep_alive<0, 1>()) /* Keep vector alive while iterator is used */ + // .... + +.. seealso:: + + The file :file:`tests/test_opaque_types.cpp` contains a complete + example that demonstrates how to create and expose opaque types using + pybind11 in more detail. + +.. _stl_bind: + +Binding STL containers +====================== + +The ability to expose STL containers as native Python objects is a fairly +common request, hence pybind11 also provides an optional header file named +:file:`pybind11/stl_bind.h` that does exactly this. The mapped containers try +to match the behavior of their native Python counterparts as much as possible. + +The following example showcases usage of :file:`pybind11/stl_bind.h`: + +.. code-block:: cpp + + // Don't forget this + #include + + PYBIND11_MAKE_OPAQUE(std::vector); + PYBIND11_MAKE_OPAQUE(std::map); + + // ... + + // later in binding code: + py::bind_vector>(m, "VectorInt"); + py::bind_map>(m, "MapStringDouble"); + +When binding STL containers pybind11 considers the types of the container's +elements to decide whether the container should be confined to the local module +(via the :ref:`module_local` feature). If the container element types are +anything other than already-bound custom types bound without +``py::module_local()`` the container binding will have ``py::module_local()`` +applied. This includes converting types such as numeric types, strings, Eigen +types; and types that have not yet been bound at the time of the stl container +binding. This module-local binding is designed to avoid potential conflicts +between module bindings (for example, from two separate modules each attempting +to bind ``std::vector`` as a python type). + +It is possible to override this behavior to force a definition to be either +module-local or global. To do so, you can pass the attributes +``py::module_local()`` (to make the binding module-local) or +``py::module_local(false)`` (to make the binding global) into the +``py::bind_vector`` or ``py::bind_map`` arguments: + +.. code-block:: cpp + + py::bind_vector>(m, "VectorInt", py::module_local(false)); + +Note, however, that such a global binding would make it impossible to load this +module at the same time as any other pybind module that also attempts to bind +the same container type (``std::vector`` in the above example). + +See :ref:`module_local` for more details on module-local bindings. + +.. seealso:: + + The file :file:`tests/test_stl_binders.cpp` shows how to use the + convenience STL container wrappers. diff --git a/external/pybind11/docs/advanced/cast/strings.rst b/external/pybind11/docs/advanced/cast/strings.rst new file mode 100644 index 0000000..e25701e --- /dev/null +++ b/external/pybind11/docs/advanced/cast/strings.rst @@ -0,0 +1,305 @@ +Strings, bytes and Unicode conversions +###################################### + +.. note:: + + This section discusses string handling in terms of Python 3 strings. For + Python 2.7, replace all occurrences of ``str`` with ``unicode`` and + ``bytes`` with ``str``. Python 2.7 users may find it best to use ``from + __future__ import unicode_literals`` to avoid unintentionally using ``str`` + instead of ``unicode``. + +Passing Python strings to C++ +============================= + +When a Python ``str`` is passed from Python to a C++ function that accepts +``std::string`` or ``char *`` as arguments, pybind11 will encode the Python +string to UTF-8. All Python ``str`` can be encoded in UTF-8, so this operation +does not fail. + +The C++ language is encoding agnostic. It is the responsibility of the +programmer to track encodings. It's often easiest to simply `use UTF-8 +everywhere `_. + +.. code-block:: c++ + + m.def("utf8_test", + [](const std::string &s) { + cout << "utf-8 is icing on the cake.\n"; + cout << s; + } + ); + m.def("utf8_charptr", + [](const char *s) { + cout << "My favorite food is\n"; + cout << s; + } + ); + +.. code-block:: python + + >>> utf8_test('šŸŽ‚') + utf-8 is icing on the cake. + šŸŽ‚ + + >>> utf8_charptr('šŸ•') + My favorite food is + šŸ• + +.. note:: + + Some terminal emulators do not support UTF-8 or emoji fonts and may not + display the example above correctly. + +The results are the same whether the C++ function accepts arguments by value or +reference, and whether or not ``const`` is used. + +Passing bytes to C++ +-------------------- + +A Python ``bytes`` object will be passed to C++ functions that accept +``std::string`` or ``char*`` *without* conversion. On Python 3, in order to +make a function *only* accept ``bytes`` (and not ``str``), declare it as taking +a ``py::bytes`` argument. + + +Returning C++ strings to Python +=============================== + +When a C++ function returns a ``std::string`` or ``char*`` to a Python caller, +**pybind11 will assume that the string is valid UTF-8** and will decode it to a +native Python ``str``, using the same API as Python uses to perform +``bytes.decode('utf-8')``. If this implicit conversion fails, pybind11 will +raise a ``UnicodeDecodeError``. + +.. code-block:: c++ + + m.def("std_string_return", + []() { + return std::string("This string needs to be UTF-8 encoded"); + } + ); + +.. code-block:: python + + >>> isinstance(example.std_string_return(), str) + True + + +Because UTF-8 is inclusive of pure ASCII, there is never any issue with +returning a pure ASCII string to Python. If there is any possibility that the +string is not pure ASCII, it is necessary to ensure the encoding is valid +UTF-8. + +.. warning:: + + Implicit conversion assumes that a returned ``char *`` is null-terminated. + If there is no null terminator a buffer overrun will occur. + +Explicit conversions +-------------------- + +If some C++ code constructs a ``std::string`` that is not a UTF-8 string, one +can perform a explicit conversion and return a ``py::str`` object. Explicit +conversion has the same overhead as implicit conversion. + +.. code-block:: c++ + + // This uses the Python C API to convert Latin-1 to Unicode + m.def("str_output", + []() { + std::string s = "Send your r\xe9sum\xe9 to Alice in HR"; // Latin-1 + py::str py_s = PyUnicode_DecodeLatin1(s.data(), s.length()); + return py_s; + } + ); + +.. code-block:: python + + >>> str_output() + 'Send your rĆ©sumĆ© to Alice in HR' + +The `Python C API +`_ provides +several built-in codecs. + + +One could also use a third party encoding library such as libiconv to transcode +to UTF-8. + +Return C++ strings without conversion +------------------------------------- + +If the data in a C++ ``std::string`` does not represent text and should be +returned to Python as ``bytes``, then one can return the data as a +``py::bytes`` object. + +.. code-block:: c++ + + m.def("return_bytes", + []() { + std::string s("\xba\xd0\xba\xd0"); // Not valid UTF-8 + return py::bytes(s); // Return the data without transcoding + } + ); + +.. code-block:: python + + >>> example.return_bytes() + b'\xba\xd0\xba\xd0' + + +Note the asymmetry: pybind11 will convert ``bytes`` to ``std::string`` without +encoding, but cannot convert ``std::string`` back to ``bytes`` implicitly. + +.. code-block:: c++ + + m.def("asymmetry", + [](std::string s) { // Accepts str or bytes from Python + return s; // Looks harmless, but implicitly converts to str + } + ); + +.. code-block:: python + + >>> isinstance(example.asymmetry(b"have some bytes"), str) + True + + >>> example.asymmetry(b"\xba\xd0\xba\xd0") # invalid utf-8 as bytes + UnicodeDecodeError: 'utf-8' codec can't decode byte 0xba in position 0: invalid start byte + + +Wide character strings +====================== + +When a Python ``str`` is passed to a C++ function expecting ``std::wstring``, +``wchar_t*``, ``std::u16string`` or ``std::u32string``, the ``str`` will be +encoded to UTF-16 or UTF-32 depending on how the C++ compiler implements each +type, in the platform's native endianness. When strings of these types are +returned, they are assumed to contain valid UTF-16 or UTF-32, and will be +decoded to Python ``str``. + +.. code-block:: c++ + + #define UNICODE + #include + + m.def("set_window_text", + [](HWND hwnd, std::wstring s) { + // Call SetWindowText with null-terminated UTF-16 string + ::SetWindowText(hwnd, s.c_str()); + } + ); + m.def("get_window_text", + [](HWND hwnd) { + const int buffer_size = ::GetWindowTextLength(hwnd) + 1; + auto buffer = std::make_unique< wchar_t[] >(buffer_size); + + ::GetWindowText(hwnd, buffer.data(), buffer_size); + + std::wstring text(buffer.get()); + + // wstring will be converted to Python str + return text; + } + ); + +.. warning:: + + Wide character strings may not work as described on Python 2.7 or Python + 3.3 compiled with ``--enable-unicode=ucs2``. + +Strings in multibyte encodings such as Shift-JIS must transcoded to a +UTF-8/16/32 before being returned to Python. + + +Character literals +================== + +C++ functions that accept character literals as input will receive the first +character of a Python ``str`` as their input. If the string is longer than one +Unicode character, trailing characters will be ignored. + +When a character literal is returned from C++ (such as a ``char`` or a +``wchar_t``), it will be converted to a ``str`` that represents the single +character. + +.. code-block:: c++ + + m.def("pass_char", [](char c) { return c; }); + m.def("pass_wchar", [](wchar_t w) { return w; }); + +.. code-block:: python + + >>> example.pass_char('A') + 'A' + +While C++ will cast integers to character types (``char c = 0x65;``), pybind11 +does not convert Python integers to characters implicitly. The Python function +``chr()`` can be used to convert integers to characters. + +.. code-block:: python + + >>> example.pass_char(0x65) + TypeError + + >>> example.pass_char(chr(0x65)) + 'A' + +If the desire is to work with an 8-bit integer, use ``int8_t`` or ``uint8_t`` +as the argument type. + +Grapheme clusters +----------------- + +A single grapheme may be represented by two or more Unicode characters. For +example 'Ć©' is usually represented as U+00E9 but can also be expressed as the +combining character sequence U+0065 U+0301 (that is, the letter 'e' followed by +a combining acute accent). The combining character will be lost if the +two-character sequence is passed as an argument, even though it renders as a +single grapheme. + +.. code-block:: python + + >>> example.pass_wchar('Ć©') + 'Ć©' + + >>> combining_e_acute = 'e' + '\u0301' + + >>> combining_e_acute + 'eĢ' + + >>> combining_e_acute == 'Ć©' + False + + >>> example.pass_wchar(combining_e_acute) + 'e' + +Normalizing combining characters before passing the character literal to C++ +may resolve *some* of these issues: + +.. code-block:: python + + >>> example.pass_wchar(unicodedata.normalize('NFC', combining_e_acute)) + 'Ć©' + +In some languages (Thai for example), there are `graphemes that cannot be +expressed as a single Unicode code point +`_, so there is +no way to capture them in a C++ character type. + + +C++17 string views +================== + +C++17 string views are automatically supported when compiling in C++17 mode. +They follow the same rules for encoding and decoding as the corresponding STL +string type (for example, a ``std::u16string_view`` argument will be passed +UTF-16-encoded data, and a returned ``std::string_view`` will be decoded as +UTF-8). + +References +========== + +* `The Absolute Minimum Every Software Developer Absolutely, Positively Must Know About Unicode and Character Sets (No Excuses!) `_ +* `C++ - Using STL Strings at Win32 API Boundaries `_ diff --git a/external/pybind11/docs/advanced/classes.rst b/external/pybind11/docs/advanced/classes.rst new file mode 100644 index 0000000..ae5907d --- /dev/null +++ b/external/pybind11/docs/advanced/classes.rst @@ -0,0 +1,1126 @@ +Classes +####### + +This section presents advanced binding code for classes and it is assumed +that you are already familiar with the basics from :doc:`/classes`. + +.. _overriding_virtuals: + +Overriding virtual functions in Python +====================================== + +Suppose that a C++ class or interface has a virtual function that we'd like to +to override from within Python (we'll focus on the class ``Animal``; ``Dog`` is +given as a specific example of how one would do this with traditional C++ +code). + +.. code-block:: cpp + + class Animal { + public: + virtual ~Animal() { } + virtual std::string go(int n_times) = 0; + }; + + class Dog : public Animal { + public: + std::string go(int n_times) override { + std::string result; + for (int i=0; igo(3); + } + +Normally, the binding code for these classes would look as follows: + +.. code-block:: cpp + + PYBIND11_MODULE(example, m) { + py::class_(m, "Animal") + .def("go", &Animal::go); + + py::class_(m, "Dog") + .def(py::init<>()); + + m.def("call_go", &call_go); + } + +However, these bindings are impossible to extend: ``Animal`` is not +constructible, and we clearly require some kind of "trampoline" that +redirects virtual calls back to Python. + +Defining a new type of ``Animal`` from within Python is possible but requires a +helper class that is defined as follows: + +.. code-block:: cpp + + class PyAnimal : public Animal { + public: + /* Inherit the constructors */ + using Animal::Animal; + + /* Trampoline (need one for each virtual function) */ + std::string go(int n_times) override { + PYBIND11_OVERLOAD_PURE( + std::string, /* Return type */ + Animal, /* Parent class */ + go, /* Name of function in C++ (must match Python name) */ + n_times /* Argument(s) */ + ); + } + }; + +The macro :c:macro:`PYBIND11_OVERLOAD_PURE` should be used for pure virtual +functions, and :c:macro:`PYBIND11_OVERLOAD` should be used for functions which have +a default implementation. There are also two alternate macros +:c:macro:`PYBIND11_OVERLOAD_PURE_NAME` and :c:macro:`PYBIND11_OVERLOAD_NAME` which +take a string-valued name argument between the *Parent class* and *Name of the +function* slots, which defines the name of function in Python. This is required +when the C++ and Python versions of the +function have different names, e.g. ``operator()`` vs ``__call__``. + +The binding code also needs a few minor adaptations (highlighted): + +.. code-block:: cpp + :emphasize-lines: 2,3 + + PYBIND11_MODULE(example, m) { + py::class_(m, "Animal") + .def(py::init<>()) + .def("go", &Animal::go); + + py::class_(m, "Dog") + .def(py::init<>()); + + m.def("call_go", &call_go); + } + +Importantly, pybind11 is made aware of the trampoline helper class by +specifying it as an extra template argument to :class:`class_`. (This can also +be combined with other template arguments such as a custom holder type; the +order of template types does not matter). Following this, we are able to +define a constructor as usual. + +Bindings should be made against the actual class, not the trampoline helper class. + +.. code-block:: cpp + :emphasize-lines: 3 + + py::class_(m, "Animal"); + .def(py::init<>()) + .def("go", &PyAnimal::go); /* <--- THIS IS WRONG, use &Animal::go */ + +Note, however, that the above is sufficient for allowing python classes to +extend ``Animal``, but not ``Dog``: see :ref:`virtual_and_inheritance` for the +necessary steps required to providing proper overload support for inherited +classes. + +The Python session below shows how to override ``Animal::go`` and invoke it via +a virtual method call. + +.. code-block:: pycon + + >>> from example import * + >>> d = Dog() + >>> call_go(d) + u'woof! woof! woof! ' + >>> class Cat(Animal): + ... def go(self, n_times): + ... return "meow! " * n_times + ... + >>> c = Cat() + >>> call_go(c) + u'meow! meow! meow! ' + +If you are defining a custom constructor in a derived Python class, you *must* +ensure that you explicitly call the bound C++ constructor using ``__init__``, +*regardless* of whether it is a default constructor or not. Otherwise, the +memory for the C++ portion of the instance will be left uninitialized, which +will generally leave the C++ instance in an invalid state and cause undefined +behavior if the C++ instance is subsequently used. + +Here is an example: + +.. code-block:: python + + class Dachshund(Dog): + def __init__(self, name): + Dog.__init__(self) # Without this, undefined behavior may occur if the C++ portions are referenced. + self.name = name + def bark(self): + return "yap!" + +Note that a direct ``__init__`` constructor *should be called*, and ``super()`` +should not be used. For simple cases of linear inheritance, ``super()`` +may work, but once you begin mixing Python and C++ multiple inheritance, +things will fall apart due to differences between Python's MRO and C++'s +mechanisms. + +Please take a look at the :ref:`macro_notes` before using this feature. + +.. note:: + + When the overridden type returns a reference or pointer to a type that + pybind11 converts from Python (for example, numeric values, std::string, + and other built-in value-converting types), there are some limitations to + be aware of: + + - because in these cases there is no C++ variable to reference (the value + is stored in the referenced Python variable), pybind11 provides one in + the PYBIND11_OVERLOAD macros (when needed) with static storage duration. + Note that this means that invoking the overloaded method on *any* + instance will change the referenced value stored in *all* instances of + that type. + + - Attempts to modify a non-const reference will not have the desired + effect: it will change only the static cache variable, but this change + will not propagate to underlying Python instance, and the change will be + replaced the next time the overload is invoked. + +.. seealso:: + + The file :file:`tests/test_virtual_functions.cpp` contains a complete + example that demonstrates how to override virtual functions using pybind11 + in more detail. + +.. _virtual_and_inheritance: + +Combining virtual functions and inheritance +=========================================== + +When combining virtual methods with inheritance, you need to be sure to provide +an override for each method for which you want to allow overrides from derived +python classes. For example, suppose we extend the above ``Animal``/``Dog`` +example as follows: + +.. code-block:: cpp + + class Animal { + public: + virtual std::string go(int n_times) = 0; + virtual std::string name() { return "unknown"; } + }; + class Dog : public Animal { + public: + std::string go(int n_times) override { + std::string result; + for (int i=0; i class PyAnimal : public AnimalBase { + public: + using AnimalBase::AnimalBase; // Inherit constructors + std::string go(int n_times) override { PYBIND11_OVERLOAD_PURE(std::string, AnimalBase, go, n_times); } + std::string name() override { PYBIND11_OVERLOAD(std::string, AnimalBase, name, ); } + }; + template class PyDog : public PyAnimal { + public: + using PyAnimal::PyAnimal; // Inherit constructors + // Override PyAnimal's pure virtual go() with a non-pure one: + std::string go(int n_times) override { PYBIND11_OVERLOAD(std::string, DogBase, go, n_times); } + std::string bark() override { PYBIND11_OVERLOAD(std::string, DogBase, bark, ); } + }; + +This technique has the advantage of requiring just one trampoline method to be +declared per virtual method and pure virtual method override. It does, +however, require the compiler to generate at least as many methods (and +possibly more, if both pure virtual and overridden pure virtual methods are +exposed, as above). + +The classes are then registered with pybind11 using: + +.. code-block:: cpp + + py::class_> animal(m, "Animal"); + py::class_> dog(m, "Dog"); + py::class_> husky(m, "Husky"); + // ... add animal, dog, husky definitions + +Note that ``Husky`` did not require a dedicated trampoline template class at +all, since it neither declares any new virtual methods nor provides any pure +virtual method implementations. + +With either the repeated-virtuals or templated trampoline methods in place, you +can now create a python class that inherits from ``Dog``: + +.. code-block:: python + + class ShihTzu(Dog): + def bark(self): + return "yip!" + +.. seealso:: + + See the file :file:`tests/test_virtual_functions.cpp` for complete examples + using both the duplication and templated trampoline approaches. + +.. _extended_aliases: + +Extended trampoline class functionality +======================================= + +.. _extended_class_functionality_forced_trampoline: + +Forced trampoline class initialisation +-------------------------------------- +The trampoline classes described in the previous sections are, by default, only +initialized when needed. More specifically, they are initialized when a python +class actually inherits from a registered type (instead of merely creating an +instance of the registered type), or when a registered constructor is only +valid for the trampoline class but not the registered class. This is primarily +for performance reasons: when the trampoline class is not needed for anything +except virtual method dispatching, not initializing the trampoline class +improves performance by avoiding needing to do a run-time check to see if the +inheriting python instance has an overloaded method. + +Sometimes, however, it is useful to always initialize a trampoline class as an +intermediate class that does more than just handle virtual method dispatching. +For example, such a class might perform extra class initialization, extra +destruction operations, and might define new members and methods to enable a +more python-like interface to a class. + +In order to tell pybind11 that it should *always* initialize the trampoline +class when creating new instances of a type, the class constructors should be +declared using ``py::init_alias()`` instead of the usual +``py::init()``. This forces construction via the trampoline class, +ensuring member initialization and (eventual) destruction. + +.. seealso:: + + See the file :file:`tests/test_virtual_functions.cpp` for complete examples + showing both normal and forced trampoline instantiation. + +Different method signatures +--------------------------- +The macro's introduced in :ref:`overriding_virtuals` cover most of the standard +use cases when exposing C++ classes to Python. Sometimes it is hard or unwieldy +to create a direct one-on-one mapping between the arguments and method return +type. + +An example would be when the C++ signature contains output arguments using +references (See also :ref:`faq_reference_arguments`). Another way of solving +this is to use the method body of the trampoline class to do conversions to the +input and return of the Python method. + +The main building block to do so is the :func:`get_overload`, this function +allows retrieving a method implemented in Python from within the trampoline's +methods. Consider for example a C++ method which has the signature +``bool myMethod(int32_t& value)``, where the return indicates whether +something should be done with the ``value``. This can be made convenient on the +Python side by allowing the Python function to return ``None`` or an ``int``: + +.. code-block:: cpp + + bool MyClass::myMethod(int32_t& value) + { + pybind11::gil_scoped_acquire gil; // Acquire the GIL while in this scope. + // Try to look up the overloaded method on the Python side. + pybind11::function overload = pybind11::get_overload(this, "myMethod"); + if (overload) { // method is found + auto obj = overload(value); // Call the Python function. + if (py::isinstance(obj)) { // check if it returned a Python integer type + value = obj.cast(); // Cast it and assign it to the value. + return true; // Return true; value should be used. + } else { + return false; // Python returned none, return false. + } + } + return false; // Alternatively return MyClass::myMethod(value); + } + + +.. _custom_constructors: + +Custom constructors +=================== + +The syntax for binding constructors was previously introduced, but it only +works when a constructor of the appropriate arguments actually exists on the +C++ side. To extend this to more general cases, pybind11 makes it possible +to bind factory functions as constructors. For example, suppose you have a +class like this: + +.. code-block:: cpp + + class Example { + private: + Example(int); // private constructor + public: + // Factory function: + static Example create(int a) { return Example(a); } + }; + + py::class_(m, "Example") + .def(py::init(&Example::create)); + +While it is possible to create a straightforward binding of the static +``create`` method, it may sometimes be preferable to expose it as a constructor +on the Python side. This can be accomplished by calling ``.def(py::init(...))`` +with the function reference returning the new instance passed as an argument. +It is also possible to use this approach to bind a function returning a new +instance by raw pointer or by the holder (e.g. ``std::unique_ptr``). + +The following example shows the different approaches: + +.. code-block:: cpp + + class Example { + private: + Example(int); // private constructor + public: + // Factory function - returned by value: + static Example create(int a) { return Example(a); } + + // These constructors are publicly callable: + Example(double); + Example(int, int); + Example(std::string); + }; + + py::class_(m, "Example") + // Bind the factory function as a constructor: + .def(py::init(&Example::create)) + // Bind a lambda function returning a pointer wrapped in a holder: + .def(py::init([](std::string arg) { + return std::unique_ptr(new Example(arg)); + })) + // Return a raw pointer: + .def(py::init([](int a, int b) { return new Example(a, b); })) + // You can mix the above with regular C++ constructor bindings as well: + .def(py::init()) + ; + +When the constructor is invoked from Python, pybind11 will call the factory +function and store the resulting C++ instance in the Python instance. + +When combining factory functions constructors with :ref:`virtual function +trampolines ` there are two approaches. The first is to +add a constructor to the alias class that takes a base value by +rvalue-reference. If such a constructor is available, it will be used to +construct an alias instance from the value returned by the factory function. +The second option is to provide two factory functions to ``py::init()``: the +first will be invoked when no alias class is required (i.e. when the class is +being used but not inherited from in Python), and the second will be invoked +when an alias is required. + +You can also specify a single factory function that always returns an alias +instance: this will result in behaviour similar to ``py::init_alias<...>()``, +as described in the :ref:`extended trampoline class documentation +`. + +The following example shows the different factory approaches for a class with +an alias: + +.. code-block:: cpp + + #include + class Example { + public: + // ... + virtual ~Example() = default; + }; + class PyExample : public Example { + public: + using Example::Example; + PyExample(Example &&base) : Example(std::move(base)) {} + }; + py::class_(m, "Example") + // Returns an Example pointer. If a PyExample is needed, the Example + // instance will be moved via the extra constructor in PyExample, above. + .def(py::init([]() { return new Example(); })) + // Two callbacks: + .def(py::init([]() { return new Example(); } /* no alias needed */, + []() { return new PyExample(); } /* alias needed */)) + // *Always* returns an alias instance (like py::init_alias<>()) + .def(py::init([]() { return new PyExample(); })) + ; + +Brace initialization +-------------------- + +``pybind11::init<>`` internally uses C++11 brace initialization to call the +constructor of the target class. This means that it can be used to bind +*implicit* constructors as well: + +.. code-block:: cpp + + struct Aggregate { + int a; + std::string b; + }; + + py::class_(m, "Aggregate") + .def(py::init()); + +.. note:: + + Note that brace initialization preferentially invokes constructor overloads + taking a ``std::initializer_list``. In the rare event that this causes an + issue, you can work around it by using ``py::init(...)`` with a lambda + function that constructs the new object as desired. + +.. _classes_with_non_public_destructors: + +Non-public destructors +====================== + +If a class has a private or protected destructor (as might e.g. be the case in +a singleton pattern), a compile error will occur when creating bindings via +pybind11. The underlying issue is that the ``std::unique_ptr`` holder type that +is responsible for managing the lifetime of instances will reference the +destructor even if no deallocations ever take place. In order to expose classes +with private or protected destructors, it is possible to override the holder +type via a holder type argument to ``class_``. Pybind11 provides a helper class +``py::nodelete`` that disables any destructor invocations. In this case, it is +crucial that instances are deallocated on the C++ side to avoid memory leaks. + +.. code-block:: cpp + + /* ... definition ... */ + + class MyClass { + private: + ~MyClass() { } + }; + + /* ... binding code ... */ + + py::class_>(m, "MyClass") + .def(py::init<>()) + +.. _implicit_conversions: + +Implicit conversions +==================== + +Suppose that instances of two types ``A`` and ``B`` are used in a project, and +that an ``A`` can easily be converted into an instance of type ``B`` (examples of this +could be a fixed and an arbitrary precision number type). + +.. code-block:: cpp + + py::class_(m, "A") + /// ... members ... + + py::class_(m, "B") + .def(py::init()) + /// ... members ... + + m.def("func", + [](const B &) { /* .... */ } + ); + +To invoke the function ``func`` using a variable ``a`` containing an ``A`` +instance, we'd have to write ``func(B(a))`` in Python. On the other hand, C++ +will automatically apply an implicit type conversion, which makes it possible +to directly write ``func(a)``. + +In this situation (i.e. where ``B`` has a constructor that converts from +``A``), the following statement enables similar implicit conversions on the +Python side: + +.. code-block:: cpp + + py::implicitly_convertible(); + +.. note:: + + Implicit conversions from ``A`` to ``B`` only work when ``B`` is a custom + data type that is exposed to Python via pybind11. + + To prevent runaway recursion, implicit conversions are non-reentrant: an + implicit conversion invoked as part of another implicit conversion of the + same type (i.e. from ``A`` to ``B``) will fail. + +.. _static_properties: + +Static properties +================= + +The section on :ref:`properties` discussed the creation of instance properties +that are implemented in terms of C++ getters and setters. + +Static properties can also be created in a similar way to expose getters and +setters of static class attributes. Note that the implicit ``self`` argument +also exists in this case and is used to pass the Python ``type`` subclass +instance. This parameter will often not be needed by the C++ side, and the +following example illustrates how to instantiate a lambda getter function +that ignores it: + +.. code-block:: cpp + + py::class_(m, "Foo") + .def_property_readonly_static("foo", [](py::object /* self */) { return Foo(); }); + +Operator overloading +==================== + +Suppose that we're given the following ``Vector2`` class with a vector addition +and scalar multiplication operation, all implemented using overloaded operators +in C++. + +.. code-block:: cpp + + class Vector2 { + public: + Vector2(float x, float y) : x(x), y(y) { } + + Vector2 operator+(const Vector2 &v) const { return Vector2(x + v.x, y + v.y); } + Vector2 operator*(float value) const { return Vector2(x * value, y * value); } + Vector2& operator+=(const Vector2 &v) { x += v.x; y += v.y; return *this; } + Vector2& operator*=(float v) { x *= v; y *= v; return *this; } + + friend Vector2 operator*(float f, const Vector2 &v) { + return Vector2(f * v.x, f * v.y); + } + + std::string toString() const { + return "[" + std::to_string(x) + ", " + std::to_string(y) + "]"; + } + private: + float x, y; + }; + +The following snippet shows how the above operators can be conveniently exposed +to Python. + +.. code-block:: cpp + + #include + + PYBIND11_MODULE(example, m) { + py::class_(m, "Vector2") + .def(py::init()) + .def(py::self + py::self) + .def(py::self += py::self) + .def(py::self *= float()) + .def(float() * py::self) + .def(py::self * float()) + .def(-py::self) + .def("__repr__", &Vector2::toString); + } + +Note that a line like + +.. code-block:: cpp + + .def(py::self * float()) + +is really just short hand notation for + +.. code-block:: cpp + + .def("__mul__", [](const Vector2 &a, float b) { + return a * b; + }, py::is_operator()) + +This can be useful for exposing additional operators that don't exist on the +C++ side, or to perform other types of customization. The ``py::is_operator`` +flag marker is needed to inform pybind11 that this is an operator, which +returns ``NotImplemented`` when invoked with incompatible arguments rather than +throwing a type error. + +.. note:: + + To use the more convenient ``py::self`` notation, the additional + header file :file:`pybind11/operators.h` must be included. + +.. seealso:: + + The file :file:`tests/test_operator_overloading.cpp` contains a + complete example that demonstrates how to work with overloaded operators in + more detail. + +.. _pickling: + +Pickling support +================ + +Python's ``pickle`` module provides a powerful facility to serialize and +de-serialize a Python object graph into a binary data stream. To pickle and +unpickle C++ classes using pybind11, a ``py::pickle()`` definition must be +provided. Suppose the class in question has the following signature: + +.. code-block:: cpp + + class Pickleable { + public: + Pickleable(const std::string &value) : m_value(value) { } + const std::string &value() const { return m_value; } + + void setExtra(int extra) { m_extra = extra; } + int extra() const { return m_extra; } + private: + std::string m_value; + int m_extra = 0; + }; + +Pickling support in Python is enabled by defining the ``__setstate__`` and +``__getstate__`` methods [#f3]_. For pybind11 classes, use ``py::pickle()`` +to bind these two functions: + +.. code-block:: cpp + + py::class_(m, "Pickleable") + .def(py::init()) + .def("value", &Pickleable::value) + .def("extra", &Pickleable::extra) + .def("setExtra", &Pickleable::setExtra) + .def(py::pickle( + [](const Pickleable &p) { // __getstate__ + /* Return a tuple that fully encodes the state of the object */ + return py::make_tuple(p.value(), p.extra()); + }, + [](py::tuple t) { // __setstate__ + if (t.size() != 2) + throw std::runtime_error("Invalid state!"); + + /* Create a new C++ instance */ + Pickleable p(t[0].cast()); + + /* Assign any additional state */ + p.setExtra(t[1].cast()); + + return p; + } + )); + +The ``__setstate__`` part of the ``py::picke()`` definition follows the same +rules as the single-argument version of ``py::init()``. The return type can be +a value, pointer or holder type. See :ref:`custom_constructors` for details. + +An instance can now be pickled as follows: + +.. code-block:: python + + try: + import cPickle as pickle # Use cPickle on Python 2.7 + except ImportError: + import pickle + + p = Pickleable("test_value") + p.setExtra(15) + data = pickle.dumps(p, 2) + +Note that only the cPickle module is supported on Python 2.7. The second +argument to ``dumps`` is also crucial: it selects the pickle protocol version +2, since the older version 1 is not supported. Newer versions are also fineā€”for +instance, specify ``-1`` to always use the latest available version. Beware: +failure to follow these instructions will cause important pybind11 memory +allocation routines to be skipped during unpickling, which will likely lead to +memory corruption and/or segmentation faults. + +.. seealso:: + + The file :file:`tests/test_pickling.cpp` contains a complete example + that demonstrates how to pickle and unpickle types using pybind11 in more + detail. + +.. [#f3] http://docs.python.org/3/library/pickle.html#pickling-class-instances + +Multiple Inheritance +==================== + +pybind11 can create bindings for types that derive from multiple base types +(aka. *multiple inheritance*). To do so, specify all bases in the template +arguments of the ``class_`` declaration: + +.. code-block:: cpp + + py::class_(m, "MyType") + ... + +The base types can be specified in arbitrary order, and they can even be +interspersed with alias types and holder types (discussed earlier in this +document)---pybind11 will automatically find out which is which. The only +requirement is that the first template argument is the type to be declared. + +It is also permitted to inherit multiply from exported C++ classes in Python, +as well as inheriting from multiple Python and/or pybind11-exported classes. + +There is one caveat regarding the implementation of this feature: + +When only one base type is specified for a C++ type that actually has multiple +bases, pybind11 will assume that it does not participate in multiple +inheritance, which can lead to undefined behavior. In such cases, add the tag +``multiple_inheritance`` to the class constructor: + +.. code-block:: cpp + + py::class_(m, "MyType", py::multiple_inheritance()); + +The tag is redundant and does not need to be specified when multiple base types +are listed. + +.. _module_local: + +Module-local class bindings +=========================== + +When creating a binding for a class, pybind11 by default makes that binding +"global" across modules. What this means is that a type defined in one module +can be returned from any module resulting in the same Python type. For +example, this allows the following: + +.. code-block:: cpp + + // In the module1.cpp binding code for module1: + py::class_(m, "Pet") + .def(py::init()) + .def_readonly("name", &Pet::name); + +.. code-block:: cpp + + // In the module2.cpp binding code for module2: + m.def("create_pet", [](std::string name) { return new Pet(name); }); + +.. code-block:: pycon + + >>> from module1 import Pet + >>> from module2 import create_pet + >>> pet1 = Pet("Kitty") + >>> pet2 = create_pet("Doggy") + >>> pet2.name() + 'Doggy' + +When writing binding code for a library, this is usually desirable: this +allows, for example, splitting up a complex library into multiple Python +modules. + +In some cases, however, this can cause conflicts. For example, suppose two +unrelated modules make use of an external C++ library and each provide custom +bindings for one of that library's classes. This will result in an error when +a Python program attempts to import both modules (directly or indirectly) +because of conflicting definitions on the external type: + +.. code-block:: cpp + + // dogs.cpp + + // Binding for external library class: + py::class(m, "Pet") + .def("name", &pets::Pet::name); + + // Binding for local extension class: + py::class(m, "Dog") + .def(py::init()); + +.. code-block:: cpp + + // cats.cpp, in a completely separate project from the above dogs.cpp. + + // Binding for external library class: + py::class(m, "Pet") + .def("get_name", &pets::Pet::name); + + // Binding for local extending class: + py::class(m, "Cat") + .def(py::init()); + +.. code-block:: pycon + + >>> import cats + >>> import dogs + Traceback (most recent call last): + File "", line 1, in + ImportError: generic_type: type "Pet" is already registered! + +To get around this, you can tell pybind11 to keep the external class binding +localized to the module by passing the ``py::module_local()`` attribute into +the ``py::class_`` constructor: + +.. code-block:: cpp + + // Pet binding in dogs.cpp: + py::class(m, "Pet", py::module_local()) + .def("name", &pets::Pet::name); + +.. code-block:: cpp + + // Pet binding in cats.cpp: + py::class(m, "Pet", py::module_local()) + .def("get_name", &pets::Pet::name); + +This makes the Python-side ``dogs.Pet`` and ``cats.Pet`` into distinct classes, +avoiding the conflict and allowing both modules to be loaded. C++ code in the +``dogs`` module that casts or returns a ``Pet`` instance will result in a +``dogs.Pet`` Python instance, while C++ code in the ``cats`` module will result +in a ``cats.Pet`` Python instance. + +This does come with two caveats, however: First, external modules cannot return +or cast a ``Pet`` instance to Python (unless they also provide their own local +bindings). Second, from the Python point of view they are two distinct classes. + +Note that the locality only applies in the C++ -> Python direction. When +passing such a ``py::module_local`` type into a C++ function, the module-local +classes are still considered. This means that if the following function is +added to any module (including but not limited to the ``cats`` and ``dogs`` +modules above) it will be callable with either a ``dogs.Pet`` or ``cats.Pet`` +argument: + +.. code-block:: cpp + + m.def("pet_name", [](const pets::Pet &pet) { return pet.name(); }); + +For example, suppose the above function is added to each of ``cats.cpp``, +``dogs.cpp`` and ``frogs.cpp`` (where ``frogs.cpp`` is some other module that +does *not* bind ``Pets`` at all). + +.. code-block:: pycon + + >>> import cats, dogs, frogs # No error because of the added py::module_local() + >>> mycat, mydog = cats.Cat("Fluffy"), dogs.Dog("Rover") + >>> (cats.pet_name(mycat), dogs.pet_name(mydog)) + ('Fluffy', 'Rover') + >>> (cats.pet_name(mydog), dogs.pet_name(mycat), frogs.pet_name(mycat)) + ('Rover', 'Fluffy', 'Fluffy') + +It is possible to use ``py::module_local()`` registrations in one module even +if another module registers the same type globally: within the module with the +module-local definition, all C++ instances will be cast to the associated bound +Python type. In other modules any such values are converted to the global +Python type created elsewhere. + +.. note:: + + STL bindings (as provided via the optional :file:`pybind11/stl_bind.h` + header) apply ``py::module_local`` by default when the bound type might + conflict with other modules; see :ref:`stl_bind` for details. + +.. note:: + + The localization of the bound types is actually tied to the shared object + or binary generated by the compiler/linker. For typical modules created + with ``PYBIND11_MODULE()``, this distinction is not significant. It is + possible, however, when :ref:`embedding` to embed multiple modules in the + same binary (see :ref:`embedding_modules`). In such a case, the + localization will apply across all embedded modules within the same binary. + +.. seealso:: + + The file :file:`tests/test_local_bindings.cpp` contains additional examples + that demonstrate how ``py::module_local()`` works. + +Binding protected member functions +================================== + +It's normally not possible to expose ``protected`` member functions to Python: + +.. code-block:: cpp + + class A { + protected: + int foo() const { return 42; } + }; + + py::class_(m, "A") + .def("foo", &A::foo); // error: 'foo' is a protected member of 'A' + +On one hand, this is good because non-``public`` members aren't meant to be +accessed from the outside. But we may want to make use of ``protected`` +functions in derived Python classes. + +The following pattern makes this possible: + +.. code-block:: cpp + + class A { + protected: + int foo() const { return 42; } + }; + + class Publicist : public A { // helper type for exposing protected functions + public: + using A::foo; // inherited with different access modifier + }; + + py::class_(m, "A") // bind the primary class + .def("foo", &Publicist::foo); // expose protected methods via the publicist + +This works because ``&Publicist::foo`` is exactly the same function as +``&A::foo`` (same signature and address), just with a different access +modifier. The only purpose of the ``Publicist`` helper class is to make +the function name ``public``. + +If the intent is to expose ``protected`` ``virtual`` functions which can be +overridden in Python, the publicist pattern can be combined with the previously +described trampoline: + +.. code-block:: cpp + + class A { + public: + virtual ~A() = default; + + protected: + virtual int foo() const { return 42; } + }; + + class Trampoline : public A { + public: + int foo() const override { PYBIND11_OVERLOAD(int, A, foo, ); } + }; + + class Publicist : public A { + public: + using A::foo; + }; + + py::class_(m, "A") // <-- `Trampoline` here + .def("foo", &Publicist::foo); // <-- `Publicist` here, not `Trampoline`! + +.. note:: + + MSVC 2015 has a compiler bug (fixed in version 2017) which + requires a more explicit function binding in the form of + ``.def("foo", static_cast(&Publicist::foo));`` + where ``int (A::*)() const`` is the type of ``A::foo``. + +Custom automatic downcasters +============================ + +As explained in :ref:`inheritance`, pybind11 comes with built-in +understanding of the dynamic type of polymorphic objects in C++; that +is, returning a Pet to Python produces a Python object that knows it's +wrapping a Dog, if Pet has virtual methods and pybind11 knows about +Dog and this Pet is in fact a Dog. Sometimes, you might want to +provide this automatic downcasting behavior when creating bindings for +a class hierarchy that does not use standard C++ polymorphism, such as +LLVM [#f4]_. As long as there's some way to determine at runtime +whether a downcast is safe, you can proceed by specializing the +``pybind11::polymorphic_type_hook`` template: + +.. code-block:: cpp + + enum class PetKind { Cat, Dog, Zebra }; + struct Pet { // Not polymorphic: has no virtual methods + const PetKind kind; + int age = 0; + protected: + Pet(PetKind _kind) : kind(_kind) {} + }; + struct Dog : Pet { + Dog() : Pet(PetKind::Dog) {} + std::string sound = "woof!"; + std::string bark() const { return sound; } + }; + + namespace pybind11 { + template<> struct polymorphic_type_hook { + static const void *get(const Pet *src, const std::type_info*& type) { + // note that src may be nullptr + if (src && src->kind == PetKind::Dog) { + type = &typeid(Dog); + return static_cast(src); + } + return src; + } + }; + } // namespace pybind11 + +When pybind11 wants to convert a C++ pointer of type ``Base*`` to a +Python object, it calls ``polymorphic_type_hook::get()`` to +determine if a downcast is possible. The ``get()`` function should use +whatever runtime information is available to determine if its ``src`` +parameter is in fact an instance of some class ``Derived`` that +inherits from ``Base``. If it finds such a ``Derived``, it sets ``type += &typeid(Derived)`` and returns a pointer to the ``Derived`` object +that contains ``src``. Otherwise, it just returns ``src``, leaving +``type`` at its default value of nullptr. If you set ``type`` to a +type that pybind11 doesn't know about, no downcasting will occur, and +the original ``src`` pointer will be used with its static type +``Base*``. + +It is critical that the returned pointer and ``type`` argument of +``get()`` agree with each other: if ``type`` is set to something +non-null, the returned pointer must point to the start of an object +whose type is ``type``. If the hierarchy being exposed uses only +single inheritance, a simple ``return src;`` will achieve this just +fine, but in the general case, you must cast ``src`` to the +appropriate derived-class pointer (e.g. using +``static_cast(src)``) before allowing it to be returned as a +``void*``. + +.. [#f4] https://llvm.org/docs/HowToSetUpLLVMStyleRTTI.html + +.. note:: + + pybind11's standard support for downcasting objects whose types + have virtual methods is implemented using + ``polymorphic_type_hook`` too, using the standard C++ ability to + determine the most-derived type of a polymorphic object using + ``typeid()`` and to cast a base pointer to that most-derived type + (even if you don't know what it is) using ``dynamic_cast``. + +.. seealso:: + + The file :file:`tests/test_tagbased_polymorphic.cpp` contains a + more complete example, including a demonstration of how to provide + automatic downcasting for an entire class hierarchy without + writing one get() function for each class. diff --git a/external/pybind11/docs/advanced/embedding.rst b/external/pybind11/docs/advanced/embedding.rst new file mode 100644 index 0000000..3930316 --- /dev/null +++ b/external/pybind11/docs/advanced/embedding.rst @@ -0,0 +1,261 @@ +.. _embedding: + +Embedding the interpreter +######################### + +While pybind11 is mainly focused on extending Python using C++, it's also +possible to do the reverse: embed the Python interpreter into a C++ program. +All of the other documentation pages still apply here, so refer to them for +general pybind11 usage. This section will cover a few extra things required +for embedding. + +Getting started +=============== + +A basic executable with an embedded interpreter can be created with just a few +lines of CMake and the ``pybind11::embed`` target, as shown below. For more +information, see :doc:`/compiling`. + +.. code-block:: cmake + + cmake_minimum_required(VERSION 3.0) + project(example) + + find_package(pybind11 REQUIRED) # or `add_subdirectory(pybind11)` + + add_executable(example main.cpp) + target_link_libraries(example PRIVATE pybind11::embed) + +The essential structure of the ``main.cpp`` file looks like this: + +.. code-block:: cpp + + #include // everything needed for embedding + namespace py = pybind11; + + int main() { + py::scoped_interpreter guard{}; // start the interpreter and keep it alive + + py::print("Hello, World!"); // use the Python API + } + +The interpreter must be initialized before using any Python API, which includes +all the functions and classes in pybind11. The RAII guard class `scoped_interpreter` +takes care of the interpreter lifetime. After the guard is destroyed, the interpreter +shuts down and clears its memory. No Python functions can be called after this. + +Executing Python code +===================== + +There are a few different ways to run Python code. One option is to use `eval`, +`exec` or `eval_file`, as explained in :ref:`eval`. Here is a quick example in +the context of an executable with an embedded interpreter: + +.. code-block:: cpp + + #include + namespace py = pybind11; + + int main() { + py::scoped_interpreter guard{}; + + py::exec(R"( + kwargs = dict(name="World", number=42) + message = "Hello, {name}! The answer is {number}".format(**kwargs) + print(message) + )"); + } + +Alternatively, similar results can be achieved using pybind11's API (see +:doc:`/advanced/pycpp/index` for more details). + +.. code-block:: cpp + + #include + namespace py = pybind11; + using namespace py::literals; + + int main() { + py::scoped_interpreter guard{}; + + auto kwargs = py::dict("name"_a="World", "number"_a=42); + auto message = "Hello, {name}! The answer is {number}"_s.format(**kwargs); + py::print(message); + } + +The two approaches can also be combined: + +.. code-block:: cpp + + #include + #include + + namespace py = pybind11; + using namespace py::literals; + + int main() { + py::scoped_interpreter guard{}; + + auto locals = py::dict("name"_a="World", "number"_a=42); + py::exec(R"( + message = "Hello, {name}! The answer is {number}".format(**locals()) + )", py::globals(), locals); + + auto message = locals["message"].cast(); + std::cout << message; + } + +Importing modules +================= + +Python modules can be imported using `module::import()`: + +.. code-block:: cpp + + py::module sys = py::module::import("sys"); + py::print(sys.attr("path")); + +For convenience, the current working directory is included in ``sys.path`` when +embedding the interpreter. This makes it easy to import local Python files: + +.. code-block:: python + + """calc.py located in the working directory""" + + def add(i, j): + return i + j + + +.. code-block:: cpp + + py::module calc = py::module::import("calc"); + py::object result = calc.attr("add")(1, 2); + int n = result.cast(); + assert(n == 3); + +Modules can be reloaded using `module::reload()` if the source is modified e.g. +by an external process. This can be useful in scenarios where the application +imports a user defined data processing script which needs to be updated after +changes by the user. Note that this function does not reload modules recursively. + +.. _embedding_modules: + +Adding embedded modules +======================= + +Embedded binary modules can be added using the `PYBIND11_EMBEDDED_MODULE` macro. +Note that the definition must be placed at global scope. They can be imported +like any other module. + +.. code-block:: cpp + + #include + namespace py = pybind11; + + PYBIND11_EMBEDDED_MODULE(fast_calc, m) { + // `m` is a `py::module` which is used to bind functions and classes + m.def("add", [](int i, int j) { + return i + j; + }); + } + + int main() { + py::scoped_interpreter guard{}; + + auto fast_calc = py::module::import("fast_calc"); + auto result = fast_calc.attr("add")(1, 2).cast(); + assert(result == 3); + } + +Unlike extension modules where only a single binary module can be created, on +the embedded side an unlimited number of modules can be added using multiple +`PYBIND11_EMBEDDED_MODULE` definitions (as long as they have unique names). + +These modules are added to Python's list of builtins, so they can also be +imported in pure Python files loaded by the interpreter. Everything interacts +naturally: + +.. code-block:: python + + """py_module.py located in the working directory""" + import cpp_module + + a = cpp_module.a + b = a + 1 + + +.. code-block:: cpp + + #include + namespace py = pybind11; + + PYBIND11_EMBEDDED_MODULE(cpp_module, m) { + m.attr("a") = 1; + } + + int main() { + py::scoped_interpreter guard{}; + + auto py_module = py::module::import("py_module"); + + auto locals = py::dict("fmt"_a="{} + {} = {}", **py_module.attr("__dict__")); + assert(locals["a"].cast() == 1); + assert(locals["b"].cast() == 2); + + py::exec(R"( + c = a + b + message = fmt.format(a, b, c) + )", py::globals(), locals); + + assert(locals["c"].cast() == 3); + assert(locals["message"].cast() == "1 + 2 = 3"); + } + + +Interpreter lifetime +==================== + +The Python interpreter shuts down when `scoped_interpreter` is destroyed. After +this, creating a new instance will restart the interpreter. Alternatively, the +`initialize_interpreter` / `finalize_interpreter` pair of functions can be used +to directly set the state at any time. + +Modules created with pybind11 can be safely re-initialized after the interpreter +has been restarted. However, this may not apply to third-party extension modules. +The issue is that Python itself cannot completely unload extension modules and +there are several caveats with regard to interpreter restarting. In short, not +all memory may be freed, either due to Python reference cycles or user-created +global data. All the details can be found in the CPython documentation. + +.. warning:: + + Creating two concurrent `scoped_interpreter` guards is a fatal error. So is + calling `initialize_interpreter` for a second time after the interpreter + has already been initialized. + + Do not use the raw CPython API functions ``Py_Initialize`` and + ``Py_Finalize`` as these do not properly handle the lifetime of + pybind11's internal data. + + +Sub-interpreter support +======================= + +Creating multiple copies of `scoped_interpreter` is not possible because it +represents the main Python interpreter. Sub-interpreters are something different +and they do permit the existence of multiple interpreters. This is an advanced +feature of the CPython API and should be handled with care. pybind11 does not +currently offer a C++ interface for sub-interpreters, so refer to the CPython +documentation for all the details regarding this feature. + +We'll just mention a couple of caveats the sub-interpreters support in pybind11: + + 1. Sub-interpreters will not receive independent copies of embedded modules. + Instead, these are shared and modifications in one interpreter may be + reflected in another. + + 2. Managing multiple threads, multiple interpreters and the GIL can be + challenging and there are several caveats here, even within the pure + CPython API (please refer to the Python docs for details). As for + pybind11, keep in mind that `gil_scoped_release` and `gil_scoped_acquire` + do not take sub-interpreters into account. diff --git a/external/pybind11/docs/advanced/exceptions.rst b/external/pybind11/docs/advanced/exceptions.rst new file mode 100644 index 0000000..75ac24a --- /dev/null +++ b/external/pybind11/docs/advanced/exceptions.rst @@ -0,0 +1,142 @@ +Exceptions +########## + +Built-in exception translation +============================== + +When C++ code invoked from Python throws an ``std::exception``, it is +automatically converted into a Python ``Exception``. pybind11 defines multiple +special exception classes that will map to different types of Python +exceptions: + +.. tabularcolumns:: |p{0.5\textwidth}|p{0.45\textwidth}| + ++--------------------------------------+--------------------------------------+ +| C++ exception type | Python exception type | ++======================================+======================================+ +| :class:`std::exception` | ``RuntimeError`` | ++--------------------------------------+--------------------------------------+ +| :class:`std::bad_alloc` | ``MemoryError`` | ++--------------------------------------+--------------------------------------+ +| :class:`std::domain_error` | ``ValueError`` | ++--------------------------------------+--------------------------------------+ +| :class:`std::invalid_argument` | ``ValueError`` | ++--------------------------------------+--------------------------------------+ +| :class:`std::length_error` | ``ValueError`` | ++--------------------------------------+--------------------------------------+ +| :class:`std::out_of_range` | ``IndexError`` | ++--------------------------------------+--------------------------------------+ +| :class:`std::range_error` | ``ValueError`` | ++--------------------------------------+--------------------------------------+ +| :class:`pybind11::stop_iteration` | ``StopIteration`` (used to implement | +| | custom iterators) | ++--------------------------------------+--------------------------------------+ +| :class:`pybind11::index_error` | ``IndexError`` (used to indicate out | +| | of bounds access in ``__getitem__``, | +| | ``__setitem__``, etc.) | ++--------------------------------------+--------------------------------------+ +| :class:`pybind11::value_error` | ``ValueError`` (used to indicate | +| | wrong value passed in | +| | ``container.remove(...)``) | ++--------------------------------------+--------------------------------------+ +| :class:`pybind11::key_error` | ``KeyError`` (used to indicate out | +| | of bounds access in ``__getitem__``, | +| | ``__setitem__`` in dict-like | +| | objects, etc.) | ++--------------------------------------+--------------------------------------+ +| :class:`pybind11::error_already_set` | Indicates that the Python exception | +| | flag has already been set via Python | +| | API calls from C++ code; this C++ | +| | exception is used to propagate such | +| | a Python exception back to Python. | ++--------------------------------------+--------------------------------------+ + +When a Python function invoked from C++ throws an exception, it is converted +into a C++ exception of type :class:`error_already_set` whose string payload +contains a textual summary. + +There is also a special exception :class:`cast_error` that is thrown by +:func:`handle::call` when the input arguments cannot be converted to Python +objects. + +Registering custom translators +============================== + +If the default exception conversion policy described above is insufficient, +pybind11 also provides support for registering custom exception translators. +To register a simple exception conversion that translates a C++ exception into +a new Python exception using the C++ exception's ``what()`` method, a helper +function is available: + +.. code-block:: cpp + + py::register_exception(module, "PyExp"); + +This call creates a Python exception class with the name ``PyExp`` in the given +module and automatically converts any encountered exceptions of type ``CppExp`` +into Python exceptions of type ``PyExp``. + +When more advanced exception translation is needed, the function +``py::register_exception_translator(translator)`` can be used to register +functions that can translate arbitrary exception types (and which may include +additional logic to do so). The function takes a stateless callable (e.g. a +function pointer or a lambda function without captured variables) with the call +signature ``void(std::exception_ptr)``. + +When a C++ exception is thrown, the registered exception translators are tried +in reverse order of registration (i.e. the last registered translator gets the +first shot at handling the exception). + +Inside the translator, ``std::rethrow_exception`` should be used within +a try block to re-throw the exception. One or more catch clauses to catch +the appropriate exceptions should then be used with each clause using +``PyErr_SetString`` to set a Python exception or ``ex(string)`` to set +the python exception to a custom exception type (see below). + +To declare a custom Python exception type, declare a ``py::exception`` variable +and use this in the associated exception translator (note: it is often useful +to make this a static declaration when using it inside a lambda expression +without requiring capturing). + + +The following example demonstrates this for a hypothetical exception classes +``MyCustomException`` and ``OtherException``: the first is translated to a +custom python exception ``MyCustomError``, while the second is translated to a +standard python RuntimeError: + +.. code-block:: cpp + + static py::exception exc(m, "MyCustomError"); + py::register_exception_translator([](std::exception_ptr p) { + try { + if (p) std::rethrow_exception(p); + } catch (const MyCustomException &e) { + exc(e.what()); + } catch (const OtherException &e) { + PyErr_SetString(PyExc_RuntimeError, e.what()); + } + }); + +Multiple exceptions can be handled by a single translator, as shown in the +example above. If the exception is not caught by the current translator, the +previously registered one gets a chance. + +If none of the registered exception translators is able to handle the +exception, it is handled by the default converter as described in the previous +section. + +.. seealso:: + + The file :file:`tests/test_exceptions.cpp` contains examples + of various custom exception translators and custom exception types. + +.. note:: + + You must call either ``PyErr_SetString`` or a custom exception's call + operator (``exc(string)``) for every exception caught in a custom exception + translator. Failure to do so will cause Python to crash with ``SystemError: + error return without exception set``. + + Exceptions that you do not plan to handle should simply not be caught, or + may be explicitly (re-)thrown to delegate it to the other, + previously-declared existing exception translators. diff --git a/external/pybind11/docs/advanced/functions.rst b/external/pybind11/docs/advanced/functions.rst new file mode 100644 index 0000000..3e1a3ff --- /dev/null +++ b/external/pybind11/docs/advanced/functions.rst @@ -0,0 +1,507 @@ +Functions +######### + +Before proceeding with this section, make sure that you are already familiar +with the basics of binding functions and classes, as explained in :doc:`/basics` +and :doc:`/classes`. The following guide is applicable to both free and member +functions, i.e. *methods* in Python. + +.. _return_value_policies: + +Return value policies +===================== + +Python and C++ use fundamentally different ways of managing the memory and +lifetime of objects managed by them. This can lead to issues when creating +bindings for functions that return a non-trivial type. Just by looking at the +type information, it is not clear whether Python should take charge of the +returned value and eventually free its resources, or if this is handled on the +C++ side. For this reason, pybind11 provides a several *return value policy* +annotations that can be passed to the :func:`module::def` and +:func:`class_::def` functions. The default policy is +:enum:`return_value_policy::automatic`. + +Return value policies are tricky, and it's very important to get them right. +Just to illustrate what can go wrong, consider the following simple example: + +.. code-block:: cpp + + /* Function declaration */ + Data *get_data() { return _data; /* (pointer to a static data structure) */ } + ... + + /* Binding code */ + m.def("get_data", &get_data); // <-- KABOOM, will cause crash when called from Python + +What's going on here? When ``get_data()`` is called from Python, the return +value (a native C++ type) must be wrapped to turn it into a usable Python type. +In this case, the default return value policy (:enum:`return_value_policy::automatic`) +causes pybind11 to assume ownership of the static ``_data`` instance. + +When Python's garbage collector eventually deletes the Python +wrapper, pybind11 will also attempt to delete the C++ instance (via ``operator +delete()``) due to the implied ownership. At this point, the entire application +will come crashing down, though errors could also be more subtle and involve +silent data corruption. + +In the above example, the policy :enum:`return_value_policy::reference` should have +been specified so that the global data instance is only *referenced* without any +implied transfer of ownership, i.e.: + +.. code-block:: cpp + + m.def("get_data", &get_data, return_value_policy::reference); + +On the other hand, this is not the right policy for many other situations, +where ignoring ownership could lead to resource leaks. +As a developer using pybind11, it's important to be familiar with the different +return value policies, including which situation calls for which one of them. +The following table provides an overview of available policies: + +.. tabularcolumns:: |p{0.5\textwidth}|p{0.45\textwidth}| + ++--------------------------------------------------+----------------------------------------------------------------------------+ +| Return value policy | Description | ++==================================================+============================================================================+ +| :enum:`return_value_policy::take_ownership` | Reference an existing object (i.e. do not create a new copy) and take | +| | ownership. Python will call the destructor and delete operator when the | +| | object's reference count reaches zero. Undefined behavior ensues when the | +| | C++ side does the same, or when the data was not dynamically allocated. | ++--------------------------------------------------+----------------------------------------------------------------------------+ +| :enum:`return_value_policy::copy` | Create a new copy of the returned object, which will be owned by Python. | +| | This policy is comparably safe because the lifetimes of the two instances | +| | are decoupled. | ++--------------------------------------------------+----------------------------------------------------------------------------+ +| :enum:`return_value_policy::move` | Use ``std::move`` to move the return value contents into a new instance | +| | that will be owned by Python. This policy is comparably safe because the | +| | lifetimes of the two instances (move source and destination) are decoupled.| ++--------------------------------------------------+----------------------------------------------------------------------------+ +| :enum:`return_value_policy::reference` | Reference an existing object, but do not take ownership. The C++ side is | +| | responsible for managing the object's lifetime and deallocating it when | +| | it is no longer used. Warning: undefined behavior will ensue when the C++ | +| | side deletes an object that is still referenced and used by Python. | ++--------------------------------------------------+----------------------------------------------------------------------------+ +| :enum:`return_value_policy::reference_internal` | Indicates that the lifetime of the return value is tied to the lifetime | +| | of a parent object, namely the implicit ``this``, or ``self`` argument of | +| | the called method or property. Internally, this policy works just like | +| | :enum:`return_value_policy::reference` but additionally applies a | +| | ``keep_alive<0, 1>`` *call policy* (described in the next section) that | +| | prevents the parent object from being garbage collected as long as the | +| | return value is referenced by Python. This is the default policy for | +| | property getters created via ``def_property``, ``def_readwrite``, etc. | ++--------------------------------------------------+----------------------------------------------------------------------------+ +| :enum:`return_value_policy::automatic` | **Default policy.** This policy falls back to the policy | +| | :enum:`return_value_policy::take_ownership` when the return value is a | +| | pointer. Otherwise, it uses :enum:`return_value_policy::move` or | +| | :enum:`return_value_policy::copy` for rvalue and lvalue references, | +| | respectively. See above for a description of what all of these different | +| | policies do. | ++--------------------------------------------------+----------------------------------------------------------------------------+ +| :enum:`return_value_policy::automatic_reference` | As above, but use policy :enum:`return_value_policy::reference` when the | +| | return value is a pointer. This is the default conversion policy for | +| | function arguments when calling Python functions manually from C++ code | +| | (i.e. via handle::operator()). You probably won't need to use this. | ++--------------------------------------------------+----------------------------------------------------------------------------+ + +Return value policies can also be applied to properties: + +.. code-block:: cpp + + class_(m, "MyClass") + .def_property("data", &MyClass::getData, &MyClass::setData, + py::return_value_policy::copy); + +Technically, the code above applies the policy to both the getter and the +setter function, however, the setter doesn't really care about *return* +value policies which makes this a convenient terse syntax. Alternatively, +targeted arguments can be passed through the :class:`cpp_function` constructor: + +.. code-block:: cpp + + class_(m, "MyClass") + .def_property("data" + py::cpp_function(&MyClass::getData, py::return_value_policy::copy), + py::cpp_function(&MyClass::setData) + ); + +.. warning:: + + Code with invalid return value policies might access uninitialized memory or + free data structures multiple times, which can lead to hard-to-debug + non-determinism and segmentation faults, hence it is worth spending the + time to understand all the different options in the table above. + +.. note:: + + One important aspect of the above policies is that they only apply to + instances which pybind11 has *not* seen before, in which case the policy + clarifies essential questions about the return value's lifetime and + ownership. When pybind11 knows the instance already (as identified by its + type and address in memory), it will return the existing Python object + wrapper rather than creating a new copy. + +.. note:: + + The next section on :ref:`call_policies` discusses *call policies* that can be + specified *in addition* to a return value policy from the list above. Call + policies indicate reference relationships that can involve both return values + and parameters of functions. + +.. note:: + + As an alternative to elaborate call policies and lifetime management logic, + consider using smart pointers (see the section on :ref:`smart_pointers` for + details). Smart pointers can tell whether an object is still referenced from + C++ or Python, which generally eliminates the kinds of inconsistencies that + can lead to crashes or undefined behavior. For functions returning smart + pointers, it is not necessary to specify a return value policy. + +.. _call_policies: + +Additional call policies +======================== + +In addition to the above return value policies, further *call policies* can be +specified to indicate dependencies between parameters or ensure a certain state +for the function call. + +Keep alive +---------- + +In general, this policy is required when the C++ object is any kind of container +and another object is being added to the container. ``keep_alive`` +indicates that the argument with index ``Patient`` should be kept alive at least +until the argument with index ``Nurse`` is freed by the garbage collector. Argument +indices start at one, while zero refers to the return value. For methods, index +``1`` refers to the implicit ``this`` pointer, while regular arguments begin at +index ``2``. Arbitrarily many call policies can be specified. When a ``Nurse`` +with value ``None`` is detected at runtime, the call policy does nothing. + +When the nurse is not a pybind11-registered type, the implementation internally +relies on the ability to create a *weak reference* to the nurse object. When +the nurse object is not a pybind11-registered type and does not support weak +references, an exception will be thrown. + +Consider the following example: here, the binding code for a list append +operation ties the lifetime of the newly added element to the underlying +container: + +.. code-block:: cpp + + py::class_(m, "List") + .def("append", &List::append, py::keep_alive<1, 2>()); + +For consistency, the argument indexing is identical for constructors. Index +``1`` still refers to the implicit ``this`` pointer, i.e. the object which is +being constructed. Index ``0`` refers to the return type which is presumed to +be ``void`` when a constructor is viewed like a function. The following example +ties the lifetime of the constructor element to the constructed object: + +.. code-block:: cpp + + py::class_(m, "Nurse") + .def(py::init(), py::keep_alive<1, 2>()); + +.. note:: + + ``keep_alive`` is analogous to the ``with_custodian_and_ward`` (if Nurse, + Patient != 0) and ``with_custodian_and_ward_postcall`` (if Nurse/Patient == + 0) policies from Boost.Python. + +Call guard +---------- + +The ``call_guard`` policy allows any scope guard type ``T`` to be placed +around the function call. For example, this definition: + +.. code-block:: cpp + + m.def("foo", foo, py::call_guard()); + +is equivalent to the following pseudocode: + +.. code-block:: cpp + + m.def("foo", [](args...) { + T scope_guard; + return foo(args...); // forwarded arguments + }); + +The only requirement is that ``T`` is default-constructible, but otherwise any +scope guard will work. This is very useful in combination with `gil_scoped_release`. +See :ref:`gil`. + +Multiple guards can also be specified as ``py::call_guard``. The +constructor order is left to right and destruction happens in reverse. + +.. seealso:: + + The file :file:`tests/test_call_policies.cpp` contains a complete example + that demonstrates using `keep_alive` and `call_guard` in more detail. + +.. _python_objects_as_args: + +Python objects as arguments +=========================== + +pybind11 exposes all major Python types using thin C++ wrapper classes. These +wrapper classes can also be used as parameters of functions in bindings, which +makes it possible to directly work with native Python types on the C++ side. +For instance, the following statement iterates over a Python ``dict``: + +.. code-block:: cpp + + void print_dict(py::dict dict) { + /* Easily interact with Python types */ + for (auto item : dict) + std::cout << "key=" << std::string(py::str(item.first)) << ", " + << "value=" << std::string(py::str(item.second)) << std::endl; + } + +It can be exported: + +.. code-block:: cpp + + m.def("print_dict", &print_dict); + +And used in Python as usual: + +.. code-block:: pycon + + >>> print_dict({'foo': 123, 'bar': 'hello'}) + key=foo, value=123 + key=bar, value=hello + +For more information on using Python objects in C++, see :doc:`/advanced/pycpp/index`. + +Accepting \*args and \*\*kwargs +=============================== + +Python provides a useful mechanism to define functions that accept arbitrary +numbers of arguments and keyword arguments: + +.. code-block:: python + + def generic(*args, **kwargs): + ... # do something with args and kwargs + +Such functions can also be created using pybind11: + +.. code-block:: cpp + + void generic(py::args args, py::kwargs kwargs) { + /// .. do something with args + if (kwargs) + /// .. do something with kwargs + } + + /// Binding code + m.def("generic", &generic); + +The class ``py::args`` derives from ``py::tuple`` and ``py::kwargs`` derives +from ``py::dict``. + +You may also use just one or the other, and may combine these with other +arguments as long as the ``py::args`` and ``py::kwargs`` arguments are the last +arguments accepted by the function. + +Please refer to the other examples for details on how to iterate over these, +and on how to cast their entries into C++ objects. A demonstration is also +available in ``tests/test_kwargs_and_defaults.cpp``. + +.. note:: + + When combining \*args or \*\*kwargs with :ref:`keyword_args` you should + *not* include ``py::arg`` tags for the ``py::args`` and ``py::kwargs`` + arguments. + +Default arguments revisited +=========================== + +The section on :ref:`default_args` previously discussed basic usage of default +arguments using pybind11. One noteworthy aspect of their implementation is that +default arguments are converted to Python objects right at declaration time. +Consider the following example: + +.. code-block:: cpp + + py::class_("MyClass") + .def("myFunction", py::arg("arg") = SomeType(123)); + +In this case, pybind11 must already be set up to deal with values of the type +``SomeType`` (via a prior instantiation of ``py::class_``), or an +exception will be thrown. + +Another aspect worth highlighting is that the "preview" of the default argument +in the function signature is generated using the object's ``__repr__`` method. +If not available, the signature may not be very helpful, e.g.: + +.. code-block:: pycon + + FUNCTIONS + ... + | myFunction(...) + | Signature : (MyClass, arg : SomeType = ) -> NoneType + ... + +The first way of addressing this is by defining ``SomeType.__repr__``. +Alternatively, it is possible to specify the human-readable preview of the +default argument manually using the ``arg_v`` notation: + +.. code-block:: cpp + + py::class_("MyClass") + .def("myFunction", py::arg_v("arg", SomeType(123), "SomeType(123)")); + +Sometimes it may be necessary to pass a null pointer value as a default +argument. In this case, remember to cast it to the underlying type in question, +like so: + +.. code-block:: cpp + + py::class_("MyClass") + .def("myFunction", py::arg("arg") = (SomeType *) nullptr); + +.. _nonconverting_arguments: + +Non-converting arguments +======================== + +Certain argument types may support conversion from one type to another. Some +examples of conversions are: + +* :ref:`implicit_conversions` declared using ``py::implicitly_convertible()`` +* Calling a method accepting a double with an integer argument +* Calling a ``std::complex`` argument with a non-complex python type + (for example, with a float). (Requires the optional ``pybind11/complex.h`` + header). +* Calling a function taking an Eigen matrix reference with a numpy array of the + wrong type or of an incompatible data layout. (Requires the optional + ``pybind11/eigen.h`` header). + +This behaviour is sometimes undesirable: the binding code may prefer to raise +an error rather than convert the argument. This behaviour can be obtained +through ``py::arg`` by calling the ``.noconvert()`` method of the ``py::arg`` +object, such as: + +.. code-block:: cpp + + m.def("floats_only", [](double f) { return 0.5 * f; }, py::arg("f").noconvert()); + m.def("floats_preferred", [](double f) { return 0.5 * f; }, py::arg("f")); + +Attempting the call the second function (the one without ``.noconvert()``) with +an integer will succeed, but attempting to call the ``.noconvert()`` version +will fail with a ``TypeError``: + +.. code-block:: pycon + + >>> floats_preferred(4) + 2.0 + >>> floats_only(4) + Traceback (most recent call last): + File "", line 1, in + TypeError: floats_only(): incompatible function arguments. The following argument types are supported: + 1. (f: float) -> float + + Invoked with: 4 + +You may, of course, combine this with the :var:`_a` shorthand notation (see +:ref:`keyword_args`) and/or :ref:`default_args`. It is also permitted to omit +the argument name by using the ``py::arg()`` constructor without an argument +name, i.e. by specifying ``py::arg().noconvert()``. + +.. note:: + + When specifying ``py::arg`` options it is necessary to provide the same + number of options as the bound function has arguments. Thus if you want to + enable no-convert behaviour for just one of several arguments, you will + need to specify a ``py::arg()`` annotation for each argument with the + no-convert argument modified to ``py::arg().noconvert()``. + +.. _none_arguments: + +Allow/Prohibiting None arguments +================================ + +When a C++ type registered with :class:`py::class_` is passed as an argument to +a function taking the instance as pointer or shared holder (e.g. ``shared_ptr`` +or a custom, copyable holder as described in :ref:`smart_pointers`), pybind +allows ``None`` to be passed from Python which results in calling the C++ +function with ``nullptr`` (or an empty holder) for the argument. + +To explicitly enable or disable this behaviour, using the +``.none`` method of the :class:`py::arg` object: + +.. code-block:: cpp + + py::class_(m, "Dog").def(py::init<>()); + py::class_(m, "Cat").def(py::init<>()); + m.def("bark", [](Dog *dog) -> std::string { + if (dog) return "woof!"; /* Called with a Dog instance */ + else return "(no dog)"; /* Called with None, dog == nullptr */ + }, py::arg("dog").none(true)); + m.def("meow", [](Cat *cat) -> std::string { + // Can't be called with None argument + return "meow"; + }, py::arg("cat").none(false)); + +With the above, the Python call ``bark(None)`` will return the string ``"(no +dog)"``, while attempting to call ``meow(None)`` will raise a ``TypeError``: + +.. code-block:: pycon + + >>> from animals import Dog, Cat, bark, meow + >>> bark(Dog()) + 'woof!' + >>> meow(Cat()) + 'meow' + >>> bark(None) + '(no dog)' + >>> meow(None) + Traceback (most recent call last): + File "", line 1, in + TypeError: meow(): incompatible function arguments. The following argument types are supported: + 1. (cat: animals.Cat) -> str + + Invoked with: None + +The default behaviour when the tag is unspecified is to allow ``None``. + +.. note:: + + Even when ``.none(true)`` is specified for an argument, ``None`` will be converted to a + ``nullptr`` *only* for custom and :ref:`opaque ` types. Pointers to built-in types + (``double *``, ``int *``, ...) and STL types (``std::vector *``, ...; if ``pybind11/stl.h`` + is included) are copied when converted to C++ (see :doc:`/advanced/cast/overview`) and will + not allow ``None`` as argument. To pass optional argument of these copied types consider + using ``std::optional`` + +Overload resolution order +========================= + +When a function or method with multiple overloads is called from Python, +pybind11 determines which overload to call in two passes. The first pass +attempts to call each overload without allowing argument conversion (as if +every argument had been specified as ``py::arg().noconvert()`` as described +above). + +If no overload succeeds in the no-conversion first pass, a second pass is +attempted in which argument conversion is allowed (except where prohibited via +an explicit ``py::arg().noconvert()`` attribute in the function definition). + +If the second pass also fails a ``TypeError`` is raised. + +Within each pass, overloads are tried in the order they were registered with +pybind11. + +What this means in practice is that pybind11 will prefer any overload that does +not require conversion of arguments to an overload that does, but otherwise prefers +earlier-defined overloads to later-defined ones. + +.. note:: + + pybind11 does *not* further prioritize based on the number/pattern of + overloaded arguments. That is, pybind11 does not prioritize a function + requiring one conversion over one requiring three, but only prioritizes + overloads requiring no conversion at all to overloads that require + conversion of at least one argument. diff --git a/external/pybind11/docs/advanced/misc.rst b/external/pybind11/docs/advanced/misc.rst new file mode 100644 index 0000000..5b38ec7 --- /dev/null +++ b/external/pybind11/docs/advanced/misc.rst @@ -0,0 +1,306 @@ +Miscellaneous +############# + +.. _macro_notes: + +General notes regarding convenience macros +========================================== + +pybind11 provides a few convenience macros such as +:func:`PYBIND11_DECLARE_HOLDER_TYPE` and ``PYBIND11_OVERLOAD_*``. Since these +are "just" macros that are evaluated in the preprocessor (which has no concept +of types), they *will* get confused by commas in a template argument; for +example, consider: + +.. code-block:: cpp + + PYBIND11_OVERLOAD(MyReturnType, Class, func) + +The limitation of the C preprocessor interprets this as five arguments (with new +arguments beginning after each comma) rather than three. To get around this, +there are two alternatives: you can use a type alias, or you can wrap the type +using the ``PYBIND11_TYPE`` macro: + +.. code-block:: cpp + + // Version 1: using a type alias + using ReturnType = MyReturnType; + using ClassType = Class; + PYBIND11_OVERLOAD(ReturnType, ClassType, func); + + // Version 2: using the PYBIND11_TYPE macro: + PYBIND11_OVERLOAD(PYBIND11_TYPE(MyReturnType), + PYBIND11_TYPE(Class), func) + +The ``PYBIND11_MAKE_OPAQUE`` macro does *not* require the above workarounds. + +.. _gil: + +Global Interpreter Lock (GIL) +============================= + +When calling a C++ function from Python, the GIL is always held. +The classes :class:`gil_scoped_release` and :class:`gil_scoped_acquire` can be +used to acquire and release the global interpreter lock in the body of a C++ +function call. In this way, long-running C++ code can be parallelized using +multiple Python threads. Taking :ref:`overriding_virtuals` as an example, this +could be realized as follows (important changes highlighted): + +.. code-block:: cpp + :emphasize-lines: 8,9,31,32 + + class PyAnimal : public Animal { + public: + /* Inherit the constructors */ + using Animal::Animal; + + /* Trampoline (need one for each virtual function) */ + std::string go(int n_times) { + /* Acquire GIL before calling Python code */ + py::gil_scoped_acquire acquire; + + PYBIND11_OVERLOAD_PURE( + std::string, /* Return type */ + Animal, /* Parent class */ + go, /* Name of function */ + n_times /* Argument(s) */ + ); + } + }; + + PYBIND11_MODULE(example, m) { + py::class_ animal(m, "Animal"); + animal + .def(py::init<>()) + .def("go", &Animal::go); + + py::class_(m, "Dog", animal) + .def(py::init<>()); + + m.def("call_go", [](Animal *animal) -> std::string { + /* Release GIL before calling into (potentially long-running) C++ code */ + py::gil_scoped_release release; + return call_go(animal); + }); + } + +The ``call_go`` wrapper can also be simplified using the `call_guard` policy +(see :ref:`call_policies`) which yields the same result: + +.. code-block:: cpp + + m.def("call_go", &call_go, py::call_guard()); + + +Binding sequence data types, iterators, the slicing protocol, etc. +================================================================== + +Please refer to the supplemental example for details. + +.. seealso:: + + The file :file:`tests/test_sequences_and_iterators.cpp` contains a + complete example that shows how to bind a sequence data type, including + length queries (``__len__``), iterators (``__iter__``), the slicing + protocol and other kinds of useful operations. + + +Partitioning code over multiple extension modules +================================================= + +It's straightforward to split binding code over multiple extension modules, +while referencing types that are declared elsewhere. Everything "just" works +without any special precautions. One exception to this rule occurs when +extending a type declared in another extension module. Recall the basic example +from Section :ref:`inheritance`. + +.. code-block:: cpp + + py::class_ pet(m, "Pet"); + pet.def(py::init()) + .def_readwrite("name", &Pet::name); + + py::class_(m, "Dog", pet /* <- specify parent */) + .def(py::init()) + .def("bark", &Dog::bark); + +Suppose now that ``Pet`` bindings are defined in a module named ``basic``, +whereas the ``Dog`` bindings are defined somewhere else. The challenge is of +course that the variable ``pet`` is not available anymore though it is needed +to indicate the inheritance relationship to the constructor of ``class_``. +However, it can be acquired as follows: + +.. code-block:: cpp + + py::object pet = (py::object) py::module::import("basic").attr("Pet"); + + py::class_(m, "Dog", pet) + .def(py::init()) + .def("bark", &Dog::bark); + +Alternatively, you can specify the base class as a template parameter option to +``class_``, which performs an automated lookup of the corresponding Python +type. Like the above code, however, this also requires invoking the ``import`` +function once to ensure that the pybind11 binding code of the module ``basic`` +has been executed: + +.. code-block:: cpp + + py::module::import("basic"); + + py::class_(m, "Dog") + .def(py::init()) + .def("bark", &Dog::bark); + +Naturally, both methods will fail when there are cyclic dependencies. + +Note that pybind11 code compiled with hidden-by-default symbol visibility (e.g. +via the command line flag ``-fvisibility=hidden`` on GCC/Clang), which is +required for proper pybind11 functionality, can interfere with the ability to +access types defined in another extension module. Working around this requires +manually exporting types that are accessed by multiple extension modules; +pybind11 provides a macro to do just this: + +.. code-block:: cpp + + class PYBIND11_EXPORT Dog : public Animal { + ... + }; + +Note also that it is possible (although would rarely be required) to share arbitrary +C++ objects between extension modules at runtime. Internal library data is shared +between modules using capsule machinery [#f6]_ which can be also utilized for +storing, modifying and accessing user-defined data. Note that an extension module +will "see" other extensions' data if and only if they were built with the same +pybind11 version. Consider the following example: + +.. code-block:: cpp + + auto data = (MyData *) py::get_shared_data("mydata"); + if (!data) + data = (MyData *) py::set_shared_data("mydata", new MyData(42)); + +If the above snippet was used in several separately compiled extension modules, +the first one to be imported would create a ``MyData`` instance and associate +a ``"mydata"`` key with a pointer to it. Extensions that are imported later +would be then able to access the data behind the same pointer. + +.. [#f6] https://docs.python.org/3/extending/extending.html#using-capsules + +Module Destructors +================== + +pybind11 does not provide an explicit mechanism to invoke cleanup code at +module destruction time. In rare cases where such functionality is required, it +is possible to emulate it using Python capsules or weak references with a +destruction callback. + +.. code-block:: cpp + + auto cleanup_callback = []() { + // perform cleanup here -- this function is called with the GIL held + }; + + m.add_object("_cleanup", py::capsule(cleanup_callback)); + +This approach has the potential downside that instances of classes exposed +within the module may still be alive when the cleanup callback is invoked +(whether this is acceptable will generally depend on the application). + +Alternatively, the capsule may also be stashed within a type object, which +ensures that it not called before all instances of that type have been +collected: + +.. code-block:: cpp + + auto cleanup_callback = []() { /* ... */ }; + m.attr("BaseClass").attr("_cleanup") = py::capsule(cleanup_callback); + +Both approaches also expose a potentially dangerous ``_cleanup`` attribute in +Python, which may be undesirable from an API standpoint (a premature explicit +call from Python might lead to undefined behavior). Yet another approach that +avoids this issue involves weak reference with a cleanup callback: + +.. code-block:: cpp + + // Register a callback function that is invoked when the BaseClass object is colelcted + py::cpp_function cleanup_callback( + [](py::handle weakref) { + // perform cleanup here -- this function is called with the GIL held + + weakref.dec_ref(); // release weak reference + } + ); + + // Create a weak reference with a cleanup callback and initially leak it + (void) py::weakref(m.attr("BaseClass"), cleanup_callback).release(); + +.. note:: + + PyPy (at least version 5.9) does not garbage collect objects when the + interpreter exits. An alternative approach (which also works on CPython) is to use + the :py:mod:`atexit` module [#f7]_, for example: + + .. code-block:: cpp + + auto atexit = py::module::import("atexit"); + atexit.attr("register")(py::cpp_function([]() { + // perform cleanup here -- this function is called with the GIL held + })); + + .. [#f7] https://docs.python.org/3/library/atexit.html + + +Generating documentation using Sphinx +===================================== + +Sphinx [#f4]_ has the ability to inspect the signatures and documentation +strings in pybind11-based extension modules to automatically generate beautiful +documentation in a variety formats. The python_example repository [#f5]_ contains a +simple example repository which uses this approach. + +There are two potential gotchas when using this approach: first, make sure that +the resulting strings do not contain any :kbd:`TAB` characters, which break the +docstring parsing routines. You may want to use C++11 raw string literals, +which are convenient for multi-line comments. Conveniently, any excess +indentation will be automatically be removed by Sphinx. However, for this to +work, it is important that all lines are indented consistently, i.e.: + +.. code-block:: cpp + + // ok + m.def("foo", &foo, R"mydelimiter( + The foo function + + Parameters + ---------- + )mydelimiter"); + + // *not ok* + m.def("foo", &foo, R"mydelimiter(The foo function + + Parameters + ---------- + )mydelimiter"); + +By default, pybind11 automatically generates and prepends a signature to the docstring of a function +registered with ``module::def()`` and ``class_::def()``. Sometimes this +behavior is not desirable, because you want to provide your own signature or remove +the docstring completely to exclude the function from the Sphinx documentation. +The class ``options`` allows you to selectively suppress auto-generated signatures: + +.. code-block:: cpp + + PYBIND11_MODULE(example, m) { + py::options options; + options.disable_function_signatures(); + + m.def("add", [](int a, int b) { return a + b; }, "A function which adds two numbers"); + } + +Note that changes to the settings affect only function bindings created during the +lifetime of the ``options`` instance. When it goes out of scope at the end of the module's init function, +the default settings are restored to prevent unwanted side effects. + +.. [#f4] http://www.sphinx-doc.org +.. [#f5] http://github.com/pybind/python_example diff --git a/external/pybind11/docs/advanced/pycpp/index.rst b/external/pybind11/docs/advanced/pycpp/index.rst new file mode 100644 index 0000000..6885bdc --- /dev/null +++ b/external/pybind11/docs/advanced/pycpp/index.rst @@ -0,0 +1,13 @@ +Python C++ interface +#################### + +pybind11 exposes Python types and functions using thin C++ wrappers, which +makes it possible to conveniently call Python code from C++ without resorting +to Python's C API. + +.. toctree:: + :maxdepth: 2 + + object + numpy + utilities diff --git a/external/pybind11/docs/advanced/pycpp/numpy.rst b/external/pybind11/docs/advanced/pycpp/numpy.rst new file mode 100644 index 0000000..458f99e --- /dev/null +++ b/external/pybind11/docs/advanced/pycpp/numpy.rst @@ -0,0 +1,386 @@ +.. _numpy: + +NumPy +##### + +Buffer protocol +=============== + +Python supports an extremely general and convenient approach for exchanging +data between plugin libraries. Types can expose a buffer view [#f2]_, which +provides fast direct access to the raw internal data representation. Suppose we +want to bind the following simplistic Matrix class: + +.. code-block:: cpp + + class Matrix { + public: + Matrix(size_t rows, size_t cols) : m_rows(rows), m_cols(cols) { + m_data = new float[rows*cols]; + } + float *data() { return m_data; } + size_t rows() const { return m_rows; } + size_t cols() const { return m_cols; } + private: + size_t m_rows, m_cols; + float *m_data; + }; + +The following binding code exposes the ``Matrix`` contents as a buffer object, +making it possible to cast Matrices into NumPy arrays. It is even possible to +completely avoid copy operations with Python expressions like +``np.array(matrix_instance, copy = False)``. + +.. code-block:: cpp + + py::class_(m, "Matrix", py::buffer_protocol()) + .def_buffer([](Matrix &m) -> py::buffer_info { + return py::buffer_info( + m.data(), /* Pointer to buffer */ + sizeof(float), /* Size of one scalar */ + py::format_descriptor::format(), /* Python struct-style format descriptor */ + 2, /* Number of dimensions */ + { m.rows(), m.cols() }, /* Buffer dimensions */ + { sizeof(float) * m.cols(), /* Strides (in bytes) for each index */ + sizeof(float) } + ); + }); + +Supporting the buffer protocol in a new type involves specifying the special +``py::buffer_protocol()`` tag in the ``py::class_`` constructor and calling the +``def_buffer()`` method with a lambda function that creates a +``py::buffer_info`` description record on demand describing a given matrix +instance. The contents of ``py::buffer_info`` mirror the Python buffer protocol +specification. + +.. code-block:: cpp + + struct buffer_info { + void *ptr; + ssize_t itemsize; + std::string format; + ssize_t ndim; + std::vector shape; + std::vector strides; + }; + +To create a C++ function that can take a Python buffer object as an argument, +simply use the type ``py::buffer`` as one of its arguments. Buffers can exist +in a great variety of configurations, hence some safety checks are usually +necessary in the function body. Below, you can see an basic example on how to +define a custom constructor for the Eigen double precision matrix +(``Eigen::MatrixXd``) type, which supports initialization from compatible +buffer objects (e.g. a NumPy matrix). + +.. code-block:: cpp + + /* Bind MatrixXd (or some other Eigen type) to Python */ + typedef Eigen::MatrixXd Matrix; + + typedef Matrix::Scalar Scalar; + constexpr bool rowMajor = Matrix::Flags & Eigen::RowMajorBit; + + py::class_(m, "Matrix", py::buffer_protocol()) + .def("__init__", [](Matrix &m, py::buffer b) { + typedef Eigen::Stride Strides; + + /* Request a buffer descriptor from Python */ + py::buffer_info info = b.request(); + + /* Some sanity checks ... */ + if (info.format != py::format_descriptor::format()) + throw std::runtime_error("Incompatible format: expected a double array!"); + + if (info.ndim != 2) + throw std::runtime_error("Incompatible buffer dimension!"); + + auto strides = Strides( + info.strides[rowMajor ? 0 : 1] / (py::ssize_t)sizeof(Scalar), + info.strides[rowMajor ? 1 : 0] / (py::ssize_t)sizeof(Scalar)); + + auto map = Eigen::Map( + static_cast(info.ptr), info.shape[0], info.shape[1], strides); + + new (&m) Matrix(map); + }); + +For reference, the ``def_buffer()`` call for this Eigen data type should look +as follows: + +.. code-block:: cpp + + .def_buffer([](Matrix &m) -> py::buffer_info { + return py::buffer_info( + m.data(), /* Pointer to buffer */ + sizeof(Scalar), /* Size of one scalar */ + py::format_descriptor::format(), /* Python struct-style format descriptor */ + 2, /* Number of dimensions */ + { m.rows(), m.cols() }, /* Buffer dimensions */ + { sizeof(Scalar) * (rowMajor ? m.cols() : 1), + sizeof(Scalar) * (rowMajor ? 1 : m.rows()) } + /* Strides (in bytes) for each index */ + ); + }) + +For a much easier approach of binding Eigen types (although with some +limitations), refer to the section on :doc:`/advanced/cast/eigen`. + +.. seealso:: + + The file :file:`tests/test_buffers.cpp` contains a complete example + that demonstrates using the buffer protocol with pybind11 in more detail. + +.. [#f2] http://docs.python.org/3/c-api/buffer.html + +Arrays +====== + +By exchanging ``py::buffer`` with ``py::array`` in the above snippet, we can +restrict the function so that it only accepts NumPy arrays (rather than any +type of Python object satisfying the buffer protocol). + +In many situations, we want to define a function which only accepts a NumPy +array of a certain data type. This is possible via the ``py::array_t`` +template. For instance, the following function requires the argument to be a +NumPy array containing double precision values. + +.. code-block:: cpp + + void f(py::array_t array); + +When it is invoked with a different type (e.g. an integer or a list of +integers), the binding code will attempt to cast the input into a NumPy array +of the requested type. Note that this feature requires the +:file:`pybind11/numpy.h` header to be included. + +Data in NumPy arrays is not guaranteed to packed in a dense manner; +furthermore, entries can be separated by arbitrary column and row strides. +Sometimes, it can be useful to require a function to only accept dense arrays +using either the C (row-major) or Fortran (column-major) ordering. This can be +accomplished via a second template argument with values ``py::array::c_style`` +or ``py::array::f_style``. + +.. code-block:: cpp + + void f(py::array_t array); + +The ``py::array::forcecast`` argument is the default value of the second +template parameter, and it ensures that non-conforming arguments are converted +into an array satisfying the specified requirements instead of trying the next +function overload. + +Structured types +================ + +In order for ``py::array_t`` to work with structured (record) types, we first +need to register the memory layout of the type. This can be done via +``PYBIND11_NUMPY_DTYPE`` macro, called in the plugin definition code, which +expects the type followed by field names: + +.. code-block:: cpp + + struct A { + int x; + double y; + }; + + struct B { + int z; + A a; + }; + + // ... + PYBIND11_MODULE(test, m) { + // ... + + PYBIND11_NUMPY_DTYPE(A, x, y); + PYBIND11_NUMPY_DTYPE(B, z, a); + /* now both A and B can be used as template arguments to py::array_t */ + } + +The structure should consist of fundamental arithmetic types, ``std::complex``, +previously registered substructures, and arrays of any of the above. Both C++ +arrays and ``std::array`` are supported. While there is a static assertion to +prevent many types of unsupported structures, it is still the user's +responsibility to use only "plain" structures that can be safely manipulated as +raw memory without violating invariants. + +Vectorizing functions +===================== + +Suppose we want to bind a function with the following signature to Python so +that it can process arbitrary NumPy array arguments (vectors, matrices, general +N-D arrays) in addition to its normal arguments: + +.. code-block:: cpp + + double my_func(int x, float y, double z); + +After including the ``pybind11/numpy.h`` header, this is extremely simple: + +.. code-block:: cpp + + m.def("vectorized_func", py::vectorize(my_func)); + +Invoking the function like below causes 4 calls to be made to ``my_func`` with +each of the array elements. The significant advantage of this compared to +solutions like ``numpy.vectorize()`` is that the loop over the elements runs +entirely on the C++ side and can be crunched down into a tight, optimized loop +by the compiler. The result is returned as a NumPy array of type +``numpy.dtype.float64``. + +.. code-block:: pycon + + >>> x = np.array([[1, 3],[5, 7]]) + >>> y = np.array([[2, 4],[6, 8]]) + >>> z = 3 + >>> result = vectorized_func(x, y, z) + +The scalar argument ``z`` is transparently replicated 4 times. The input +arrays ``x`` and ``y`` are automatically converted into the right types (they +are of type ``numpy.dtype.int64`` but need to be ``numpy.dtype.int32`` and +``numpy.dtype.float32``, respectively). + +.. note:: + + Only arithmetic, complex, and POD types passed by value or by ``const &`` + reference are vectorized; all other arguments are passed through as-is. + Functions taking rvalue reference arguments cannot be vectorized. + +In cases where the computation is too complicated to be reduced to +``vectorize``, it will be necessary to create and access the buffer contents +manually. The following snippet contains a complete example that shows how this +works (the code is somewhat contrived, since it could have been done more +simply using ``vectorize``). + +.. code-block:: cpp + + #include + #include + + namespace py = pybind11; + + py::array_t add_arrays(py::array_t input1, py::array_t input2) { + py::buffer_info buf1 = input1.request(), buf2 = input2.request(); + + if (buf1.ndim != 1 || buf2.ndim != 1) + throw std::runtime_error("Number of dimensions must be one"); + + if (buf1.size != buf2.size) + throw std::runtime_error("Input shapes must match"); + + /* No pointer is passed, so NumPy will allocate the buffer */ + auto result = py::array_t(buf1.size); + + py::buffer_info buf3 = result.request(); + + double *ptr1 = (double *) buf1.ptr, + *ptr2 = (double *) buf2.ptr, + *ptr3 = (double *) buf3.ptr; + + for (size_t idx = 0; idx < buf1.shape[0]; idx++) + ptr3[idx] = ptr1[idx] + ptr2[idx]; + + return result; + } + + PYBIND11_MODULE(test, m) { + m.def("add_arrays", &add_arrays, "Add two NumPy arrays"); + } + +.. seealso:: + + The file :file:`tests/test_numpy_vectorize.cpp` contains a complete + example that demonstrates using :func:`vectorize` in more detail. + +Direct access +============= + +For performance reasons, particularly when dealing with very large arrays, it +is often desirable to directly access array elements without internal checking +of dimensions and bounds on every access when indices are known to be already +valid. To avoid such checks, the ``array`` class and ``array_t`` template +class offer an unchecked proxy object that can be used for this unchecked +access through the ``unchecked`` and ``mutable_unchecked`` methods, +where ``N`` gives the required dimensionality of the array: + +.. code-block:: cpp + + m.def("sum_3d", [](py::array_t x) { + auto r = x.unchecked<3>(); // x must have ndim = 3; can be non-writeable + double sum = 0; + for (ssize_t i = 0; i < r.shape(0); i++) + for (ssize_t j = 0; j < r.shape(1); j++) + for (ssize_t k = 0; k < r.shape(2); k++) + sum += r(i, j, k); + return sum; + }); + m.def("increment_3d", [](py::array_t x) { + auto r = x.mutable_unchecked<3>(); // Will throw if ndim != 3 or flags.writeable is false + for (ssize_t i = 0; i < r.shape(0); i++) + for (ssize_t j = 0; j < r.shape(1); j++) + for (ssize_t k = 0; k < r.shape(2); k++) + r(i, j, k) += 1.0; + }, py::arg().noconvert()); + +To obtain the proxy from an ``array`` object, you must specify both the data +type and number of dimensions as template arguments, such as ``auto r = +myarray.mutable_unchecked()``. + +If the number of dimensions is not known at compile time, you can omit the +dimensions template parameter (i.e. calling ``arr_t.unchecked()`` or +``arr.unchecked()``. This will give you a proxy object that works in the +same way, but results in less optimizable code and thus a small efficiency +loss in tight loops. + +Note that the returned proxy object directly references the array's data, and +only reads its shape, strides, and writeable flag when constructed. You must +take care to ensure that the referenced array is not destroyed or reshaped for +the duration of the returned object, typically by limiting the scope of the +returned instance. + +The returned proxy object supports some of the same methods as ``py::array`` so +that it can be used as a drop-in replacement for some existing, index-checked +uses of ``py::array``: + +- ``r.ndim()`` returns the number of dimensions + +- ``r.data(1, 2, ...)`` and ``r.mutable_data(1, 2, ...)``` returns a pointer to + the ``const T`` or ``T`` data, respectively, at the given indices. The + latter is only available to proxies obtained via ``a.mutable_unchecked()``. + +- ``itemsize()`` returns the size of an item in bytes, i.e. ``sizeof(T)``. + +- ``ndim()`` returns the number of dimensions. + +- ``shape(n)`` returns the size of dimension ``n`` + +- ``size()`` returns the total number of elements (i.e. the product of the shapes). + +- ``nbytes()`` returns the number of bytes used by the referenced elements + (i.e. ``itemsize()`` times ``size()``). + +.. seealso:: + + The file :file:`tests/test_numpy_array.cpp` contains additional examples + demonstrating the use of this feature. + +Ellipsis +======== + +Python 3 provides a convenient ``...`` ellipsis notation that is often used to +slice multidimensional arrays. For instance, the following snippet extracts the +middle dimensions of a tensor with the first and last index set to zero. + +.. code-block:: python + + a = # a NumPy array + b = a[0, ..., 0] + +The function ``py::ellipsis()`` function can be used to perform the same +operation on the C++ side: + +.. code-block:: cpp + + py::array a = /* A NumPy array */; + py::array b = a[py::make_tuple(0, py::ellipsis(), 0)]; diff --git a/external/pybind11/docs/advanced/pycpp/object.rst b/external/pybind11/docs/advanced/pycpp/object.rst new file mode 100644 index 0000000..117131e --- /dev/null +++ b/external/pybind11/docs/advanced/pycpp/object.rst @@ -0,0 +1,170 @@ +Python types +############ + +Available wrappers +================== + +All major Python types are available as thin C++ wrapper classes. These +can also be used as function parameters -- see :ref:`python_objects_as_args`. + +Available types include :class:`handle`, :class:`object`, :class:`bool_`, +:class:`int_`, :class:`float_`, :class:`str`, :class:`bytes`, :class:`tuple`, +:class:`list`, :class:`dict`, :class:`slice`, :class:`none`, :class:`capsule`, +:class:`iterable`, :class:`iterator`, :class:`function`, :class:`buffer`, +:class:`array`, and :class:`array_t`. + +Casting back and forth +====================== + +In this kind of mixed code, it is often necessary to convert arbitrary C++ +types to Python, which can be done using :func:`py::cast`: + +.. code-block:: cpp + + MyClass *cls = ..; + py::object obj = py::cast(cls); + +The reverse direction uses the following syntax: + +.. code-block:: cpp + + py::object obj = ...; + MyClass *cls = obj.cast(); + +When conversion fails, both directions throw the exception :class:`cast_error`. + +.. _python_libs: + +Accessing Python libraries from C++ +=================================== + +It is also possible to import objects defined in the Python standard +library or available in the current Python environment (``sys.path``) and work +with these in C++. + +This example obtains a reference to the Python ``Decimal`` class. + +.. code-block:: cpp + + // Equivalent to "from decimal import Decimal" + py::object Decimal = py::module::import("decimal").attr("Decimal"); + +.. code-block:: cpp + + // Try to import scipy + py::object scipy = py::module::import("scipy"); + return scipy.attr("__version__"); + +.. _calling_python_functions: + +Calling Python functions +======================== + +It is also possible to call Python classes, functions and methods +via ``operator()``. + +.. code-block:: cpp + + // Construct a Python object of class Decimal + py::object pi = Decimal("3.14159"); + +.. code-block:: cpp + + // Use Python to make our directories + py::object os = py::module::import("os"); + py::object makedirs = os.attr("makedirs"); + makedirs("/tmp/path/to/somewhere"); + +One can convert the result obtained from Python to a pure C++ version +if a ``py::class_`` or type conversion is defined. + +.. code-block:: cpp + + py::function f = <...>; + py::object result_py = f(1234, "hello", some_instance); + MyClass &result = result_py.cast(); + +.. _calling_python_methods: + +Calling Python methods +======================== + +To call an object's method, one can again use ``.attr`` to obtain access to the +Python method. + +.. code-block:: cpp + + // Calculate e^Ļ€ in decimal + py::object exp_pi = pi.attr("exp")(); + py::print(py::str(exp_pi)); + +In the example above ``pi.attr("exp")`` is a *bound method*: it will always call +the method for that same instance of the class. Alternately one can create an +*unbound method* via the Python class (instead of instance) and pass the ``self`` +object explicitly, followed by other arguments. + +.. code-block:: cpp + + py::object decimal_exp = Decimal.attr("exp"); + + // Compute the e^n for n=0..4 + for (int n = 0; n < 5; n++) { + py::print(decimal_exp(Decimal(n)); + } + +Keyword arguments +================= + +Keyword arguments are also supported. In Python, there is the usual call syntax: + +.. code-block:: python + + def f(number, say, to): + ... # function code + + f(1234, say="hello", to=some_instance) # keyword call in Python + +In C++, the same call can be made using: + +.. code-block:: cpp + + using namespace pybind11::literals; // to bring in the `_a` literal + f(1234, "say"_a="hello", "to"_a=some_instance); // keyword call in C++ + +Unpacking arguments +=================== + +Unpacking of ``*args`` and ``**kwargs`` is also possible and can be mixed with +other arguments: + +.. code-block:: cpp + + // * unpacking + py::tuple args = py::make_tuple(1234, "hello", some_instance); + f(*args); + + // ** unpacking + py::dict kwargs = py::dict("number"_a=1234, "say"_a="hello", "to"_a=some_instance); + f(**kwargs); + + // mixed keywords, * and ** unpacking + py::tuple args = py::make_tuple(1234); + py::dict kwargs = py::dict("to"_a=some_instance); + f(*args, "say"_a="hello", **kwargs); + +Generalized unpacking according to PEP448_ is also supported: + +.. code-block:: cpp + + py::dict kwargs1 = py::dict("number"_a=1234); + py::dict kwargs2 = py::dict("to"_a=some_instance); + f(**kwargs1, "say"_a="hello", **kwargs2); + +.. seealso:: + + The file :file:`tests/test_pytypes.cpp` contains a complete + example that demonstrates passing native Python types in more detail. The + file :file:`tests/test_callbacks.cpp` presents a few examples of calling + Python functions from C++, including keywords arguments and unpacking. + +.. _PEP448: https://www.python.org/dev/peps/pep-0448/ diff --git a/external/pybind11/docs/advanced/pycpp/utilities.rst b/external/pybind11/docs/advanced/pycpp/utilities.rst new file mode 100644 index 0000000..369e7c9 --- /dev/null +++ b/external/pybind11/docs/advanced/pycpp/utilities.rst @@ -0,0 +1,144 @@ +Utilities +######### + +Using Python's print function in C++ +==================================== + +The usual way to write output in C++ is using ``std::cout`` while in Python one +would use ``print``. Since these methods use different buffers, mixing them can +lead to output order issues. To resolve this, pybind11 modules can use the +:func:`py::print` function which writes to Python's ``sys.stdout`` for consistency. + +Python's ``print`` function is replicated in the C++ API including optional +keyword arguments ``sep``, ``end``, ``file``, ``flush``. Everything works as +expected in Python: + +.. code-block:: cpp + + py::print(1, 2.0, "three"); // 1 2.0 three + py::print(1, 2.0, "three", "sep"_a="-"); // 1-2.0-three + + auto args = py::make_tuple("unpacked", true); + py::print("->", *args, "end"_a="<-"); // -> unpacked True <- + +.. _ostream_redirect: + +Capturing standard output from ostream +====================================== + +Often, a library will use the streams ``std::cout`` and ``std::cerr`` to print, +but this does not play well with Python's standard ``sys.stdout`` and ``sys.stderr`` +redirection. Replacing a library's printing with `py::print ` may not +be feasible. This can be fixed using a guard around the library function that +redirects output to the corresponding Python streams: + +.. code-block:: cpp + + #include + + ... + + // Add a scoped redirect for your noisy code + m.def("noisy_func", []() { + py::scoped_ostream_redirect stream( + std::cout, // std::ostream& + py::module::import("sys").attr("stdout") // Python output + ); + call_noisy_func(); + }); + +This method respects flushes on the output streams and will flush if needed +when the scoped guard is destroyed. This allows the output to be redirected in +real time, such as to a Jupyter notebook. The two arguments, the C++ stream and +the Python output, are optional, and default to standard output if not given. An +extra type, `py::scoped_estream_redirect `, is identical +except for defaulting to ``std::cerr`` and ``sys.stderr``; this can be useful with +`py::call_guard`, which allows multiple items, but uses the default constructor: + +.. code-block:: py + + // Alternative: Call single function using call guard + m.def("noisy_func", &call_noisy_function, + py::call_guard()); + +The redirection can also be done in Python with the addition of a context +manager, using the `py::add_ostream_redirect() ` function: + +.. code-block:: cpp + + py::add_ostream_redirect(m, "ostream_redirect"); + +The name in Python defaults to ``ostream_redirect`` if no name is passed. This +creates the following context manager in Python: + +.. code-block:: python + + with ostream_redirect(stdout=True, stderr=True): + noisy_function() + +It defaults to redirecting both streams, though you can use the keyword +arguments to disable one of the streams if needed. + +.. note:: + + The above methods will not redirect C-level output to file descriptors, such + as ``fprintf``. For those cases, you'll need to redirect the file + descriptors either directly in C or with Python's ``os.dup2`` function + in an operating-system dependent way. + +.. _eval: + +Evaluating Python expressions from strings and files +==================================================== + +pybind11 provides the `eval`, `exec` and `eval_file` functions to evaluate +Python expressions and statements. The following example illustrates how they +can be used. + +.. code-block:: cpp + + // At beginning of file + #include + + ... + + // Evaluate in scope of main module + py::object scope = py::module::import("__main__").attr("__dict__"); + + // Evaluate an isolated expression + int result = py::eval("my_variable + 10", scope).cast(); + + // Evaluate a sequence of statements + py::exec( + "print('Hello')\n" + "print('world!');", + scope); + + // Evaluate the statements in an separate Python file on disk + py::eval_file("script.py", scope); + +C++11 raw string literals are also supported and quite handy for this purpose. +The only requirement is that the first statement must be on a new line following +the raw string delimiter ``R"(``, ensuring all lines have common leading indent: + +.. code-block:: cpp + + py::exec(R"( + x = get_answer() + if x == 42: + print('Hello World!') + else: + print('Bye!') + )", scope + ); + +.. note:: + + `eval` and `eval_file` accept a template parameter that describes how the + string/file should be interpreted. Possible choices include ``eval_expr`` + (isolated expression), ``eval_single_statement`` (a single statement, return + value is always ``none``), and ``eval_statements`` (sequence of statements, + return value is always ``none``). `eval` defaults to ``eval_expr``, + `eval_file` defaults to ``eval_statements`` and `exec` is just a shortcut + for ``eval``. diff --git a/external/pybind11/docs/advanced/smart_ptrs.rst b/external/pybind11/docs/advanced/smart_ptrs.rst new file mode 100644 index 0000000..da57748 --- /dev/null +++ b/external/pybind11/docs/advanced/smart_ptrs.rst @@ -0,0 +1,173 @@ +Smart pointers +############## + +std::unique_ptr +=============== + +Given a class ``Example`` with Python bindings, it's possible to return +instances wrapped in C++11 unique pointers, like so + +.. code-block:: cpp + + std::unique_ptr create_example() { return std::unique_ptr(new Example()); } + +.. code-block:: cpp + + m.def("create_example", &create_example); + +In other words, there is nothing special that needs to be done. While returning +unique pointers in this way is allowed, it is *illegal* to use them as function +arguments. For instance, the following function signature cannot be processed +by pybind11. + +.. code-block:: cpp + + void do_something_with_example(std::unique_ptr ex) { ... } + +The above signature would imply that Python needs to give up ownership of an +object that is passed to this function, which is generally not possible (for +instance, the object might be referenced elsewhere). + +std::shared_ptr +=============== + +The binding generator for classes, :class:`class_`, can be passed a template +type that denotes a special *holder* type that is used to manage references to +the object. If no such holder type template argument is given, the default for +a type named ``Type`` is ``std::unique_ptr``, which means that the object +is deallocated when Python's reference count goes to zero. + +It is possible to switch to other types of reference counting wrappers or smart +pointers, which is useful in codebases that rely on them. For instance, the +following snippet causes ``std::shared_ptr`` to be used instead. + +.. code-block:: cpp + + py::class_ /* <- holder type */> obj(m, "Example"); + +Note that any particular class can only be associated with a single holder type. + +One potential stumbling block when using holder types is that they need to be +applied consistently. Can you guess what's broken about the following binding +code? + +.. code-block:: cpp + + class Child { }; + + class Parent { + public: + Parent() : child(std::make_shared()) { } + Child *get_child() { return child.get(); } /* Hint: ** DON'T DO THIS ** */ + private: + std::shared_ptr child; + }; + + PYBIND11_MODULE(example, m) { + py::class_>(m, "Child"); + + py::class_>(m, "Parent") + .def(py::init<>()) + .def("get_child", &Parent::get_child); + } + +The following Python code will cause undefined behavior (and likely a +segmentation fault). + +.. code-block:: python + + from example import Parent + print(Parent().get_child()) + +The problem is that ``Parent::get_child()`` returns a pointer to an instance of +``Child``, but the fact that this instance is already managed by +``std::shared_ptr<...>`` is lost when passing raw pointers. In this case, +pybind11 will create a second independent ``std::shared_ptr<...>`` that also +claims ownership of the pointer. In the end, the object will be freed **twice** +since these shared pointers have no way of knowing about each other. + +There are two ways to resolve this issue: + +1. For types that are managed by a smart pointer class, never use raw pointers + in function arguments or return values. In other words: always consistently + wrap pointers into their designated holder types (such as + ``std::shared_ptr<...>``). In this case, the signature of ``get_child()`` + should be modified as follows: + +.. code-block:: cpp + + std::shared_ptr get_child() { return child; } + +2. Adjust the definition of ``Child`` by specifying + ``std::enable_shared_from_this`` (see cppreference_ for details) as a + base class. This adds a small bit of information to ``Child`` that allows + pybind11 to realize that there is already an existing + ``std::shared_ptr<...>`` and communicate with it. In this case, the + declaration of ``Child`` should look as follows: + +.. _cppreference: http://en.cppreference.com/w/cpp/memory/enable_shared_from_this + +.. code-block:: cpp + + class Child : public std::enable_shared_from_this { }; + +.. _smart_pointers: + +Custom smart pointers +===================== + +pybind11 supports ``std::unique_ptr`` and ``std::shared_ptr`` right out of the +box. For any other custom smart pointer, transparent conversions can be enabled +using a macro invocation similar to the following. It must be declared at the +top namespace level before any binding code: + +.. code-block:: cpp + + PYBIND11_DECLARE_HOLDER_TYPE(T, SmartPtr); + +The first argument of :func:`PYBIND11_DECLARE_HOLDER_TYPE` should be a +placeholder name that is used as a template parameter of the second argument. +Thus, feel free to use any identifier, but use it consistently on both sides; +also, don't use the name of a type that already exists in your codebase. + +The macro also accepts a third optional boolean parameter that is set to false +by default. Specify + +.. code-block:: cpp + + PYBIND11_DECLARE_HOLDER_TYPE(T, SmartPtr, true); + +if ``SmartPtr`` can always be initialized from a ``T*`` pointer without the +risk of inconsistencies (such as multiple independent ``SmartPtr`` instances +believing that they are the sole owner of the ``T*`` pointer). A common +situation where ``true`` should be passed is when the ``T`` instances use +*intrusive* reference counting. + +Please take a look at the :ref:`macro_notes` before using this feature. + +By default, pybind11 assumes that your custom smart pointer has a standard +interface, i.e. provides a ``.get()`` member function to access the underlying +raw pointer. If this is not the case, pybind11's ``holder_helper`` must be +specialized: + +.. code-block:: cpp + + // Always needed for custom holder types + PYBIND11_DECLARE_HOLDER_TYPE(T, SmartPtr); + + // Only needed if the type's `.get()` goes by another name + namespace pybind11 { namespace detail { + template + struct holder_helper> { // <-- specialization + static const T *get(const SmartPtr &p) { return p.getPointer(); } + }; + }} + +The above specialization informs pybind11 that the custom ``SmartPtr`` class +provides ``.get()`` functionality via ``.getPointer()``. + +.. seealso:: + + The file :file:`tests/test_smart_ptr.cpp` contains a complete example + that demonstrates how to work with custom reference-counting holder types + in more detail. diff --git a/external/pybind11/docs/basics.rst b/external/pybind11/docs/basics.rst new file mode 100644 index 0000000..447250e --- /dev/null +++ b/external/pybind11/docs/basics.rst @@ -0,0 +1,293 @@ +.. _basics: + +First steps +########### + +This sections demonstrates the basic features of pybind11. Before getting +started, make sure that development environment is set up to compile the +included set of test cases. + + +Compiling the test cases +======================== + +Linux/MacOS +----------- + +On Linux you'll need to install the **python-dev** or **python3-dev** packages as +well as **cmake**. On Mac OS, the included python version works out of the box, +but **cmake** must still be installed. + +After installing the prerequisites, run + +.. code-block:: bash + + mkdir build + cd build + cmake .. + make check -j 4 + +The last line will both compile and run the tests. + +Windows +------- + +On Windows, only **Visual Studio 2015** and newer are supported since pybind11 relies +on various C++11 language features that break older versions of Visual Studio. + +To compile and run the tests: + +.. code-block:: batch + + mkdir build + cd build + cmake .. + cmake --build . --config Release --target check + +This will create a Visual Studio project, compile and run the target, all from the +command line. + +.. Note:: + + If all tests fail, make sure that the Python binary and the testcases are compiled + for the same processor type and bitness (i.e. either **i386** or **x86_64**). You + can specify **x86_64** as the target architecture for the generated Visual Studio + project using ``cmake -A x64 ..``. + +.. seealso:: + + Advanced users who are already familiar with Boost.Python may want to skip + the tutorial and look at the test cases in the :file:`tests` directory, + which exercise all features of pybind11. + +Header and namespace conventions +================================ + +For brevity, all code examples assume that the following two lines are present: + +.. code-block:: cpp + + #include + + namespace py = pybind11; + +Some features may require additional headers, but those will be specified as needed. + +.. _simple_example: + +Creating bindings for a simple function +======================================= + +Let's start by creating Python bindings for an extremely simple function, which +adds two numbers and returns their result: + +.. code-block:: cpp + + int add(int i, int j) { + return i + j; + } + +For simplicity [#f1]_, we'll put both this function and the binding code into +a file named :file:`example.cpp` with the following contents: + +.. code-block:: cpp + + #include + + int add(int i, int j) { + return i + j; + } + + PYBIND11_MODULE(example, m) { + m.doc() = "pybind11 example plugin"; // optional module docstring + + m.def("add", &add, "A function which adds two numbers"); + } + +.. [#f1] In practice, implementation and binding code will generally be located + in separate files. + +The :func:`PYBIND11_MODULE` macro creates a function that will be called when an +``import`` statement is issued from within Python. The module name (``example``) +is given as the first macro argument (it should not be in quotes). The second +argument (``m``) defines a variable of type :class:`py::module ` which +is the main interface for creating bindings. The method :func:`module::def` +generates binding code that exposes the ``add()`` function to Python. + +.. note:: + + Notice how little code was needed to expose our function to Python: all + details regarding the function's parameters and return value were + automatically inferred using template metaprogramming. This overall + approach and the used syntax are borrowed from Boost.Python, though the + underlying implementation is very different. + +pybind11 is a header-only library, hence it is not necessary to link against +any special libraries and there are no intermediate (magic) translation steps. +On Linux, the above example can be compiled using the following command: + +.. code-block:: bash + + $ c++ -O3 -Wall -shared -std=c++11 -fPIC `python3 -m pybind11 --includes` example.cpp -o example`python3-config --extension-suffix` + +For more details on the required compiler flags on Linux and MacOS, see +:ref:`building_manually`. For complete cross-platform compilation instructions, +refer to the :ref:`compiling` page. + +The `python_example`_ and `cmake_example`_ repositories are also a good place +to start. They are both complete project examples with cross-platform build +systems. The only difference between the two is that `python_example`_ uses +Python's ``setuptools`` to build the module, while `cmake_example`_ uses CMake +(which may be preferable for existing C++ projects). + +.. _python_example: https://github.com/pybind/python_example +.. _cmake_example: https://github.com/pybind/cmake_example + +Building the above C++ code will produce a binary module file that can be +imported to Python. Assuming that the compiled module is located in the +current directory, the following interactive Python session shows how to +load and execute the example: + +.. code-block:: pycon + + $ python + Python 2.7.10 (default, Aug 22 2015, 20:33:39) + [GCC 4.2.1 Compatible Apple LLVM 7.0.0 (clang-700.0.59.1)] on darwin + Type "help", "copyright", "credits" or "license" for more information. + >>> import example + >>> example.add(1, 2) + 3L + >>> + +.. _keyword_args: + +Keyword arguments +================= + +With a simple modification code, it is possible to inform Python about the +names of the arguments ("i" and "j" in this case). + +.. code-block:: cpp + + m.def("add", &add, "A function which adds two numbers", + py::arg("i"), py::arg("j")); + +:class:`arg` is one of several special tag classes which can be used to pass +metadata into :func:`module::def`. With this modified binding code, we can now +call the function using keyword arguments, which is a more readable alternative +particularly for functions taking many parameters: + +.. code-block:: pycon + + >>> import example + >>> example.add(i=1, j=2) + 3L + +The keyword names also appear in the function signatures within the documentation. + +.. code-block:: pycon + + >>> help(example) + + .... + + FUNCTIONS + add(...) + Signature : (i: int, j: int) -> int + + A function which adds two numbers + +A shorter notation for named arguments is also available: + +.. code-block:: cpp + + // regular notation + m.def("add1", &add, py::arg("i"), py::arg("j")); + // shorthand + using namespace pybind11::literals; + m.def("add2", &add, "i"_a, "j"_a); + +The :var:`_a` suffix forms a C++11 literal which is equivalent to :class:`arg`. +Note that the literal operator must first be made visible with the directive +``using namespace pybind11::literals``. This does not bring in anything else +from the ``pybind11`` namespace except for literals. + +.. _default_args: + +Default arguments +================= + +Suppose now that the function to be bound has default arguments, e.g.: + +.. code-block:: cpp + + int add(int i = 1, int j = 2) { + return i + j; + } + +Unfortunately, pybind11 cannot automatically extract these parameters, since they +are not part of the function's type information. However, they are simple to specify +using an extension of :class:`arg`: + +.. code-block:: cpp + + m.def("add", &add, "A function which adds two numbers", + py::arg("i") = 1, py::arg("j") = 2); + +The default values also appear within the documentation. + +.. code-block:: pycon + + >>> help(example) + + .... + + FUNCTIONS + add(...) + Signature : (i: int = 1, j: int = 2) -> int + + A function which adds two numbers + +The shorthand notation is also available for default arguments: + +.. code-block:: cpp + + // regular notation + m.def("add1", &add, py::arg("i") = 1, py::arg("j") = 2); + // shorthand + m.def("add2", &add, "i"_a=1, "j"_a=2); + +Exporting variables +=================== + +To expose a value from C++, use the ``attr`` function to register it in a +module as shown below. Built-in types and general objects (more on that later) +are automatically converted when assigned as attributes, and can be explicitly +converted using the function ``py::cast``. + +.. code-block:: cpp + + PYBIND11_MODULE(example, m) { + m.attr("the_answer") = 42; + py::object world = py::cast("World"); + m.attr("what") = world; + } + +These are then accessible from Python: + +.. code-block:: pycon + + >>> import example + >>> example.the_answer + 42 + >>> example.what + 'World' + +.. _supported_types: + +Supported data types +==================== + +A large number of data types are supported out of the box and can be used +seamlessly as functions arguments, return values or with ``py::cast`` in general. +For a full overview, see the :doc:`advanced/cast/index` section. diff --git a/external/pybind11/docs/benchmark.py b/external/pybind11/docs/benchmark.py new file mode 100644 index 0000000..6dc0604 --- /dev/null +++ b/external/pybind11/docs/benchmark.py @@ -0,0 +1,88 @@ +import random +import os +import time +import datetime as dt + +nfns = 4 # Functions per class +nargs = 4 # Arguments per function + + +def generate_dummy_code_pybind11(nclasses=10): + decl = "" + bindings = "" + + for cl in range(nclasses): + decl += "class cl%03i;\n" % cl + decl += '\n' + + for cl in range(nclasses): + decl += "class cl%03i {\n" % cl + decl += "public:\n" + bindings += ' py::class_(m, "cl%03i")\n' % (cl, cl) + for fn in range(nfns): + ret = random.randint(0, nclasses - 1) + params = [random.randint(0, nclasses - 1) for i in range(nargs)] + decl += " cl%03i *fn_%03i(" % (ret, fn) + decl += ", ".join("cl%03i *" % p for p in params) + decl += ");\n" + bindings += ' .def("fn_%03i", &cl%03i::fn_%03i)\n' % \ + (fn, cl, fn) + decl += "};\n\n" + bindings += ' ;\n' + + result = "#include \n\n" + result += "namespace py = pybind11;\n\n" + result += decl + '\n' + result += "PYBIND11_MODULE(example, m) {\n" + result += bindings + result += "}" + return result + + +def generate_dummy_code_boost(nclasses=10): + decl = "" + bindings = "" + + for cl in range(nclasses): + decl += "class cl%03i;\n" % cl + decl += '\n' + + for cl in range(nclasses): + decl += "class cl%03i {\n" % cl + decl += "public:\n" + bindings += ' py::class_("cl%03i")\n' % (cl, cl) + for fn in range(nfns): + ret = random.randint(0, nclasses - 1) + params = [random.randint(0, nclasses - 1) for i in range(nargs)] + decl += " cl%03i *fn_%03i(" % (ret, fn) + decl += ", ".join("cl%03i *" % p for p in params) + decl += ");\n" + bindings += ' .def("fn_%03i", &cl%03i::fn_%03i, py::return_value_policy())\n' % \ + (fn, cl, fn) + decl += "};\n\n" + bindings += ' ;\n' + + result = "#include \n\n" + result += "namespace py = boost::python;\n\n" + result += decl + '\n' + result += "BOOST_PYTHON_MODULE(example) {\n" + result += bindings + result += "}" + return result + + +for codegen in [generate_dummy_code_pybind11, generate_dummy_code_boost]: + print ("{") + for i in range(0, 10): + nclasses = 2 ** i + with open("test.cpp", "w") as f: + f.write(codegen(nclasses)) + n1 = dt.datetime.now() + os.system("g++ -Os -shared -rdynamic -undefined dynamic_lookup " + "-fvisibility=hidden -std=c++14 test.cpp -I include " + "-I /System/Library/Frameworks/Python.framework/Headers -o test.so") + n2 = dt.datetime.now() + elapsed = (n2 - n1).total_seconds() + size = os.stat('test.so').st_size + print(" {%i, %f, %i}," % (nclasses * nfns, elapsed, size)) + print ("}") diff --git a/external/pybind11/docs/benchmark.rst b/external/pybind11/docs/benchmark.rst new file mode 100644 index 0000000..59d533d --- /dev/null +++ b/external/pybind11/docs/benchmark.rst @@ -0,0 +1,97 @@ +Benchmark +========= + +The following is the result of a synthetic benchmark comparing both compilation +time and module size of pybind11 against Boost.Python. A detailed report about a +Boost.Python to pybind11 conversion of a real project is available here: [#f1]_. + +.. [#f1] http://graylab.jhu.edu/RosettaCon2016/PyRosetta-4.pdf + +Setup +----- + +A python script (see the ``docs/benchmark.py`` file) was used to generate a set +of files with dummy classes whose count increases for each successive benchmark +(between 1 and 2048 classes in powers of two). Each class has four methods with +a randomly generated signature with a return value and four arguments. (There +was no particular reason for this setup other than the desire to generate many +unique function signatures whose count could be controlled in a simple way.) + +Here is an example of the binding code for one class: + +.. code-block:: cpp + + ... + class cl034 { + public: + cl279 *fn_000(cl084 *, cl057 *, cl065 *, cl042 *); + cl025 *fn_001(cl098 *, cl262 *, cl414 *, cl121 *); + cl085 *fn_002(cl445 *, cl297 *, cl145 *, cl421 *); + cl470 *fn_003(cl200 *, cl323 *, cl332 *, cl492 *); + }; + ... + + PYBIND11_MODULE(example, m) { + ... + py::class_(m, "cl034") + .def("fn_000", &cl034::fn_000) + .def("fn_001", &cl034::fn_001) + .def("fn_002", &cl034::fn_002) + .def("fn_003", &cl034::fn_003) + ... + } + +The Boost.Python version looks almost identical except that a return value +policy had to be specified as an argument to ``def()``. For both libraries, +compilation was done with + +.. code-block:: bash + + Apple LLVM version 7.0.2 (clang-700.1.81) + +and the following compilation flags + +.. code-block:: bash + + g++ -Os -shared -rdynamic -undefined dynamic_lookup -fvisibility=hidden -std=c++14 + +Compilation time +---------------- + +The following log-log plot shows how the compilation time grows for an +increasing number of class and function declarations. pybind11 includes many +fewer headers, which initially leads to shorter compilation times, but the +performance is ultimately fairly similar (pybind11 is 19.8 seconds faster for +the largest largest file with 2048 classes and a total of 8192 methods -- a +modest **1.2x** speedup relative to Boost.Python, which required 116.35 +seconds). + +.. only:: not latex + + .. image:: pybind11_vs_boost_python1.svg + +.. only:: latex + + .. image:: pybind11_vs_boost_python1.png + +Module size +----------- + +Differences between the two libraries become much more pronounced when +considering the file size of the generated Python plugin: for the largest file, +the binary generated by Boost.Python required 16.8 MiB, which was **2.17 +times** / **9.1 megabytes** larger than the output generated by pybind11. For +very small inputs, Boost.Python has an edge in the plot below -- however, note +that it stores many definitions in an external library, whose size was not +included here, hence the comparison is slightly shifted in Boost.Python's +favor. + +.. only:: not latex + + .. image:: pybind11_vs_boost_python2.svg + +.. only:: latex + + .. image:: pybind11_vs_boost_python2.png + + diff --git a/external/pybind11/docs/changelog.rst b/external/pybind11/docs/changelog.rst new file mode 100644 index 0000000..d65c2d8 --- /dev/null +++ b/external/pybind11/docs/changelog.rst @@ -0,0 +1,1232 @@ +.. _changelog: + +Changelog +######### + +Starting with version 1.8.0, pybind11 releases use a `semantic versioning +`_ policy. + +v2.4.3 (Oct 15, 2019) +----------------------------------------------------- + +* Adapt pybind11 to a C API convention change in Python 3.8. `#1950 + `_. + +v2.4.2 (Sep 21, 2019) +----------------------------------------------------- + +* Replaced usage of a C++14 only construct. `#1929 + `_. + +* Made an ifdef future-proof for Python >= 4. `f3109d + `_. + +v2.4.1 (Sep 20, 2019) +----------------------------------------------------- + +* Fixed a problem involving implicit conversion from enumerations to integers + on Python 3.8. `#1780 `_. + +v2.4.0 (Sep 19, 2019) +----------------------------------------------------- + +* Try harder to keep pybind11-internal data structures separate when there + are potential ABI incompatibilities. Fixes crashes that occurred when loading + multiple pybind11 extensions that were e.g. compiled by GCC (libstdc++) + and Clang (libc++). + `#1588 `_ and + `c9f5a `_. + +* Added support for ``__await__``, ``__aiter__``, and ``__anext__`` protocols. + `#1842 `_. + +* ``pybind11_add_module()``: don't strip symbols when compiling in + ``RelWithDebInfo`` mode. `#1980 + `_. + +* ``enum_``: Reproduce Python behavior when comparing against invalid values + (e.g. ``None``, strings, etc.). Add back support for ``__invert__()``. + `#1912 `_, + `#1907 `_. + +* List insertion operation for ``py::list``. + Added ``.empty()`` to all collection types. + Added ``py::set::contains()`` and ``py::dict::contains()``. + `#1887 `_, + `#1884 `_, + `#1888 `_. + +* ``py::details::overload_cast_impl`` is available in C++11 mode, can be used + like ``overload_cast`` with an additional set of parantheses. + `#1581 `_. + +* Fixed ``get_include()`` on Conda. + `#1877 `_. + +* ``stl_bind.h``: negative indexing support. + `#1882 `_. + +* Minor CMake fix to add MinGW compatibility. + `#1851 `_. + +* GIL-related fixes. + `#1836 `_, + `8b90b `_. + +* Other very minor/subtle fixes and improvements. + `#1329 `_, + `#1910 `_, + `#1863 `_, + `#1847 `_, + `#1890 `_, + `#1860 `_, + `#1848 `_, + `#1821 `_, + `#1837 `_, + `#1833 `_, + `#1748 `_, + `#1852 `_. + +v2.3.0 (June 11, 2019) +----------------------------------------------------- + +* Significantly reduced module binary size (10-20%) when compiled in C++11 mode + with GCC/Clang, or in any mode with MSVC. Function signatures are now always + precomputed at compile time (this was previously only available in C++14 mode + for non-MSVC compilers). + `#934 `_. + +* Add basic support for tag-based static polymorphism, where classes + provide a method to returns the desired type of an instance. + `#1326 `_. + +* Python type wrappers (``py::handle``, ``py::object``, etc.) + now support map Python's number protocol onto C++ arithmetic + operators such as ``operator+``, ``operator/=``, etc. + `#1511 `_. + +* A number of improvements related to enumerations: + + 1. The ``enum_`` implementation was rewritten from scratch to reduce + code bloat. Rather than instantiating a full implementation for each + enumeration, most code is now contained in a generic base class. + `#1511 `_. + + 2. The ``value()`` method of ``py::enum_`` now accepts an optional + docstring that will be shown in the documentation of the associated + enumeration. `#1160 `_. + + 3. check for already existing enum value and throw an error if present. + `#1453 `_. + +* Support for over-aligned type allocation via C++17's aligned ``new`` + statement. `#1582 `_. + +* Added ``py::ellipsis()`` method for slicing of multidimensional NumPy arrays + `#1502 `_. + +* Numerous Improvements to the ``mkdoc.py`` script for extracting documentation + from C++ header files. + `#1788 `_. + +* ``pybind11_add_module()``: allow including Python as a ``SYSTEM`` include path. + `#1416 `_. + +* ``pybind11/stl.h`` does not convert strings to ``vector`` anymore. + `#1258 `_. + +* Mark static methods as such to fix auto-generated Sphinx documentation. + `#1732 `_. + +* Re-throw forced unwind exceptions (e.g. during pthread termination). + `#1208 `_. + +* Added ``__contains__`` method to the bindings of maps (``std::map``, + ``std::unordered_map``). + `#1767 `_. + +* Improvements to ``gil_scoped_acquire``. + `#1211 `_. + +* Type caster support for ``std::deque``. + `#1609 `_. + +* Support for ``std::unique_ptr`` holders, whose deleters differ between a base and derived + class. `#1353 `_. + +* Construction of STL array/vector-like data structures from + iterators. Added an ``extend()`` operation. + `#1709 `_, + +* CMake build system improvements for projects that include non-C++ + files (e.g. plain C, CUDA) in ``pybind11_add_module`` et al. + `#1678 `_. + +* Fixed asynchronous invocation and deallocation of Python functions + wrapped in ``std::function``. + `#1595 `_. + +* Fixes regarding return value policy propagation in STL type casters. + `#1603 `_. + +* Fixed scoped enum comparisons. + `#1571 `_. + +* Fixed iostream redirection for code that releases the GIL. + `#1368 `_, + +* A number of CI-related fixes. + `#1757 `_, + `#1744 `_, + `#1670 `_. + +v2.2.4 (September 11, 2018) +----------------------------------------------------- + +* Use new Python 3.7 Thread Specific Storage (TSS) implementation if available. + `#1454 `_, + `#1517 `_. + +* Fixes for newer MSVC versions and C++17 mode. + `#1347 `_, + `#1462 `_. + +* Propagate return value policies to type-specific casters + when casting STL containers. + `#1455 `_. + +* Allow ostream-redirection of more than 1024 characters. + `#1479 `_. + +* Set ``Py_DEBUG`` define when compiling against a debug Python build. + `#1438 `_. + +* Untangle integer logic in number type caster to work for custom + types that may only be castable to a restricted set of builtin types. + `#1442 `_. + +* CMake build system: Remember Python version in cache file. + `#1434 `_. + +* Fix for custom smart pointers: use ``std::addressof`` to obtain holder + address instead of ``operator&``. + `#1435 `_. + +* Properly report exceptions thrown during module initialization. + `#1362 `_. + +* Fixed a segmentation fault when creating empty-shaped NumPy array. + `#1371 `_. + +* The version of Intel C++ compiler must be >= 2017, and this is now checked by + the header files. `#1363 `_. + +* A few minor typo fixes and improvements to the test suite, and + patches that silence compiler warnings. + +* Vectors now support construction from generators, as well as ``extend()`` from a + list or generator. + `#1496 `_. + + +v2.2.3 (April 29, 2018) +----------------------------------------------------- + +* The pybind11 header location detection was replaced by a new implementation + that no longer depends on ``pip`` internals (the recently released ``pip`` + 10 has restricted access to this API). + `#1190 `_. + +* Small adjustment to an implementation detail to work around a compiler segmentation fault in Clang 3.3/3.4. + `#1350 `_. + +* The minimal supported version of the Intel compiler was >= 17.0 since + pybind11 v2.1. This check is now explicit, and a compile-time error is raised + if the compiler meet the requirement. + `#1363 `_. + +* Fixed an endianness-related fault in the test suite. + `#1287 `_. + +v2.2.2 (February 7, 2018) +----------------------------------------------------- + +* Fixed a segfault when combining embedded interpreter + shutdown/reinitialization with external loaded pybind11 modules. + `#1092 `_. + +* Eigen support: fixed a bug where Nx1/1xN numpy inputs couldn't be passed as + arguments to Eigen vectors (which for Eigen are simply compile-time fixed + Nx1/1xN matrices). + `#1106 `_. + +* Clarified to license by moving the licensing of contributions from + ``LICENSE`` into ``CONTRIBUTING.md``: the licensing of contributions is not + actually part of the software license as distributed. This isn't meant to be + a substantial change in the licensing of the project, but addresses concerns + that the clause made the license non-standard. + `#1109 `_. + +* Fixed a regression introduced in 2.1 that broke binding functions with lvalue + character literal arguments. + `#1128 `_. + +* MSVC: fix for compilation failures under /permissive-, and added the flag to + the appveyor test suite. + `#1155 `_. + +* Fixed ``__qualname__`` generation, and in turn, fixes how class names + (especially nested class names) are shown in generated docstrings. + `#1171 `_. + +* Updated the FAQ with a suggested project citation reference. + `#1189 `_. + +* Added fixes for deprecation warnings when compiled under C++17 with + ``-Wdeprecated`` turned on, and add ``-Wdeprecated`` to the test suite + compilation flags. + `#1191 `_. + +* Fixed outdated PyPI URLs in ``setup.py``. + `#1213 `_. + +* Fixed a refcount leak for arguments that end up in a ``py::args`` argument + for functions with both fixed positional and ``py::args`` arguments. + `#1216 `_. + +* Fixed a potential segfault resulting from possible premature destruction of + ``py::args``/``py::kwargs`` arguments with overloaded functions. + `#1223 `_. + +* Fixed ``del map[item]`` for a ``stl_bind.h`` bound stl map. + `#1229 `_. + +* Fixed a regression from v2.1.x where the aggregate initialization could + unintentionally end up at a constructor taking a templated + ``std::initializer_list`` argument. + `#1249 `_. + +* Fixed an issue where calling a function with a keep_alive policy on the same + nurse/patient pair would cause the internal patient storage to needlessly + grow (unboundedly, if the nurse is long-lived). + `#1251 `_. + +* Various other minor fixes. + +v2.2.1 (September 14, 2017) +----------------------------------------------------- + +* Added ``py::module::reload()`` member function for reloading a module. + `#1040 `_. + +* Fixed a reference leak in the number converter. + `#1078 `_. + +* Fixed compilation with Clang on host GCC < 5 (old libstdc++ which isn't fully + C++11 compliant). `#1062 `_. + +* Fixed a regression where the automatic ``std::vector`` caster would + fail to compile. The same fix also applies to any container which returns + element proxies instead of references. + `#1053 `_. + +* Fixed a regression where the ``py::keep_alive`` policy could not be applied + to constructors. `#1065 `_. + +* Fixed a nullptr dereference when loading a ``py::module_local`` type + that's only registered in an external module. + `#1058 `_. + +* Fixed implicit conversion of accessors to types derived from ``py::object``. + `#1076 `_. + +* The ``name`` in ``PYBIND11_MODULE(name, variable)`` can now be a macro. + `#1082 `_. + +* Relaxed overly strict ``py::pickle()`` check for matching get and set types. + `#1064 `_. + +* Conversion errors now try to be more informative when it's likely that + a missing header is the cause (e.g. forgetting ````). + `#1077 `_. + +v2.2.0 (August 31, 2017) +----------------------------------------------------- + +* Support for embedding the Python interpreter. See the + :doc:`documentation page ` for a + full overview of the new features. + `#774 `_, + `#889 `_, + `#892 `_, + `#920 `_. + + .. code-block:: cpp + + #include + namespace py = pybind11; + + int main() { + py::scoped_interpreter guard{}; // start the interpreter and keep it alive + + py::print("Hello, World!"); // use the Python API + } + +* Support for inheriting from multiple C++ bases in Python. + `#693 `_. + + .. code-block:: python + + from cpp_module import CppBase1, CppBase2 + + class PyDerived(CppBase1, CppBase2): + def __init__(self): + CppBase1.__init__(self) # C++ bases must be initialized explicitly + CppBase2.__init__(self) + +* ``PYBIND11_MODULE`` is now the preferred way to create module entry points. + ``PYBIND11_PLUGIN`` is deprecated. See :ref:`macros` for details. + `#879 `_. + + .. code-block:: cpp + + // new + PYBIND11_MODULE(example, m) { + m.def("add", [](int a, int b) { return a + b; }); + } + + // old + PYBIND11_PLUGIN(example) { + py::module m("example"); + m.def("add", [](int a, int b) { return a + b; }); + return m.ptr(); + } + +* pybind11's headers and build system now more strictly enforce hidden symbol + visibility for extension modules. This should be seamless for most users, + but see the :doc:`upgrade` if you use a custom build system. + `#995 `_. + +* Support for ``py::module_local`` types which allow multiple modules to + export the same C++ types without conflicts. This is useful for opaque + types like ``std::vector``. ``py::bind_vector`` and ``py::bind_map`` + now default to ``py::module_local`` if their elements are builtins or + local types. See :ref:`module_local` for details. + `#949 `_, + `#981 `_, + `#995 `_, + `#997 `_. + +* Custom constructors can now be added very easily using lambdas or factory + functions which return a class instance by value, pointer or holder. This + supersedes the old placement-new ``__init__`` technique. + See :ref:`custom_constructors` for details. + `#805 `_, + `#1014 `_. + + .. code-block:: cpp + + struct Example { + Example(std::string); + }; + + py::class_(m, "Example") + .def(py::init()) // existing constructor + .def(py::init([](int n) { // custom constructor + return std::make_unique(std::to_string(n)); + })); + +* Similarly to custom constructors, pickling support functions are now bound + using the ``py::pickle()`` adaptor which improves type safety. See the + :doc:`upgrade` and :ref:`pickling` for details. + `#1038 `_. + +* Builtin support for converting C++17 standard library types and general + conversion improvements: + + 1. C++17 ``std::variant`` is supported right out of the box. C++11/14 + equivalents (e.g. ``boost::variant``) can also be added with a simple + user-defined specialization. See :ref:`cpp17_container_casters` for details. + `#811 `_, + `#845 `_, + `#989 `_. + + 2. Out-of-the-box support for C++17 ``std::string_view``. + `#906 `_. + + 3. Improved compatibility of the builtin ``optional`` converter. + `#874 `_. + + 4. The ``bool`` converter now accepts ``numpy.bool_`` and types which + define ``__bool__`` (Python 3.x) or ``__nonzero__`` (Python 2.7). + `#925 `_. + + 5. C++-to-Python casters are now more efficient and move elements out + of rvalue containers whenever possible. + `#851 `_, + `#936 `_, + `#938 `_. + + 6. Fixed ``bytes`` to ``std::string/char*`` conversion on Python 3. + `#817 `_. + + 7. Fixed lifetime of temporary C++ objects created in Python-to-C++ conversions. + `#924 `_. + +* Scope guard call policy for RAII types, e.g. ``py::call_guard()``, + ``py::call_guard()``. See :ref:`call_policies` for details. + `#740 `_. + +* Utility for redirecting C++ streams to Python (e.g. ``std::cout`` -> + ``sys.stdout``). Scope guard ``py::scoped_ostream_redirect`` in C++ and + a context manager in Python. See :ref:`ostream_redirect`. + `#1009 `_. + +* Improved handling of types and exceptions across module boundaries. + `#915 `_, + `#951 `_, + `#995 `_. + +* Fixed destruction order of ``py::keep_alive`` nurse/patient objects + in reference cycles. + `#856 `_. + +* Numpy and buffer protocol related improvements: + + 1. Support for negative strides in Python buffer objects/numpy arrays. This + required changing integers from unsigned to signed for the related C++ APIs. + Note: If you have compiler warnings enabled, you may notice some new conversion + warnings after upgrading. These can be resolved with ``static_cast``. + `#782 `_. + + 2. Support ``std::complex`` and arrays inside ``PYBIND11_NUMPY_DTYPE``. + `#831 `_, + `#832 `_. + + 3. Support for constructing ``py::buffer_info`` and ``py::arrays`` using + arbitrary containers or iterators instead of requiring a ``std::vector``. + `#788 `_, + `#822 `_, + `#860 `_. + + 4. Explicitly check numpy version and require >= 1.7.0. + `#819 `_. + +* Support for allowing/prohibiting ``None`` for specific arguments and improved + ``None`` overload resolution order. See :ref:`none_arguments` for details. + `#843 `_. + `#859 `_. + +* Added ``py::exec()`` as a shortcut for ``py::eval()`` + and support for C++11 raw string literals as input. See :ref:`eval`. + `#766 `_, + `#827 `_. + +* ``py::vectorize()`` ignores non-vectorizable arguments and supports + member functions. + `#762 `_. + +* Support for bound methods as callbacks (``pybind11/functional.h``). + `#815 `_. + +* Allow aliasing pybind11 methods: ``cls.attr("foo") = cls.attr("bar")``. + `#802 `_. + +* Don't allow mixed static/non-static overloads. + `#804 `_. + +* Fixed overriding static properties in derived classes. + `#784 `_. + +* Added support for write only properties. + `#1144 `_. + +* Improved deduction of member functions of a derived class when its bases + aren't registered with pybind11. + `#855 `_. + + .. code-block:: cpp + + struct Base { + int foo() { return 42; } + } + + struct Derived : Base {} + + // Now works, but previously required also binding `Base` + py::class_(m, "Derived") + .def("foo", &Derived::foo); // function is actually from `Base` + +* The implementation of ``py::init<>`` now uses C++11 brace initialization + syntax to construct instances, which permits binding implicit constructors of + aggregate types. `#1015 `_. + + .. code-block:: cpp + + struct Aggregate { + int a; + std::string b; + }; + + py::class_(m, "Aggregate") + .def(py::init()); + +* Fixed issues with multiple inheritance with offset base/derived pointers. + `#812 `_, + `#866 `_, + `#960 `_. + +* Fixed reference leak of type objects. + `#1030 `_. + +* Improved support for the ``/std:c++14`` and ``/std:c++latest`` modes + on MSVC 2017. + `#841 `_, + `#999 `_. + +* Fixed detection of private operator new on MSVC. + `#893 `_, + `#918 `_. + +* Intel C++ compiler compatibility fixes. + `#937 `_. + +* Fixed implicit conversion of `py::enum_` to integer types on Python 2.7. + `#821 `_. + +* Added ``py::hash`` to fetch the hash value of Python objects, and + ``.def(hash(py::self))`` to provide the C++ ``std::hash`` as the Python + ``__hash__`` method. + `#1034 `_. + +* Fixed ``__truediv__`` on Python 2 and ``__itruediv__`` on Python 3. + `#867 `_. + +* ``py::capsule`` objects now support the ``name`` attribute. This is useful + for interfacing with ``scipy.LowLevelCallable``. + `#902 `_. + +* Fixed ``py::make_iterator``'s ``__next__()`` for past-the-end calls. + `#897 `_. + +* Added ``error_already_set::matches()`` for checking Python exceptions. + `#772 `_. + +* Deprecated ``py::error_already_set::clear()``. It's no longer needed + following a simplification of the ``py::error_already_set`` class. + `#954 `_. + +* Deprecated ``py::handle::operator==()`` in favor of ``py::handle::is()`` + `#825 `_. + +* Deprecated ``py::object::borrowed``/``py::object::stolen``. + Use ``py::object::borrowed_t{}``/``py::object::stolen_t{}`` instead. + `#771 `_. + +* Changed internal data structure versioning to avoid conflicts between + modules compiled with different revisions of pybind11. + `#1012 `_. + +* Additional compile-time and run-time error checking and more informative messages. + `#786 `_, + `#794 `_, + `#803 `_. + +* Various minor improvements and fixes. + `#764 `_, + `#791 `_, + `#795 `_, + `#840 `_, + `#844 `_, + `#846 `_, + `#849 `_, + `#858 `_, + `#862 `_, + `#871 `_, + `#872 `_, + `#881 `_, + `#888 `_, + `#899 `_, + `#928 `_, + `#931 `_, + `#944 `_, + `#950 `_, + `#952 `_, + `#962 `_, + `#965 `_, + `#970 `_, + `#978 `_, + `#979 `_, + `#986 `_, + `#1020 `_, + `#1027 `_, + `#1037 `_. + +* Testing improvements. + `#798 `_, + `#882 `_, + `#898 `_, + `#900 `_, + `#921 `_, + `#923 `_, + `#963 `_. + +v2.1.1 (April 7, 2017) +----------------------------------------------------- + +* Fixed minimum version requirement for MSVC 2015u3 + `#773 `_. + +v2.1.0 (March 22, 2017) +----------------------------------------------------- + +* pybind11 now performs function overload resolution in two phases. The first + phase only considers exact type matches, while the second allows for implicit + conversions to take place. A special ``noconvert()`` syntax can be used to + completely disable implicit conversions for specific arguments. + `#643 `_, + `#634 `_, + `#650 `_. + +* Fixed a regression where static properties no longer worked with classes + using multiple inheritance. The ``py::metaclass`` attribute is no longer + necessary (and deprecated as of this release) when binding classes with + static properties. + `#679 `_, + +* Classes bound using ``pybind11`` can now use custom metaclasses. + `#679 `_, + +* ``py::args`` and ``py::kwargs`` can now be mixed with other positional + arguments when binding functions using pybind11. + `#611 `_. + +* Improved support for C++11 unicode string and character types; added + extensive documentation regarding pybind11's string conversion behavior. + `#624 `_, + `#636 `_, + `#715 `_. + +* pybind11 can now avoid expensive copies when converting Eigen arrays to NumPy + arrays (and vice versa). `#610 `_. + +* The "fast path" in ``py::vectorize`` now works for any full-size group of C or + F-contiguous arrays. The non-fast path is also faster since it no longer performs + copies of the input arguments (except when type conversions are necessary). + `#610 `_. + +* Added fast, unchecked access to NumPy arrays via a proxy object. + `#746 `_. + +* Transparent support for class-specific ``operator new`` and + ``operator delete`` implementations. + `#755 `_. + +* Slimmer and more efficient STL-compatible iterator interface for sequence types. + `#662 `_. + +* Improved custom holder type support. + `#607 `_. + +* ``nullptr`` to ``None`` conversion fixed in various builtin type casters. + `#732 `_. + +* ``enum_`` now exposes its members via a special ``__members__`` attribute. + `#666 `_. + +* ``std::vector`` bindings created using ``stl_bind.h`` can now optionally + implement the buffer protocol. `#488 `_. + +* Automated C++ reference documentation using doxygen and breathe. + `#598 `_. + +* Added minimum compiler version assertions. + `#727 `_. + +* Improved compatibility with C++1z. + `#677 `_. + +* Improved ``py::capsule`` API. Can be used to implement cleanup + callbacks that are involved at module destruction time. + `#752 `_. + +* Various minor improvements and fixes. + `#595 `_, + `#588 `_, + `#589 `_, + `#603 `_, + `#619 `_, + `#648 `_, + `#695 `_, + `#720 `_, + `#723 `_, + `#729 `_, + `#724 `_, + `#742 `_, + `#753 `_. + +v2.0.1 (Jan 4, 2017) +----------------------------------------------------- + +* Fix pointer to reference error in type_caster on MSVC + `#583 `_. + +* Fixed a segmentation in the test suite due to a typo + `cd7eac `_. + +v2.0.0 (Jan 1, 2017) +----------------------------------------------------- + +* Fixed a reference counting regression affecting types with custom metaclasses + (introduced in v2.0.0-rc1). + `#571 `_. + +* Quenched a CMake policy warning. + `#570 `_. + +v2.0.0-rc1 (Dec 23, 2016) +----------------------------------------------------- + +The pybind11 developers are excited to issue a release candidate of pybind11 +with a subsequent v2.0.0 release planned in early January next year. + +An incredible amount of effort by went into pybind11 over the last ~5 months, +leading to a release that is jam-packed with exciting new features and numerous +usability improvements. The following list links PRs or individual commits +whenever applicable. + +Happy Christmas! + +* Support for binding C++ class hierarchies that make use of multiple + inheritance. `#410 `_. + +* PyPy support: pybind11 now supports nightly builds of PyPy and will + interoperate with the future 5.7 release. No code changes are necessary, + everything "just" works as usual. Note that we only target the Python 2.7 + branch for now; support for 3.x will be added once its ``cpyext`` extension + support catches up. A few minor features remain unsupported for the time + being (notably dynamic attributes in custom types). + `#527 `_. + +* Significant work on the documentation -- in particular, the monolithic + ``advanced.rst`` file was restructured into a easier to read hierarchical + organization. `#448 `_. + +* Many NumPy-related improvements: + + 1. Object-oriented API to access and modify NumPy ``ndarray`` instances, + replicating much of the corresponding NumPy C API functionality. + `#402 `_. + + 2. NumPy array ``dtype`` array descriptors are now first-class citizens and + are exposed via a new class ``py::dtype``. + + 3. Structured dtypes can be registered using the ``PYBIND11_NUMPY_DTYPE()`` + macro. Special ``array`` constructors accepting dtype objects were also + added. + + One potential caveat involving this change: format descriptor strings + should now be accessed via ``format_descriptor::format()`` (however, for + compatibility purposes, the old syntax ``format_descriptor::value`` will + still work for non-structured data types). `#308 + `_. + + 4. Further improvements to support structured dtypes throughout the system. + `#472 `_, + `#474 `_, + `#459 `_, + `#453 `_, + `#452 `_, and + `#505 `_. + + 5. Fast access operators. `#497 `_. + + 6. Constructors for arrays whose storage is owned by another object. + `#440 `_. + + 7. Added constructors for ``array`` and ``array_t`` explicitly accepting shape + and strides; if strides are not provided, they are deduced assuming + C-contiguity. Also added simplified constructors for 1-dimensional case. + + 8. Added buffer/NumPy support for ``char[N]`` and ``std::array`` types. + + 9. Added ``memoryview`` wrapper type which is constructible from ``buffer_info``. + +* Eigen: many additional conversions and support for non-contiguous + arrays/slices. + `#427 `_, + `#315 `_, + `#316 `_, + `#312 `_, and + `#267 `_ + +* Incompatible changes in ``class_<...>::class_()``: + + 1. Declarations of types that provide access via the buffer protocol must + now include the ``py::buffer_protocol()`` annotation as an argument to + the ``class_`` constructor. + + 2. Declarations of types that require a custom metaclass (i.e. all classes + which include static properties via commands such as + ``def_readwrite_static()``) must now include the ``py::metaclass()`` + annotation as an argument to the ``class_`` constructor. + + These two changes were necessary to make type definitions in pybind11 + future-proof, and to support PyPy via its cpyext mechanism. `#527 + `_. + + + 3. This version of pybind11 uses a redesigned mechanism for instantiating + trampoline classes that are used to override virtual methods from within + Python. This led to the following user-visible syntax change: instead of + + .. code-block:: cpp + + py::class_("MyClass") + .alias() + .... + + write + + .. code-block:: cpp + + py::class_("MyClass") + .... + + Importantly, both the original and the trampoline class are now + specified as an arguments (in arbitrary order) to the ``py::class_`` + template, and the ``alias<..>()`` call is gone. The new scheme has zero + overhead in cases when Python doesn't override any functions of the + underlying C++ class. `rev. 86d825 + `_. + +* Added ``eval`` and ``eval_file`` functions for evaluating expressions and + statements from a string or file. `rev. 0d3fc3 + `_. + +* pybind11 can now create types with a modifiable dictionary. + `#437 `_ and + `#444 `_. + +* Support for translation of arbitrary C++ exceptions to Python counterparts. + `#296 `_ and + `#273 `_. + +* Report full backtraces through mixed C++/Python code, better reporting for + import errors, fixed GIL management in exception processing. + `#537 `_, + `#494 `_, + `rev. e72d95 `_, and + `rev. 099d6e `_. + +* Support for bit-level operations, comparisons, and serialization of C++ + enumerations. `#503 `_, + `#508 `_, + `#380 `_, + `#309 `_. + `#311 `_. + +* The ``class_`` constructor now accepts its template arguments in any order. + `#385 `_. + +* Attribute and item accessors now have a more complete interface which makes + it possible to chain attributes as in + ``obj.attr("a")[key].attr("b").attr("method")(1, 2, 3)``. `#425 + `_. + +* Major redesign of the default and conversion constructors in ``pytypes.h``. + `#464 `_. + +* Added built-in support for ``std::shared_ptr`` holder type. It is no longer + necessary to to include a declaration of the form + ``PYBIND11_DECLARE_HOLDER_TYPE(T, std::shared_ptr)`` (though continuing to + do so won't cause an error). + `#454 `_. + +* New ``py::overload_cast`` casting operator to select among multiple possible + overloads of a function. An example: + + .. code-block:: cpp + + py::class_(m, "Pet") + .def("set", py::overload_cast(&Pet::set), "Set the pet's age") + .def("set", py::overload_cast(&Pet::set), "Set the pet's name"); + + This feature only works on C++14-capable compilers. + `#541 `_. + +* C++ types are automatically cast to Python types, e.g. when assigning + them as an attribute. For instance, the following is now legal: + + .. code-block:: cpp + + py::module m = /* ... */ + m.attr("constant") = 123; + + (Previously, a ``py::cast`` call was necessary to avoid a compilation error.) + `#551 `_. + +* Redesigned ``pytest``-based test suite. `#321 `_. + +* Instance tracking to detect reference leaks in test suite. `#324 `_ + +* pybind11 can now distinguish between multiple different instances that are + located at the same memory address, but which have different types. + `#329 `_. + +* Improved logic in ``move`` return value policy. + `#510 `_, + `#297 `_. + +* Generalized unpacking API to permit calling Python functions from C++ using + notation such as ``foo(a1, a2, *args, "ka"_a=1, "kb"_a=2, **kwargs)``. `#372 `_. + +* ``py::print()`` function whose behavior matches that of the native Python + ``print()`` function. `#372 `_. + +* Added ``py::dict`` keyword constructor:``auto d = dict("number"_a=42, + "name"_a="World");``. `#372 `_. + +* Added ``py::str::format()`` method and ``_s`` literal: ``py::str s = "1 + 2 + = {}"_s.format(3);``. `#372 `_. + +* Added ``py::repr()`` function which is equivalent to Python's builtin + ``repr()``. `#333 `_. + +* Improved construction and destruction logic for holder types. It is now + possible to reference instances with smart pointer holder types without + constructing the holder if desired. The ``PYBIND11_DECLARE_HOLDER_TYPE`` + macro now accepts an optional second parameter to indicate whether the holder + type uses intrusive reference counting. + `#533 `_ and + `#561 `_. + +* Mapping a stateless C++ function to Python and back is now "for free" (i.e. + no extra indirections or argument conversion overheads). `rev. 954b79 + `_. + +* Bindings for ``std::valarray``. + `#545 `_. + +* Improved support for C++17 capable compilers. + `#562 `_. + +* Bindings for ``std::optional``. + `#475 `_, + `#476 `_, + `#479 `_, + `#499 `_, and + `#501 `_. + +* ``stl_bind.h``: general improvements and support for ``std::map`` and + ``std::unordered_map``. + `#490 `_, + `#282 `_, + `#235 `_. + +* The ``std::tuple``, ``std::pair``, ``std::list``, and ``std::vector`` type + casters now accept any Python sequence type as input. `rev. 107285 + `_. + +* Improved CMake Python detection on multi-architecture Linux. + `#532 `_. + +* Infrastructure to selectively disable or enable parts of the automatically + generated docstrings. `#486 `_. + +* ``reference`` and ``reference_internal`` are now the default return value + properties for static and non-static properties, respectively. `#473 + `_. (the previous defaults + were ``automatic``). `#473 `_. + +* Support for ``std::unique_ptr`` with non-default deleters or no deleter at + all (``py::nodelete``). `#384 `_. + +* Deprecated ``handle::call()`` method. The new syntax to call Python + functions is simply ``handle()``. It can also be invoked explicitly via + ``handle::operator()``, where ``X`` is an optional return value policy. + +* Print more informative error messages when ``make_tuple()`` or ``cast()`` + fail. `#262 `_. + +* Creation of holder types for classes deriving from + ``std::enable_shared_from_this<>`` now also works for ``const`` values. + `#260 `_. + +* ``make_iterator()`` improvements for better compatibility with various + types (now uses prefix increment operator); it now also accepts iterators + with different begin/end types as long as they are equality comparable. + `#247 `_. + +* ``arg()`` now accepts a wider range of argument types for default values. + `#244 `_. + +* Support ``keep_alive`` where the nurse object may be ``None``. `#341 + `_. + +* Added constructors for ``str`` and ``bytes`` from zero-terminated char + pointers, and from char pointers and length. Added constructors for ``str`` + from ``bytes`` and for ``bytes`` from ``str``, which will perform UTF-8 + decoding/encoding as required. + +* Many other improvements of library internals without user-visible changes + + +1.8.1 (July 12, 2016) +---------------------- +* Fixed a rare but potentially very severe issue when the garbage collector ran + during pybind11 type creation. + +1.8.0 (June 14, 2016) +---------------------- +* Redesigned CMake build system which exports a convenient + ``pybind11_add_module`` function to parent projects. +* ``std::vector<>`` type bindings analogous to Boost.Python's ``indexing_suite`` +* Transparent conversion of sparse and dense Eigen matrices and vectors (``eigen.h``) +* Added an ``ExtraFlags`` template argument to the NumPy ``array_t<>`` wrapper + to disable an enforced cast that may lose precision, e.g. to create overloads + for different precisions and complex vs real-valued matrices. +* Prevent implicit conversion of floating point values to integral types in + function arguments +* Fixed incorrect default return value policy for functions returning a shared + pointer +* Don't allow registering a type via ``class_`` twice +* Don't allow casting a ``None`` value into a C++ lvalue reference +* Fixed a crash in ``enum_::operator==`` that was triggered by the ``help()`` command +* Improved detection of whether or not custom C++ types can be copy/move-constructed +* Extended ``str`` type to also work with ``bytes`` instances +* Added a ``"name"_a`` user defined string literal that is equivalent to ``py::arg("name")``. +* When specifying function arguments via ``py::arg``, the test that verifies + the number of arguments now runs at compile time. +* Added ``[[noreturn]]`` attribute to ``pybind11_fail()`` to quench some + compiler warnings +* List function arguments in exception text when the dispatch code cannot find + a matching overload +* Added ``PYBIND11_OVERLOAD_NAME`` and ``PYBIND11_OVERLOAD_PURE_NAME`` macros which + can be used to override virtual methods whose name differs in C++ and Python + (e.g. ``__call__`` and ``operator()``) +* Various minor ``iterator`` and ``make_iterator()`` improvements +* Transparently support ``__bool__`` on Python 2.x and Python 3.x +* Fixed issue with destructor of unpickled object not being called +* Minor CMake build system improvements on Windows +* New ``pybind11::args`` and ``pybind11::kwargs`` types to create functions which + take an arbitrary number of arguments and keyword arguments +* New syntax to call a Python function from C++ using ``*args`` and ``*kwargs`` +* The functions ``def_property_*`` now correctly process docstring arguments (these + formerly caused a segmentation fault) +* Many ``mkdoc.py`` improvements (enumerations, template arguments, ``DOC()`` + macro accepts more arguments) +* Cygwin support +* Documentation improvements (pickling support, ``keep_alive``, macro usage) + +1.7 (April 30, 2016) +---------------------- +* Added a new ``move`` return value policy that triggers C++11 move semantics. + The automatic return value policy falls back to this case whenever a rvalue + reference is encountered +* Significantly more general GIL state routines that are used instead of + Python's troublesome ``PyGILState_Ensure`` and ``PyGILState_Release`` API +* Redesign of opaque types that drastically simplifies their usage +* Extended ability to pass values of type ``[const] void *`` +* ``keep_alive`` fix: don't fail when there is no patient +* ``functional.h``: acquire the GIL before calling a Python function +* Added Python RAII type wrappers ``none`` and ``iterable`` +* Added ``*args`` and ``*kwargs`` pass-through parameters to + ``pybind11.get_include()`` function +* Iterator improvements and fixes +* Documentation on return value policies and opaque types improved + +1.6 (April 30, 2016) +---------------------- +* Skipped due to upload to PyPI gone wrong and inability to recover + (https://github.com/pypa/packaging-problems/issues/74) + +1.5 (April 21, 2016) +---------------------- +* For polymorphic types, use RTTI to try to return the closest type registered with pybind11 +* Pickling support for serializing and unserializing C++ instances to a byte stream in Python +* Added a convenience routine ``make_iterator()`` which turns a range indicated + by a pair of C++ iterators into a iterable Python object +* Added ``len()`` and a variadic ``make_tuple()`` function +* Addressed a rare issue that could confuse the current virtual function + dispatcher and another that could lead to crashes in multi-threaded + applications +* Added a ``get_include()`` function to the Python module that returns the path + of the directory containing the installed pybind11 header files +* Documentation improvements: import issues, symbol visibility, pickling, limitations +* Added casting support for ``std::reference_wrapper<>`` + +1.4 (April 7, 2016) +-------------------------- +* Transparent type conversion for ``std::wstring`` and ``wchar_t`` +* Allow passing ``nullptr``-valued strings +* Transparent passing of ``void *`` pointers using capsules +* Transparent support for returning values wrapped in ``std::unique_ptr<>`` +* Improved docstring generation for compatibility with Sphinx +* Nicer debug error message when default parameter construction fails +* Support for "opaque" types that bypass the transparent conversion layer for STL containers +* Redesigned type casting interface to avoid ambiguities that could occasionally cause compiler errors +* Redesigned property implementation; fixes crashes due to an unfortunate default return value policy +* Anaconda package generation support + +1.3 (March 8, 2016) +-------------------------- + +* Added support for the Intel C++ compiler (v15+) +* Added support for the STL unordered set/map data structures +* Added support for the STL linked list data structure +* NumPy-style broadcasting support in ``pybind11::vectorize`` +* pybind11 now displays more verbose error messages when ``arg::operator=()`` fails +* pybind11 internal data structures now live in a version-dependent namespace to avoid ABI issues +* Many, many bugfixes involving corner cases and advanced usage + +1.2 (February 7, 2016) +-------------------------- + +* Optional: efficient generation of function signatures at compile time using C++14 +* Switched to a simpler and more general way of dealing with function default + arguments. Unused keyword arguments in function calls are now detected and + cause errors as expected +* New ``keep_alive`` call policy analogous to Boost.Python's ``with_custodian_and_ward`` +* New ``pybind11::base<>`` attribute to indicate a subclass relationship +* Improved interface for RAII type wrappers in ``pytypes.h`` +* Use RAII type wrappers consistently within pybind11 itself. This + fixes various potential refcount leaks when exceptions occur +* Added new ``bytes`` RAII type wrapper (maps to ``string`` in Python 2.7) +* Made handle and related RAII classes const correct, using them more + consistently everywhere now +* Got rid of the ugly ``__pybind11__`` attributes on the Python side---they are + now stored in a C++ hash table that is not visible in Python +* Fixed refcount leaks involving NumPy arrays and bound functions +* Vastly improved handling of shared/smart pointers +* Removed an unnecessary copy operation in ``pybind11::vectorize`` +* Fixed naming clashes when both pybind11 and NumPy headers are included +* Added conversions for additional exception types +* Documentation improvements (using multiple extension modules, smart pointers, + other minor clarifications) +* unified infrastructure for parsing variadic arguments in ``class_`` and cpp_function +* Fixed license text (was: ZLIB, should have been: 3-clause BSD) +* Python 3.2 compatibility +* Fixed remaining issues when accessing types in another plugin module +* Added enum comparison and casting methods +* Improved SFINAE-based detection of whether types are copy-constructible +* Eliminated many warnings about unused variables and the use of ``offsetof()`` +* Support for ``std::array<>`` conversions + +1.1 (December 7, 2015) +-------------------------- + +* Documentation improvements (GIL, wrapping functions, casting, fixed many typos) +* Generalized conversion of integer types +* Improved support for casting function objects +* Improved support for ``std::shared_ptr<>`` conversions +* Initial support for ``std::set<>`` conversions +* Fixed type resolution issue for types defined in a separate plugin module +* Cmake build system improvements +* Factored out generic functionality to non-templated code (smaller code size) +* Added a code size / compile time benchmark vs Boost.Python +* Added an appveyor CI script + +1.0 (October 15, 2015) +------------------------ +* Initial release diff --git a/external/pybind11/docs/classes.rst b/external/pybind11/docs/classes.rst new file mode 100644 index 0000000..a63f6a1 --- /dev/null +++ b/external/pybind11/docs/classes.rst @@ -0,0 +1,532 @@ +.. _classes: + +Object-oriented code +#################### + +Creating bindings for a custom type +=================================== + +Let's now look at a more complex example where we'll create bindings for a +custom C++ data structure named ``Pet``. Its definition is given below: + +.. code-block:: cpp + + struct Pet { + Pet(const std::string &name) : name(name) { } + void setName(const std::string &name_) { name = name_; } + const std::string &getName() const { return name; } + + std::string name; + }; + +The binding code for ``Pet`` looks as follows: + +.. code-block:: cpp + + #include + + namespace py = pybind11; + + PYBIND11_MODULE(example, m) { + py::class_(m, "Pet") + .def(py::init()) + .def("setName", &Pet::setName) + .def("getName", &Pet::getName); + } + +:class:`class_` creates bindings for a C++ *class* or *struct*-style data +structure. :func:`init` is a convenience function that takes the types of a +constructor's parameters as template arguments and wraps the corresponding +constructor (see the :ref:`custom_constructors` section for details). An +interactive Python session demonstrating this example is shown below: + +.. code-block:: pycon + + % python + >>> import example + >>> p = example.Pet('Molly') + >>> print(p) + + >>> p.getName() + u'Molly' + >>> p.setName('Charly') + >>> p.getName() + u'Charly' + +.. seealso:: + + Static member functions can be bound in the same way using + :func:`class_::def_static`. + +Keyword and default arguments +============================= +It is possible to specify keyword and default arguments using the syntax +discussed in the previous chapter. Refer to the sections :ref:`keyword_args` +and :ref:`default_args` for details. + +Binding lambda functions +======================== + +Note how ``print(p)`` produced a rather useless summary of our data structure in the example above: + +.. code-block:: pycon + + >>> print(p) + + +To address this, we could bind an utility function that returns a human-readable +summary to the special method slot named ``__repr__``. Unfortunately, there is no +suitable functionality in the ``Pet`` data structure, and it would be nice if +we did not have to change it. This can easily be accomplished by binding a +Lambda function instead: + +.. code-block:: cpp + + py::class_(m, "Pet") + .def(py::init()) + .def("setName", &Pet::setName) + .def("getName", &Pet::getName) + .def("__repr__", + [](const Pet &a) { + return ""; + } + ); + +Both stateless [#f1]_ and stateful lambda closures are supported by pybind11. +With the above change, the same Python code now produces the following output: + +.. code-block:: pycon + + >>> print(p) + + +.. [#f1] Stateless closures are those with an empty pair of brackets ``[]`` as the capture object. + +.. _properties: + +Instance and static fields +========================== + +We can also directly expose the ``name`` field using the +:func:`class_::def_readwrite` method. A similar :func:`class_::def_readonly` +method also exists for ``const`` fields. + +.. code-block:: cpp + + py::class_(m, "Pet") + .def(py::init()) + .def_readwrite("name", &Pet::name) + // ... remainder ... + +This makes it possible to write + +.. code-block:: pycon + + >>> p = example.Pet('Molly') + >>> p.name + u'Molly' + >>> p.name = 'Charly' + >>> p.name + u'Charly' + +Now suppose that ``Pet::name`` was a private internal variable +that can only be accessed via setters and getters. + +.. code-block:: cpp + + class Pet { + public: + Pet(const std::string &name) : name(name) { } + void setName(const std::string &name_) { name = name_; } + const std::string &getName() const { return name; } + private: + std::string name; + }; + +In this case, the method :func:`class_::def_property` +(:func:`class_::def_property_readonly` for read-only data) can be used to +provide a field-like interface within Python that will transparently call +the setter and getter functions: + +.. code-block:: cpp + + py::class_(m, "Pet") + .def(py::init()) + .def_property("name", &Pet::getName, &Pet::setName) + // ... remainder ... + +Write only properties can be defined by passing ``nullptr`` as the +input for the read function. + +.. seealso:: + + Similar functions :func:`class_::def_readwrite_static`, + :func:`class_::def_readonly_static` :func:`class_::def_property_static`, + and :func:`class_::def_property_readonly_static` are provided for binding + static variables and properties. Please also see the section on + :ref:`static_properties` in the advanced part of the documentation. + +Dynamic attributes +================== + +Native Python classes can pick up new attributes dynamically: + +.. code-block:: pycon + + >>> class Pet: + ... name = 'Molly' + ... + >>> p = Pet() + >>> p.name = 'Charly' # overwrite existing + >>> p.age = 2 # dynamically add a new attribute + +By default, classes exported from C++ do not support this and the only writable +attributes are the ones explicitly defined using :func:`class_::def_readwrite` +or :func:`class_::def_property`. + +.. code-block:: cpp + + py::class_(m, "Pet") + .def(py::init<>()) + .def_readwrite("name", &Pet::name); + +Trying to set any other attribute results in an error: + +.. code-block:: pycon + + >>> p = example.Pet() + >>> p.name = 'Charly' # OK, attribute defined in C++ + >>> p.age = 2 # fail + AttributeError: 'Pet' object has no attribute 'age' + +To enable dynamic attributes for C++ classes, the :class:`py::dynamic_attr` tag +must be added to the :class:`py::class_` constructor: + +.. code-block:: cpp + + py::class_(m, "Pet", py::dynamic_attr()) + .def(py::init<>()) + .def_readwrite("name", &Pet::name); + +Now everything works as expected: + +.. code-block:: pycon + + >>> p = example.Pet() + >>> p.name = 'Charly' # OK, overwrite value in C++ + >>> p.age = 2 # OK, dynamically add a new attribute + >>> p.__dict__ # just like a native Python class + {'age': 2} + +Note that there is a small runtime cost for a class with dynamic attributes. +Not only because of the addition of a ``__dict__``, but also because of more +expensive garbage collection tracking which must be activated to resolve +possible circular references. Native Python classes incur this same cost by +default, so this is not anything to worry about. By default, pybind11 classes +are more efficient than native Python classes. Enabling dynamic attributes +just brings them on par. + +.. _inheritance: + +Inheritance and automatic downcasting +===================================== + +Suppose now that the example consists of two data structures with an +inheritance relationship: + +.. code-block:: cpp + + struct Pet { + Pet(const std::string &name) : name(name) { } + std::string name; + }; + + struct Dog : Pet { + Dog(const std::string &name) : Pet(name) { } + std::string bark() const { return "woof!"; } + }; + +There are two different ways of indicating a hierarchical relationship to +pybind11: the first specifies the C++ base class as an extra template +parameter of the :class:`class_`: + +.. code-block:: cpp + + py::class_(m, "Pet") + .def(py::init()) + .def_readwrite("name", &Pet::name); + + // Method 1: template parameter: + py::class_(m, "Dog") + .def(py::init()) + .def("bark", &Dog::bark); + +Alternatively, we can also assign a name to the previously bound ``Pet`` +:class:`class_` object and reference it when binding the ``Dog`` class: + +.. code-block:: cpp + + py::class_ pet(m, "Pet"); + pet.def(py::init()) + .def_readwrite("name", &Pet::name); + + // Method 2: pass parent class_ object: + py::class_(m, "Dog", pet /* <- specify Python parent type */) + .def(py::init()) + .def("bark", &Dog::bark); + +Functionality-wise, both approaches are equivalent. Afterwards, instances will +expose fields and methods of both types: + +.. code-block:: pycon + + >>> p = example.Dog('Molly') + >>> p.name + u'Molly' + >>> p.bark() + u'woof!' + +The C++ classes defined above are regular non-polymorphic types with an +inheritance relationship. This is reflected in Python: + +.. code-block:: cpp + + // Return a base pointer to a derived instance + m.def("pet_store", []() { return std::unique_ptr(new Dog("Molly")); }); + +.. code-block:: pycon + + >>> p = example.pet_store() + >>> type(p) # `Dog` instance behind `Pet` pointer + Pet # no pointer downcasting for regular non-polymorphic types + >>> p.bark() + AttributeError: 'Pet' object has no attribute 'bark' + +The function returned a ``Dog`` instance, but because it's a non-polymorphic +type behind a base pointer, Python only sees a ``Pet``. In C++, a type is only +considered polymorphic if it has at least one virtual function and pybind11 +will automatically recognize this: + +.. code-block:: cpp + + struct PolymorphicPet { + virtual ~PolymorphicPet() = default; + }; + + struct PolymorphicDog : PolymorphicPet { + std::string bark() const { return "woof!"; } + }; + + // Same binding code + py::class_(m, "PolymorphicPet"); + py::class_(m, "PolymorphicDog") + .def(py::init<>()) + .def("bark", &PolymorphicDog::bark); + + // Again, return a base pointer to a derived instance + m.def("pet_store2", []() { return std::unique_ptr(new PolymorphicDog); }); + +.. code-block:: pycon + + >>> p = example.pet_store2() + >>> type(p) + PolymorphicDog # automatically downcast + >>> p.bark() + u'woof!' + +Given a pointer to a polymorphic base, pybind11 performs automatic downcasting +to the actual derived type. Note that this goes beyond the usual situation in +C++: we don't just get access to the virtual functions of the base, we get the +concrete derived type including functions and attributes that the base type may +not even be aware of. + +.. seealso:: + + For more information about polymorphic behavior see :ref:`overriding_virtuals`. + + +Overloaded methods +================== + +Sometimes there are several overloaded C++ methods with the same name taking +different kinds of input arguments: + +.. code-block:: cpp + + struct Pet { + Pet(const std::string &name, int age) : name(name), age(age) { } + + void set(int age_) { age = age_; } + void set(const std::string &name_) { name = name_; } + + std::string name; + int age; + }; + +Attempting to bind ``Pet::set`` will cause an error since the compiler does not +know which method the user intended to select. We can disambiguate by casting +them to function pointers. Binding multiple functions to the same Python name +automatically creates a chain of function overloads that will be tried in +sequence. + +.. code-block:: cpp + + py::class_(m, "Pet") + .def(py::init()) + .def("set", (void (Pet::*)(int)) &Pet::set, "Set the pet's age") + .def("set", (void (Pet::*)(const std::string &)) &Pet::set, "Set the pet's name"); + +The overload signatures are also visible in the method's docstring: + +.. code-block:: pycon + + >>> help(example.Pet) + + class Pet(__builtin__.object) + | Methods defined here: + | + | __init__(...) + | Signature : (Pet, str, int) -> NoneType + | + | set(...) + | 1. Signature : (Pet, int) -> NoneType + | + | Set the pet's age + | + | 2. Signature : (Pet, str) -> NoneType + | + | Set the pet's name + +If you have a C++14 compatible compiler [#cpp14]_, you can use an alternative +syntax to cast the overloaded function: + +.. code-block:: cpp + + py::class_(m, "Pet") + .def("set", py::overload_cast(&Pet::set), "Set the pet's age") + .def("set", py::overload_cast(&Pet::set), "Set the pet's name"); + +Here, ``py::overload_cast`` only requires the parameter types to be specified. +The return type and class are deduced. This avoids the additional noise of +``void (Pet::*)()`` as seen in the raw cast. If a function is overloaded based +on constness, the ``py::const_`` tag should be used: + +.. code-block:: cpp + + struct Widget { + int foo(int x, float y); + int foo(int x, float y) const; + }; + + py::class_(m, "Widget") + .def("foo_mutable", py::overload_cast(&Widget::foo)) + .def("foo_const", py::overload_cast(&Widget::foo, py::const_)); + +If you prefer the ``py::overload_cast`` syntax but have a C++11 compatible compiler only, +you can use ``py::detail::overload_cast_impl`` with an additional set of parentheses: + +.. code-block:: cpp + + template + using overload_cast_ = pybind11::detail::overload_cast_impl; + + py::class_(m, "Pet") + .def("set", overload_cast_()(&Pet::set), "Set the pet's age") + .def("set", overload_cast_()(&Pet::set), "Set the pet's name"); + +.. [#cpp14] A compiler which supports the ``-std=c++14`` flag + or Visual Studio 2015 Update 2 and newer. + +.. note:: + + To define multiple overloaded constructors, simply declare one after the + other using the ``.def(py::init<...>())`` syntax. The existing machinery + for specifying keyword and default arguments also works. + +Enumerations and internal types +=============================== + +Let's now suppose that the example class contains an internal enumeration type, +e.g.: + +.. code-block:: cpp + + struct Pet { + enum Kind { + Dog = 0, + Cat + }; + + Pet(const std::string &name, Kind type) : name(name), type(type) { } + + std::string name; + Kind type; + }; + +The binding code for this example looks as follows: + +.. code-block:: cpp + + py::class_ pet(m, "Pet"); + + pet.def(py::init()) + .def_readwrite("name", &Pet::name) + .def_readwrite("type", &Pet::type); + + py::enum_(pet, "Kind") + .value("Dog", Pet::Kind::Dog) + .value("Cat", Pet::Kind::Cat) + .export_values(); + +To ensure that the ``Kind`` type is created within the scope of ``Pet``, the +``pet`` :class:`class_` instance must be supplied to the :class:`enum_`. +constructor. The :func:`enum_::export_values` function exports the enum entries +into the parent scope, which should be skipped for newer C++11-style strongly +typed enums. + +.. code-block:: pycon + + >>> p = Pet('Lucy', Pet.Cat) + >>> p.type + Kind.Cat + >>> int(p.type) + 1L + +The entries defined by the enumeration type are exposed in the ``__members__`` property: + +.. code-block:: pycon + + >>> Pet.Kind.__members__ + {'Dog': Kind.Dog, 'Cat': Kind.Cat} + +The ``name`` property returns the name of the enum value as a unicode string. + +.. note:: + + It is also possible to use ``str(enum)``, however these accomplish different + goals. The following shows how these two approaches differ. + + .. code-block:: pycon + + >>> p = Pet( "Lucy", Pet.Cat ) + >>> pet_type = p.type + >>> pet_type + Pet.Cat + >>> str(pet_type) + 'Pet.Cat' + >>> pet_type.name + 'Cat' + +.. note:: + + When the special tag ``py::arithmetic()`` is specified to the ``enum_`` + constructor, pybind11 creates an enumeration that also supports rudimentary + arithmetic and bit-level operations like comparisons, and, or, xor, negation, + etc. + + .. code-block:: cpp + + py::enum_(pet, "Kind", py::arithmetic()) + ... + + By default, these are omitted to conserve space. diff --git a/external/pybind11/docs/compiling.rst b/external/pybind11/docs/compiling.rst new file mode 100644 index 0000000..c50c7d8 --- /dev/null +++ b/external/pybind11/docs/compiling.rst @@ -0,0 +1,289 @@ +.. _compiling: + +Build systems +############# + +Building with setuptools +======================== + +For projects on PyPI, building with setuptools is the way to go. Sylvain Corlay +has kindly provided an example project which shows how to set up everything, +including automatic generation of documentation using Sphinx. Please refer to +the [python_example]_ repository. + +.. [python_example] https://github.com/pybind/python_example + +Building with cppimport +======================== + +[cppimport]_ is a small Python import hook that determines whether there is a C++ +source file whose name matches the requested module. If there is, the file is +compiled as a Python extension using pybind11 and placed in the same folder as +the C++ source file. Python is then able to find the module and load it. + +.. [cppimport] https://github.com/tbenthompson/cppimport + +.. _cmake: + +Building with CMake +=================== + +For C++ codebases that have an existing CMake-based build system, a Python +extension module can be created with just a few lines of code: + +.. code-block:: cmake + + cmake_minimum_required(VERSION 2.8.12) + project(example) + + add_subdirectory(pybind11) + pybind11_add_module(example example.cpp) + +This assumes that the pybind11 repository is located in a subdirectory named +:file:`pybind11` and that the code is located in a file named :file:`example.cpp`. +The CMake command ``add_subdirectory`` will import the pybind11 project which +provides the ``pybind11_add_module`` function. It will take care of all the +details needed to build a Python extension module on any platform. + +A working sample project, including a way to invoke CMake from :file:`setup.py` for +PyPI integration, can be found in the [cmake_example]_ repository. + +.. [cmake_example] https://github.com/pybind/cmake_example + +pybind11_add_module +------------------- + +To ease the creation of Python extension modules, pybind11 provides a CMake +function with the following signature: + +.. code-block:: cmake + + pybind11_add_module( [MODULE | SHARED] [EXCLUDE_FROM_ALL] + [NO_EXTRAS] [SYSTEM] [THIN_LTO] source1 [source2 ...]) + +This function behaves very much like CMake's builtin ``add_library`` (in fact, +it's a wrapper function around that command). It will add a library target +called ```` to be built from the listed source files. In addition, it +will take care of all the Python-specific compiler and linker flags as well +as the OS- and Python-version-specific file extension. The produced target +```` can be further manipulated with regular CMake commands. + +``MODULE`` or ``SHARED`` may be given to specify the type of library. If no +type is given, ``MODULE`` is used by default which ensures the creation of a +Python-exclusive module. Specifying ``SHARED`` will create a more traditional +dynamic library which can also be linked from elsewhere. ``EXCLUDE_FROM_ALL`` +removes this target from the default build (see CMake docs for details). + +Since pybind11 is a template library, ``pybind11_add_module`` adds compiler +flags to ensure high quality code generation without bloat arising from long +symbol names and duplication of code in different translation units. It +sets default visibility to *hidden*, which is required for some pybind11 +features and functionality when attempting to load multiple pybind11 modules +compiled under different pybind11 versions. It also adds additional flags +enabling LTO (Link Time Optimization) and strip unneeded symbols. See the +:ref:`FAQ entry ` for a more detailed explanation. These +latter optimizations are never applied in ``Debug`` mode. If ``NO_EXTRAS`` is +given, they will always be disabled, even in ``Release`` mode. However, this +will result in code bloat and is generally not recommended. + +By default, pybind11 and Python headers will be included with ``-I``. In order +to include pybind11 as system library, e.g. to avoid warnings in downstream +code with warn-levels outside of pybind11's scope, set the option ``SYSTEM``. + +As stated above, LTO is enabled by default. Some newer compilers also support +different flavors of LTO such as `ThinLTO`_. Setting ``THIN_LTO`` will cause +the function to prefer this flavor if available. The function falls back to +regular LTO if ``-flto=thin`` is not available. + +.. _ThinLTO: http://clang.llvm.org/docs/ThinLTO.html + +Configuration variables +----------------------- + +By default, pybind11 will compile modules with the C++14 standard, if available +on the target compiler, falling back to C++11 if C++14 support is not +available. Note, however, that this default is subject to change: future +pybind11 releases are expected to migrate to newer C++ standards as they become +available. To override this, the standard flag can be given explicitly in +``PYBIND11_CPP_STANDARD``: + +.. code-block:: cmake + + # Use just one of these: + # GCC/clang: + set(PYBIND11_CPP_STANDARD -std=c++11) + set(PYBIND11_CPP_STANDARD -std=c++14) + set(PYBIND11_CPP_STANDARD -std=c++1z) # Experimental C++17 support + # MSVC: + set(PYBIND11_CPP_STANDARD /std:c++14) + set(PYBIND11_CPP_STANDARD /std:c++latest) # Enables some MSVC C++17 features + + add_subdirectory(pybind11) # or find_package(pybind11) + +Note that this and all other configuration variables must be set **before** the +call to ``add_subdirectory`` or ``find_package``. The variables can also be set +when calling CMake from the command line using the ``-D=`` flag. + +The target Python version can be selected by setting ``PYBIND11_PYTHON_VERSION`` +or an exact Python installation can be specified with ``PYTHON_EXECUTABLE``. +For example: + +.. code-block:: bash + + cmake -DPYBIND11_PYTHON_VERSION=3.6 .. + # or + cmake -DPYTHON_EXECUTABLE=path/to/python .. + +find_package vs. add_subdirectory +--------------------------------- + +For CMake-based projects that don't include the pybind11 repository internally, +an external installation can be detected through ``find_package(pybind11)``. +See the `Config file`_ docstring for details of relevant CMake variables. + +.. code-block:: cmake + + cmake_minimum_required(VERSION 2.8.12) + project(example) + + find_package(pybind11 REQUIRED) + pybind11_add_module(example example.cpp) + +Note that ``find_package(pybind11)`` will only work correctly if pybind11 +has been correctly installed on the system, e. g. after downloading or cloning +the pybind11 repository : + +.. code-block:: bash + + cd pybind11 + mkdir build + cd build + cmake .. + make install + +Once detected, the aforementioned ``pybind11_add_module`` can be employed as +before. The function usage and configuration variables are identical no matter +if pybind11 is added as a subdirectory or found as an installed package. You +can refer to the same [cmake_example]_ repository for a full sample project +-- just swap out ``add_subdirectory`` for ``find_package``. + +.. _Config file: https://github.com/pybind/pybind11/blob/master/tools/pybind11Config.cmake.in + +Advanced: interface library target +---------------------------------- + +When using a version of CMake greater than 3.0, pybind11 can additionally +be used as a special *interface library* . The target ``pybind11::module`` +is available with pybind11 headers, Python headers and libraries as needed, +and C++ compile definitions attached. This target is suitable for linking +to an independently constructed (through ``add_library``, not +``pybind11_add_module``) target in the consuming project. + +.. code-block:: cmake + + cmake_minimum_required(VERSION 3.0) + project(example) + + find_package(pybind11 REQUIRED) # or add_subdirectory(pybind11) + + add_library(example MODULE main.cpp) + target_link_libraries(example PRIVATE pybind11::module) + set_target_properties(example PROPERTIES PREFIX "${PYTHON_MODULE_PREFIX}" + SUFFIX "${PYTHON_MODULE_EXTENSION}") + +.. warning:: + + Since pybind11 is a metatemplate library, it is crucial that certain + compiler flags are provided to ensure high quality code generation. In + contrast to the ``pybind11_add_module()`` command, the CMake interface + library only provides the *minimal* set of parameters to ensure that the + code using pybind11 compiles, but it does **not** pass these extra compiler + flags (i.e. this is up to you). + + These include Link Time Optimization (``-flto`` on GCC/Clang/ICPC, ``/GL`` + and ``/LTCG`` on Visual Studio) and .OBJ files with many sections on Visual + Studio (``/bigobj``). The :ref:`FAQ ` contains an + explanation on why these are needed. + +Embedding the Python interpreter +-------------------------------- + +In addition to extension modules, pybind11 also supports embedding Python into +a C++ executable or library. In CMake, simply link with the ``pybind11::embed`` +target. It provides everything needed to get the interpreter running. The Python +headers and libraries are attached to the target. Unlike ``pybind11::module``, +there is no need to manually set any additional properties here. For more +information about usage in C++, see :doc:`/advanced/embedding`. + +.. code-block:: cmake + + cmake_minimum_required(VERSION 3.0) + project(example) + + find_package(pybind11 REQUIRED) # or add_subdirectory(pybind11) + + add_executable(example main.cpp) + target_link_libraries(example PRIVATE pybind11::embed) + +.. _building_manually: + +Building manually +================= + +pybind11 is a header-only library, hence it is not necessary to link against +any special libraries and there are no intermediate (magic) translation steps. + +On Linux, you can compile an example such as the one given in +:ref:`simple_example` using the following command: + +.. code-block:: bash + + $ c++ -O3 -Wall -shared -std=c++11 -fPIC `python3 -m pybind11 --includes` example.cpp -o example`python3-config --extension-suffix` + +The flags given here assume that you're using Python 3. For Python 2, just +change the executable appropriately (to ``python`` or ``python2``). + +The ``python3 -m pybind11 --includes`` command fetches the include paths for +both pybind11 and Python headers. This assumes that pybind11 has been installed +using ``pip`` or ``conda``. If it hasn't, you can also manually specify +``-I /include`` together with the Python includes path +``python3-config --includes``. + +Note that Python 2.7 modules don't use a special suffix, so you should simply +use ``example.so`` instead of ``example`python3-config --extension-suffix```. +Besides, the ``--extension-suffix`` option may or may not be available, depending +on the distribution; in the latter case, the module extension can be manually +set to ``.so``. + +On Mac OS: the build command is almost the same but it also requires passing +the ``-undefined dynamic_lookup`` flag so as to ignore missing symbols when +building the module: + +.. code-block:: bash + + $ c++ -O3 -Wall -shared -std=c++11 -undefined dynamic_lookup `python3 -m pybind11 --includes` example.cpp -o example`python3-config --extension-suffix` + +In general, it is advisable to include several additional build parameters +that can considerably reduce the size of the created binary. Refer to section +:ref:`cmake` for a detailed example of a suitable cross-platform CMake-based +build system that works on all platforms including Windows. + +.. note:: + + On Linux and macOS, it's better to (intentionally) not link against + ``libpython``. The symbols will be resolved when the extension library + is loaded into a Python binary. This is preferable because you might + have several different installations of a given Python version (e.g. the + system-provided Python, and one that ships with a piece of commercial + software). In this way, the plugin will work with both versions, instead + of possibly importing a second Python library into a process that already + contains one (which will lead to a segfault). + +Generating binding code automatically +===================================== + +The ``Binder`` project is a tool for automatic generation of pybind11 binding +code by introspecting existing C++ codebases using LLVM/Clang. See the +[binder]_ documentation for details. + +.. [binder] http://cppbinder.readthedocs.io/en/latest/about.html diff --git a/external/pybind11/docs/conf.py b/external/pybind11/docs/conf.py new file mode 100644 index 0000000..c438546 --- /dev/null +++ b/external/pybind11/docs/conf.py @@ -0,0 +1,332 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# pybind11 documentation build configuration file, created by +# sphinx-quickstart on Sun Oct 11 19:23:48 2015. +# +# This file is execfile()d with the current directory set to its +# containing dir. +# +# Note that not all possible configuration values are present in this +# autogenerated file. +# +# All configuration values have a default; values that are commented out +# serve to show the default. + +import sys +import os +import shlex +import subprocess + +# If extensions (or modules to document with autodoc) are in another directory, +# add these directories to sys.path here. If the directory is relative to the +# documentation root, use os.path.abspath to make it absolute, like shown here. +#sys.path.insert(0, os.path.abspath('.')) + +# -- General configuration ------------------------------------------------ + +# If your documentation needs a minimal Sphinx version, state it here. +#needs_sphinx = '1.0' + +# Add any Sphinx extension module names here, as strings. They can be +# extensions coming with Sphinx (named 'sphinx.ext.*') or your custom +# ones. +extensions = ['breathe'] + +breathe_projects = {'pybind11': '.build/doxygenxml/'} +breathe_default_project = 'pybind11' +breathe_domain_by_extension = {'h': 'cpp'} + +# Add any paths that contain templates here, relative to this directory. +templates_path = ['.templates'] + +# The suffix(es) of source filenames. +# You can specify multiple suffix as a list of string: +# source_suffix = ['.rst', '.md'] +source_suffix = '.rst' + +# The encoding of source files. +#source_encoding = 'utf-8-sig' + +# The master toctree document. +master_doc = 'index' + +# General information about the project. +project = 'pybind11' +copyright = '2017, Wenzel Jakob' +author = 'Wenzel Jakob' + +# The version info for the project you're documenting, acts as replacement for +# |version| and |release|, also used in various other places throughout the +# built documents. +# +# The short X.Y version. +version = '2.4' +# The full version, including alpha/beta/rc tags. +release = '2.4.3' + +# The language for content autogenerated by Sphinx. Refer to documentation +# for a list of supported languages. +# +# This is also used if you do content translation via gettext catalogs. +# Usually you set "language" from the command line for these cases. +language = None + +# There are two options for replacing |today|: either, you set today to some +# non-false value, then it is used: +#today = '' +# Else, today_fmt is used as the format for a strftime call. +#today_fmt = '%B %d, %Y' + +# List of patterns, relative to source directory, that match files and +# directories to ignore when looking for source files. +exclude_patterns = ['.build', 'release.rst'] + +# The reST default role (used for this markup: `text`) to use for all +# documents. +default_role = 'any' + +# If true, '()' will be appended to :func: etc. cross-reference text. +#add_function_parentheses = True + +# If true, the current module name will be prepended to all description +# unit titles (such as .. function::). +#add_module_names = True + +# If true, sectionauthor and moduleauthor directives will be shown in the +# output. They are ignored by default. +#show_authors = False + +# The name of the Pygments (syntax highlighting) style to use. +#pygments_style = 'monokai' + +# A list of ignored prefixes for module index sorting. +#modindex_common_prefix = [] + +# If true, keep warnings as "system message" paragraphs in the built documents. +#keep_warnings = False + +# If true, `todo` and `todoList` produce output, else they produce nothing. +todo_include_todos = False + + +# -- Options for HTML output ---------------------------------------------- + +# The theme to use for HTML and HTML Help pages. See the documentation for +# a list of builtin themes. + +on_rtd = os.environ.get('READTHEDOCS', None) == 'True' + +if not on_rtd: # only import and set the theme if we're building docs locally + import sphinx_rtd_theme + html_theme = 'sphinx_rtd_theme' + html_theme_path = [sphinx_rtd_theme.get_html_theme_path()] + + html_context = { + 'css_files': [ + '_static/theme_overrides.css' + ] + } +else: + html_context = { + 'css_files': [ + '//media.readthedocs.org/css/sphinx_rtd_theme.css', + '//media.readthedocs.org/css/readthedocs-doc-embed.css', + '_static/theme_overrides.css' + ] + } + +# Theme options are theme-specific and customize the look and feel of a theme +# further. For a list of options available for each theme, see the +# documentation. +#html_theme_options = {} + +# Add any paths that contain custom themes here, relative to this directory. +#html_theme_path = [] + +# The name for this set of Sphinx documents. If None, it defaults to +# " v documentation". +#html_title = None + +# A shorter title for the navigation bar. Default is the same as html_title. +#html_short_title = None + +# The name of an image file (relative to this directory) to place at the top +# of the sidebar. +#html_logo = None + +# The name of an image file (within the static path) to use as favicon of the +# docs. This file should be a Windows icon file (.ico) being 16x16 or 32x32 +# pixels large. +#html_favicon = None + +# Add any paths that contain custom static files (such as style sheets) here, +# relative to this directory. They are copied after the builtin static files, +# so a file named "default.css" will overwrite the builtin "default.css". +html_static_path = ['_static'] + +# Add any extra paths that contain custom files (such as robots.txt or +# .htaccess) here, relative to this directory. These files are copied +# directly to the root of the documentation. +#html_extra_path = [] + +# If not '', a 'Last updated on:' timestamp is inserted at every page bottom, +# using the given strftime format. +#html_last_updated_fmt = '%b %d, %Y' + +# If true, SmartyPants will be used to convert quotes and dashes to +# typographically correct entities. +#html_use_smartypants = True + +# Custom sidebar templates, maps document names to template names. +#html_sidebars = {} + +# Additional templates that should be rendered to pages, maps page names to +# template names. +#html_additional_pages = {} + +# If false, no module index is generated. +#html_domain_indices = True + +# If false, no index is generated. +#html_use_index = True + +# If true, the index is split into individual pages for each letter. +#html_split_index = False + +# If true, links to the reST sources are added to the pages. +#html_show_sourcelink = True + +# If true, "Created using Sphinx" is shown in the HTML footer. Default is True. +#html_show_sphinx = True + +# If true, "(C) Copyright ..." is shown in the HTML footer. Default is True. +#html_show_copyright = True + +# If true, an OpenSearch description file will be output, and all pages will +# contain a tag referring to it. The value of this option must be the +# base URL from which the finished HTML is served. +#html_use_opensearch = '' + +# This is the file name suffix for HTML files (e.g. ".xhtml"). +#html_file_suffix = None + +# Language to be used for generating the HTML full-text search index. +# Sphinx supports the following languages: +# 'da', 'de', 'en', 'es', 'fi', 'fr', 'h', 'it', 'ja' +# 'nl', 'no', 'pt', 'ro', 'r', 'sv', 'tr' +#html_search_language = 'en' + +# A dictionary with options for the search language support, empty by default. +# Now only 'ja' uses this config value +#html_search_options = {'type': 'default'} + +# The name of a javascript file (relative to the configuration directory) that +# implements a search results scorer. If empty, the default will be used. +#html_search_scorer = 'scorer.js' + +# Output file base name for HTML help builder. +htmlhelp_basename = 'pybind11doc' + +# -- Options for LaTeX output --------------------------------------------- + +latex_elements = { +# The paper size ('letterpaper' or 'a4paper'). +#'papersize': 'letterpaper', + +# The font size ('10pt', '11pt' or '12pt'). +#'pointsize': '10pt', + +# Additional stuff for the LaTeX preamble. +'preamble': '\DeclareUnicodeCharacter{00A0}{}', + +# Latex figure (float) alignment +#'figure_align': 'htbp', +} + +# Grouping the document tree into LaTeX files. List of tuples +# (source start file, target name, title, +# author, documentclass [howto, manual, or own class]). +latex_documents = [ + (master_doc, 'pybind11.tex', 'pybind11 Documentation', + 'Wenzel Jakob', 'manual'), +] + +# The name of an image file (relative to this directory) to place at the top of +# the title page. +# latex_logo = 'pybind11-logo.png' + +# For "manual" documents, if this is true, then toplevel headings are parts, +# not chapters. +#latex_use_parts = False + +# If true, show page references after internal links. +#latex_show_pagerefs = False + +# If true, show URL addresses after external links. +#latex_show_urls = False + +# Documents to append as an appendix to all manuals. +#latex_appendices = [] + +# If false, no module index is generated. +#latex_domain_indices = True + + +# -- Options for manual page output --------------------------------------- + +# One entry per manual page. List of tuples +# (source start file, name, description, authors, manual section). +man_pages = [ + (master_doc, 'pybind11', 'pybind11 Documentation', + [author], 1) +] + +# If true, show URL addresses after external links. +#man_show_urls = False + + +# -- Options for Texinfo output ------------------------------------------- + +# Grouping the document tree into Texinfo files. List of tuples +# (source start file, target name, title, author, +# dir menu entry, description, category) +texinfo_documents = [ + (master_doc, 'pybind11', 'pybind11 Documentation', + author, 'pybind11', 'One line description of project.', + 'Miscellaneous'), +] + +# Documents to append as an appendix to all manuals. +#texinfo_appendices = [] + +# If false, no module index is generated. +#texinfo_domain_indices = True + +# How to display URL addresses: 'footnote', 'no', or 'inline'. +#texinfo_show_urls = 'footnote' + +# If true, do not generate a @detailmenu in the "Top" node's menu. +#texinfo_no_detailmenu = False + +primary_domain = 'cpp' +highlight_language = 'cpp' + + +def generate_doxygen_xml(app): + build_dir = os.path.join(app.confdir, '.build') + if not os.path.exists(build_dir): + os.mkdir(build_dir) + + try: + subprocess.call(['doxygen', '--version']) + retcode = subprocess.call(['doxygen'], cwd=app.confdir) + if retcode < 0: + sys.stderr.write("doxygen error code: {}\n".format(-retcode)) + except OSError as e: + sys.stderr.write("doxygen execution failed: {}\n".format(e)) + + +def setup(app): + """Add hook for building doxygen xml when needed""" + app.connect("builder-inited", generate_doxygen_xml) diff --git a/external/pybind11/docs/faq.rst b/external/pybind11/docs/faq.rst new file mode 100644 index 0000000..93ccf10 --- /dev/null +++ b/external/pybind11/docs/faq.rst @@ -0,0 +1,297 @@ +Frequently asked questions +########################## + +"ImportError: dynamic module does not define init function" +=========================================================== + +1. Make sure that the name specified in PYBIND11_MODULE is identical to the +filename of the extension library (without prefixes such as .so) + +2. If the above did not fix the issue, you are likely using an incompatible +version of Python (for instance, the extension library was compiled against +Python 2, while the interpreter is running on top of some version of Python +3, or vice versa). + +"Symbol not found: ``__Py_ZeroStruct`` / ``_PyInstanceMethod_Type``" +======================================================================== + +See the first answer. + +"SystemError: dynamic module not initialized properly" +====================================================== + +See the first answer. + +The Python interpreter immediately crashes when importing my module +=================================================================== + +See the first answer. + +CMake doesn't detect the right Python version +============================================= + +The CMake-based build system will try to automatically detect the installed +version of Python and link against that. When this fails, or when there are +multiple versions of Python and it finds the wrong one, delete +``CMakeCache.txt`` and then invoke CMake as follows: + +.. code-block:: bash + + cmake -DPYTHON_EXECUTABLE:FILEPATH= . + +.. _faq_reference_arguments: + +Limitations involving reference arguments +========================================= + +In C++, it's fairly common to pass arguments using mutable references or +mutable pointers, which allows both read and write access to the value +supplied by the caller. This is sometimes done for efficiency reasons, or to +realize functions that have multiple return values. Here are two very basic +examples: + +.. code-block:: cpp + + void increment(int &i) { i++; } + void increment_ptr(int *i) { (*i)++; } + +In Python, all arguments are passed by reference, so there is no general +issue in binding such code from Python. + +However, certain basic Python types (like ``str``, ``int``, ``bool``, +``float``, etc.) are **immutable**. This means that the following attempt +to port the function to Python doesn't have the same effect on the value +provided by the caller -- in fact, it does nothing at all. + +.. code-block:: python + + def increment(i): + i += 1 # nope.. + +pybind11 is also affected by such language-level conventions, which means that +binding ``increment`` or ``increment_ptr`` will also create Python functions +that don't modify their arguments. + +Although inconvenient, one workaround is to encapsulate the immutable types in +a custom type that does allow modifications. + +An other alternative involves binding a small wrapper lambda function that +returns a tuple with all output arguments (see the remainder of the +documentation for examples on binding lambda functions). An example: + +.. code-block:: cpp + + int foo(int &i) { i++; return 123; } + +and the binding code + +.. code-block:: cpp + + m.def("foo", [](int i) { int rv = foo(i); return std::make_tuple(rv, i); }); + + +How can I reduce the build time? +================================ + +It's good practice to split binding code over multiple files, as in the +following example: + +:file:`example.cpp`: + +.. code-block:: cpp + + void init_ex1(py::module &); + void init_ex2(py::module &); + /* ... */ + + PYBIND11_MODULE(example, m) { + init_ex1(m); + init_ex2(m); + /* ... */ + } + +:file:`ex1.cpp`: + +.. code-block:: cpp + + void init_ex1(py::module &m) { + m.def("add", [](int a, int b) { return a + b; }); + } + +:file:`ex2.cpp`: + +.. code-block:: cpp + + void init_ex2(py::module &m) { + m.def("sub", [](int a, int b) { return a - b; }); + } + +:command:`python`: + +.. code-block:: pycon + + >>> import example + >>> example.add(1, 2) + 3 + >>> example.sub(1, 1) + 0 + +As shown above, the various ``init_ex`` functions should be contained in +separate files that can be compiled independently from one another, and then +linked together into the same final shared object. Following this approach +will: + +1. reduce memory requirements per compilation unit. + +2. enable parallel builds (if desired). + +3. allow for faster incremental builds. For instance, when a single class + definition is changed, only a subset of the binding code will generally need + to be recompiled. + +"recursive template instantiation exceeded maximum depth of 256" +================================================================ + +If you receive an error about excessive recursive template evaluation, try +specifying a larger value, e.g. ``-ftemplate-depth=1024`` on GCC/Clang. The +culprit is generally the generation of function signatures at compile time +using C++14 template metaprogramming. + +.. _`faq:hidden_visibility`: + +"ā€˜SomeClassā€™ declared with greater visibility than the type of its field ā€˜SomeClass::memberā€™ [-Wattributes]" +============================================================================================================ + +This error typically indicates that you are compiling without the required +``-fvisibility`` flag. pybind11 code internally forces hidden visibility on +all internal code, but if non-hidden (and thus *exported*) code attempts to +include a pybind type (for example, ``py::object`` or ``py::list``) you can run +into this warning. + +To avoid it, make sure you are specifying ``-fvisibility=hidden`` when +compiling pybind code. + +As to why ``-fvisibility=hidden`` is necessary, because pybind modules could +have been compiled under different versions of pybind itself, it is also +important that the symbols defined in one module do not clash with the +potentially-incompatible symbols defined in another. While Python extension +modules are usually loaded with localized symbols (under POSIX systems +typically using ``dlopen`` with the ``RTLD_LOCAL`` flag), this Python default +can be changed, but even if it isn't it is not always enough to guarantee +complete independence of the symbols involved when not using +``-fvisibility=hidden``. + +Additionally, ``-fvisiblity=hidden`` can deliver considerably binary size +savings. (See the following section for more details). + + +.. _`faq:symhidden`: + +How can I create smaller binaries? +================================== + +To do its job, pybind11 extensively relies on a programming technique known as +*template metaprogramming*, which is a way of performing computation at compile +time using type information. Template metaprogamming usually instantiates code +involving significant numbers of deeply nested types that are either completely +removed or reduced to just a few instructions during the compiler's optimization +phase. However, due to the nested nature of these types, the resulting symbol +names in the compiled extension library can be extremely long. For instance, +the included test suite contains the following symbol: + +.. only:: html + + .. code-block:: none + + _ā€‹_ā€‹Zā€‹Nā€‹8ā€‹pā€‹yā€‹bā€‹iā€‹nā€‹dā€‹1ā€‹1ā€‹1ā€‹2ā€‹cā€‹pā€‹pā€‹_ā€‹fā€‹uā€‹nā€‹cā€‹tā€‹iā€‹oā€‹nā€‹Cā€‹1ā€‹Iā€‹vā€‹8ā€‹Eā€‹xā€‹aā€‹mā€‹pā€‹lā€‹eā€‹2ā€‹Jā€‹Rā€‹Nā€‹Sā€‹tā€‹3ā€‹_ā€‹_ā€‹1ā€‹6ā€‹vā€‹eā€‹cā€‹tā€‹oā€‹rā€‹Iā€‹Nā€‹Sā€‹3ā€‹_ā€‹1ā€‹2ā€‹bā€‹aā€‹sā€‹iā€‹cā€‹_ā€‹sā€‹tā€‹rā€‹iā€‹nā€‹gā€‹Iā€‹wā€‹Nā€‹Sā€‹3ā€‹_ā€‹1ā€‹1ā€‹cā€‹hā€‹aā€‹rā€‹_ā€‹tā€‹rā€‹aā€‹iā€‹tā€‹sā€‹Iā€‹wā€‹Eā€‹Eā€‹Nā€‹Sā€‹3ā€‹_ā€‹9ā€‹aā€‹lā€‹lā€‹oā€‹cā€‹aā€‹tā€‹oā€‹rā€‹Iā€‹wā€‹Eā€‹Eā€‹Eā€‹Eā€‹Nā€‹Sā€‹8ā€‹_ā€‹Iā€‹Sā€‹Aā€‹_ā€‹Eā€‹Eā€‹Eā€‹Eā€‹Eā€‹Jā€‹Nā€‹Sā€‹_ā€‹4ā€‹nā€‹aā€‹mā€‹eā€‹Eā€‹Nā€‹Sā€‹_ā€‹7ā€‹sā€‹iā€‹bā€‹lā€‹iā€‹nā€‹gā€‹Eā€‹Nā€‹Sā€‹_ā€‹9ā€‹iā€‹sā€‹_ā€‹mā€‹eā€‹tā€‹hā€‹oā€‹dā€‹Eā€‹Aā€‹2ā€‹8ā€‹_ā€‹cā€‹Eā€‹Eā€‹Eā€‹Mā€‹Tā€‹0ā€‹_ā€‹Fā€‹Tā€‹_ā€‹Dā€‹pā€‹Tā€‹1ā€‹_ā€‹Eā€‹Dā€‹pā€‹Rā€‹Kā€‹Tā€‹2ā€‹_ + +.. only:: not html + + .. code-block:: cpp + + __ZN8pybind1112cpp_functionC1Iv8Example2JRNSt3__16vectorINS3_12basic_stringIwNS3_11char_traitsIwEENS3_9allocatorIwEEEENS8_ISA_EEEEEJNS_4nameENS_7siblingENS_9is_methodEA28_cEEEMT0_FT_DpT1_EDpRKT2_ + +which is the mangled form of the following function type: + +.. code-block:: cpp + + pybind11::cpp_function::cpp_function, std::__1::allocator >, std::__1::allocator, std::__1::allocator > > >&, pybind11::name, pybind11::sibling, pybind11::is_method, char [28]>(void (Example2::*)(std::__1::vector, std::__1::allocator >, std::__1::allocator, std::__1::allocator > > >&), pybind11::name const&, pybind11::sibling const&, pybind11::is_method const&, char const (&) [28]) + +The memory needed to store just the mangled name of this function (196 bytes) +is larger than the actual piece of code (111 bytes) it represents! On the other +hand, it's silly to even give this function a name -- after all, it's just a +tiny cog in a bigger piece of machinery that is not exposed to the outside +world. So we'll generally only want to export symbols for those functions which +are actually called from the outside. + +This can be achieved by specifying the parameter ``-fvisibility=hidden`` to GCC +and Clang, which sets the default symbol visibility to *hidden*, which has a +tremendous impact on the final binary size of the resulting extension library. +(On Visual Studio, symbols are already hidden by default, so nothing needs to +be done there.) + +In addition to decreasing binary size, ``-fvisibility=hidden`` also avoids +potential serious issues when loading multiple modules and is required for +proper pybind operation. See the previous FAQ entry for more details. + +Working with ancient Visual Studio 2008 builds on Windows +========================================================= + +The official Windows distributions of Python are compiled using truly +ancient versions of Visual Studio that lack good C++11 support. Some users +implicitly assume that it would be impossible to load a plugin built with +Visual Studio 2015 into a Python distribution that was compiled using Visual +Studio 2008. However, no such issue exists: it's perfectly legitimate to +interface DLLs that are built with different compilers and/or C libraries. +Common gotchas to watch out for involve not ``free()``-ing memory region +that that were ``malloc()``-ed in another shared library, using data +structures with incompatible ABIs, and so on. pybind11 is very careful not +to make these types of mistakes. + +Inconsistent detection of Python version in CMake and pybind11 +============================================================== + +The functions ``find_package(PythonInterp)`` and ``find_package(PythonLibs)`` provided by CMake +for Python version detection are not used by pybind11 due to unreliability and limitations that make +them unsuitable for pybind11's needs. Instead pybind provides its own, more reliable Python detection +CMake code. Conflicts can arise, however, when using pybind11 in a project that *also* uses the CMake +Python detection in a system with several Python versions installed. + +This difference may cause inconsistencies and errors if *both* mechanisms are used in the same project. Consider the following +Cmake code executed in a system with Python 2.7 and 3.x installed: + +.. code-block:: cmake + + find_package(PythonInterp) + find_package(PythonLibs) + find_package(pybind11) + +It will detect Python 2.7 and pybind11 will pick it as well. + +In contrast this code: + +.. code-block:: cmake + + find_package(pybind11) + find_package(PythonInterp) + find_package(PythonLibs) + +will detect Python 3.x for pybind11 and may crash on ``find_package(PythonLibs)`` afterwards. + +It is advised to avoid using ``find_package(PythonInterp)`` and ``find_package(PythonLibs)`` from CMake and rely +on pybind11 in detecting Python version. If this is not possible CMake machinery should be called *before* including pybind11. + +How to cite this project? +========================= + +We suggest the following BibTeX template to cite pybind11 in scientific +discourse: + +.. code-block:: bash + + @misc{pybind11, + author = {Wenzel Jakob and Jason Rhinelander and Dean Moldovan}, + year = {2017}, + note = {https://github.com/pybind/pybind11}, + title = {pybind11 -- Seamless operability between C++11 and Python} + } diff --git a/external/pybind11/docs/index.rst b/external/pybind11/docs/index.rst new file mode 100644 index 0000000..d236611 --- /dev/null +++ b/external/pybind11/docs/index.rst @@ -0,0 +1,47 @@ +.. only: not latex + + .. image:: pybind11-logo.png + +pybind11 --- Seamless operability between C++11 and Python +========================================================== + +.. only: not latex + + Contents: + +.. toctree:: + :maxdepth: 1 + + intro + changelog + upgrade + +.. toctree:: + :caption: The Basics + :maxdepth: 2 + + basics + classes + compiling + +.. toctree:: + :caption: Advanced Topics + :maxdepth: 2 + + advanced/functions + advanced/classes + advanced/exceptions + advanced/smart_ptrs + advanced/cast/index + advanced/pycpp/index + advanced/embedding + advanced/misc + +.. toctree:: + :caption: Extra Information + :maxdepth: 1 + + faq + benchmark + limitations + reference diff --git a/external/pybind11/docs/intro.rst b/external/pybind11/docs/intro.rst new file mode 100644 index 0000000..10e1799 --- /dev/null +++ b/external/pybind11/docs/intro.rst @@ -0,0 +1,93 @@ +.. image:: pybind11-logo.png + +About this project +================== +**pybind11** is a lightweight header-only library that exposes C++ types in Python +and vice versa, mainly to create Python bindings of existing C++ code. Its +goals and syntax are similar to the excellent `Boost.Python`_ library by David +Abrahams: to minimize boilerplate code in traditional extension modules by +inferring type information using compile-time introspection. + +.. _Boost.Python: http://www.boost.org/doc/libs/release/libs/python/doc/index.html + +The main issue with Boost.Pythonā€”and the reason for creating such a similar +projectā€”is Boost. Boost is an enormously large and complex suite of utility +libraries that works with almost every C++ compiler in existence. This +compatibility has its cost: arcane template tricks and workarounds are +necessary to support the oldest and buggiest of compiler specimens. Now that +C++11-compatible compilers are widely available, this heavy machinery has +become an excessively large and unnecessary dependency. +Think of this library as a tiny self-contained version of Boost.Python with +everything stripped away that isn't relevant for binding generation. Without +comments, the core header files only require ~4K lines of code and depend on +Python (2.7 or 3.x, or PyPy2.7 >= 5.7) and the C++ standard library. This +compact implementation was possible thanks to some of the new C++11 language +features (specifically: tuples, lambda functions and variadic templates). Since +its creation, this library has grown beyond Boost.Python in many ways, leading +to dramatically simpler binding code in many common situations. + +Core features +************* +The following core C++ features can be mapped to Python + +- Functions accepting and returning custom data structures per value, reference, or pointer +- Instance methods and static methods +- Overloaded functions +- Instance attributes and static attributes +- Arbitrary exception types +- Enumerations +- Callbacks +- Iterators and ranges +- Custom operators +- Single and multiple inheritance +- STL data structures +- Smart pointers with reference counting like ``std::shared_ptr`` +- Internal references with correct reference counting +- C++ classes with virtual (and pure virtual) methods can be extended in Python + +Goodies +******* +In addition to the core functionality, pybind11 provides some extra goodies: + +- Python 2.7, 3.x, and PyPy (PyPy2.7 >= 5.7) are supported with an + implementation-agnostic interface. + +- It is possible to bind C++11 lambda functions with captured variables. The + lambda capture data is stored inside the resulting Python function object. + +- pybind11 uses C++11 move constructors and move assignment operators whenever + possible to efficiently transfer custom data types. + +- It's easy to expose the internal storage of custom data types through + Pythons' buffer protocols. This is handy e.g. for fast conversion between + C++ matrix classes like Eigen and NumPy without expensive copy operations. + +- pybind11 can automatically vectorize functions so that they are transparently + applied to all entries of one or more NumPy array arguments. + +- Python's slice-based access and assignment operations can be supported with + just a few lines of code. + +- Everything is contained in just a few header files; there is no need to link + against any additional libraries. + +- Binaries are generally smaller by a factor of at least 2 compared to + equivalent bindings generated by Boost.Python. A recent pybind11 conversion + of `PyRosetta`_, an enormous Boost.Python binding project, reported a binary + size reduction of **5.4x** and compile time reduction by **5.8x**. + +- Function signatures are precomputed at compile time (using ``constexpr``), + leading to smaller binaries. + +- With little extra effort, C++ types can be pickled and unpickled similar to + regular Python objects. + +.. _PyRosetta: http://graylab.jhu.edu/RosettaCon2016/PyRosetta-4.pdf + +Supported compilers +******************* + +1. Clang/LLVM (any non-ancient version with C++11 support) +2. GCC 4.8 or newer +3. Microsoft Visual Studio 2015 or newer +4. Intel C++ compiler v17 or newer (v16 with pybind11 v2.0 and v15 with pybind11 v2.0 and a `workaround `_ ) diff --git a/external/pybind11/docs/limitations.rst b/external/pybind11/docs/limitations.rst new file mode 100644 index 0000000..a1a4f1a --- /dev/null +++ b/external/pybind11/docs/limitations.rst @@ -0,0 +1,20 @@ +Limitations +########### + +pybind11 strives to be a general solution to binding generation, but it also has +certain limitations: + +- pybind11 casts away ``const``-ness in function arguments and return values. + This is in line with the Python language, which has no concept of ``const`` + values. This means that some additional care is needed to avoid bugs that + would be caught by the type checker in a traditional C++ program. + +- The NumPy interface ``pybind11::array`` greatly simplifies accessing + numerical data from C++ (and vice versa), but it's not a full-blown array + class like ``Eigen::Array`` or ``boost.multi_array``. + +These features could be implemented but would lead to a significant increase in +complexity. I've decided to draw the line here to keep this project simple and +compact. Users who absolutely require these features are encouraged to fork +pybind11. + diff --git a/external/pybind11/docs/pybind11-logo.png b/external/pybind11/docs/pybind11-logo.png new file mode 100644 index 0000000..4cbad54 Binary files /dev/null and b/external/pybind11/docs/pybind11-logo.png differ diff --git a/external/pybind11/docs/pybind11_vs_boost_python1.png b/external/pybind11/docs/pybind11_vs_boost_python1.png new file mode 100644 index 0000000..833231f Binary files /dev/null and b/external/pybind11/docs/pybind11_vs_boost_python1.png differ diff --git a/external/pybind11/docs/pybind11_vs_boost_python1.svg b/external/pybind11/docs/pybind11_vs_boost_python1.svg new file mode 100644 index 0000000..5bf950e --- /dev/null +++ b/external/pybind11/docs/pybind11_vs_boost_python1.svg @@ -0,0 +1,427 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/external/pybind11/docs/pybind11_vs_boost_python2.png b/external/pybind11/docs/pybind11_vs_boost_python2.png new file mode 100644 index 0000000..9f17272 Binary files /dev/null and b/external/pybind11/docs/pybind11_vs_boost_python2.png differ diff --git a/external/pybind11/docs/pybind11_vs_boost_python2.svg b/external/pybind11/docs/pybind11_vs_boost_python2.svg new file mode 100644 index 0000000..5ed6530 --- /dev/null +++ b/external/pybind11/docs/pybind11_vs_boost_python2.svg @@ -0,0 +1,427 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/external/pybind11/docs/reference.rst b/external/pybind11/docs/reference.rst new file mode 100644 index 0000000..a9fbe60 --- /dev/null +++ b/external/pybind11/docs/reference.rst @@ -0,0 +1,117 @@ +.. _reference: + +.. warning:: + + Please be advised that the reference documentation discussing pybind11 + internals is currently incomplete. Please refer to the previous sections + and the pybind11 header files for the nitty gritty details. + +Reference +######### + +.. _macros: + +Macros +====== + +.. doxygendefine:: PYBIND11_MODULE + +.. _core_types: + +Convenience classes for arbitrary Python types +============================================== + +Common member functions +----------------------- + +.. doxygenclass:: object_api + :members: + +Without reference counting +-------------------------- + +.. doxygenclass:: handle + :members: + +With reference counting +----------------------- + +.. doxygenclass:: object + :members: + +.. doxygenfunction:: reinterpret_borrow + +.. doxygenfunction:: reinterpret_steal + +Convenience classes for specific Python types +============================================= + +.. doxygenclass:: module + :members: + +.. doxygengroup:: pytypes + :members: + +.. _extras: + +Passing extra arguments to ``def`` or ``class_`` +================================================ + +.. doxygengroup:: annotations + :members: + +Embedding the interpreter +========================= + +.. doxygendefine:: PYBIND11_EMBEDDED_MODULE + +.. doxygenfunction:: initialize_interpreter + +.. doxygenfunction:: finalize_interpreter + +.. doxygenclass:: scoped_interpreter + +Redirecting C++ streams +======================= + +.. doxygenclass:: scoped_ostream_redirect + +.. doxygenclass:: scoped_estream_redirect + +.. doxygenfunction:: add_ostream_redirect + +Python built-in functions +========================= + +.. doxygengroup:: python_builtins + :members: + +Inheritance +=========== + +See :doc:`/classes` and :doc:`/advanced/classes` for more detail. + +.. doxygendefine:: PYBIND11_OVERLOAD + +.. doxygendefine:: PYBIND11_OVERLOAD_PURE + +.. doxygendefine:: PYBIND11_OVERLOAD_NAME + +.. doxygendefine:: PYBIND11_OVERLOAD_PURE_NAME + +.. doxygenfunction:: get_overload + +Exceptions +========== + +.. doxygenclass:: error_already_set + :members: + +.. doxygenclass:: builtin_exception + :members: + + +Literals +======== + +.. doxygennamespace:: literals diff --git a/external/pybind11/docs/release.rst b/external/pybind11/docs/release.rst new file mode 100644 index 0000000..9846f97 --- /dev/null +++ b/external/pybind11/docs/release.rst @@ -0,0 +1,21 @@ +To release a new version of pybind11: + +- Update the version number and push to pypi + - Update ``pybind11/_version.py`` (set release version, remove 'dev'). + - Update ``PYBIND11_VERSION_MAJOR`` etc. in ``include/pybind11/detail/common.h``. + - Ensure that all the information in ``setup.py`` is up-to-date. + - Update version in ``docs/conf.py``. + - Tag release date in ``docs/changelog.rst``. + - ``git add`` and ``git commit``. + - if new minor version: ``git checkout -b vX.Y``, ``git push -u origin vX.Y`` + - ``git tag -a vX.Y.Z -m 'vX.Y.Z release'``. + - ``git push`` + - ``git push --tags``. + - ``python setup.py sdist upload``. + - ``python setup.py bdist_wheel upload``. +- Get back to work + - Update ``_version.py`` (add 'dev' and increment minor). + - Update version in ``docs/conf.py`` + - Update version macros in ``include/pybind11/common.h`` + - ``git add`` and ``git commit``. + ``git push`` diff --git a/external/pybind11/docs/requirements.txt b/external/pybind11/docs/requirements.txt new file mode 100644 index 0000000..3818fe8 --- /dev/null +++ b/external/pybind11/docs/requirements.txt @@ -0,0 +1 @@ +breathe == 4.5.0 diff --git a/external/pybind11/docs/upgrade.rst b/external/pybind11/docs/upgrade.rst new file mode 100644 index 0000000..3f56973 --- /dev/null +++ b/external/pybind11/docs/upgrade.rst @@ -0,0 +1,404 @@ +Upgrade guide +############# + +This is a companion guide to the :doc:`changelog`. While the changelog briefly +lists all of the new features, improvements and bug fixes, this upgrade guide +focuses only the subset which directly impacts your experience when upgrading +to a new version. But it goes into more detail. This includes things like +deprecated APIs and their replacements, build system changes, general code +modernization and other useful information. + + +v2.2 +==== + +Deprecation of the ``PYBIND11_PLUGIN`` macro +-------------------------------------------- + +``PYBIND11_MODULE`` is now the preferred way to create module entry points. +The old macro emits a compile-time deprecation warning. + +.. code-block:: cpp + + // old + PYBIND11_PLUGIN(example) { + py::module m("example", "documentation string"); + + m.def("add", [](int a, int b) { return a + b; }); + + return m.ptr(); + } + + // new + PYBIND11_MODULE(example, m) { + m.doc() = "documentation string"; // optional + + m.def("add", [](int a, int b) { return a + b; }); + } + + +New API for defining custom constructors and pickling functions +--------------------------------------------------------------- + +The old placement-new custom constructors have been deprecated. The new approach +uses ``py::init()`` and factory functions to greatly improve type safety. + +Placement-new can be called accidentally with an incompatible type (without any +compiler errors or warnings), or it can initialize the same object multiple times +if not careful with the Python-side ``__init__`` calls. The new-style custom +constructors prevent such mistakes. See :ref:`custom_constructors` for details. + +.. code-block:: cpp + + // old -- deprecated (runtime warning shown only in debug mode) + py::class(m, "Foo") + .def("__init__", [](Foo &self, ...) { + new (&self) Foo(...); // uses placement-new + }); + + // new + py::class(m, "Foo") + .def(py::init([](...) { // Note: no `self` argument + return new Foo(...); // return by raw pointer + // or: return std::make_unique(...); // return by holder + // or: return Foo(...); // return by value (move constructor) + })); + +Mirroring the custom constructor changes, ``py::pickle()`` is now the preferred +way to get and set object state. See :ref:`pickling` for details. + +.. code-block:: cpp + + // old -- deprecated (runtime warning shown only in debug mode) + py::class(m, "Foo") + ... + .def("__getstate__", [](const Foo &self) { + return py::make_tuple(self.value1(), self.value2(), ...); + }) + .def("__setstate__", [](Foo &self, py::tuple t) { + new (&self) Foo(t[0].cast(), ...); + }); + + // new + py::class(m, "Foo") + ... + .def(py::pickle( + [](const Foo &self) { // __getstate__ + return py::make_tuple(f.value1(), f.value2(), ...); // unchanged + }, + [](py::tuple t) { // __setstate__, note: no `self` argument + return new Foo(t[0].cast(), ...); + // or: return std::make_unique(...); // return by holder + // or: return Foo(...); // return by value (move constructor) + } + )); + +For both the constructors and pickling, warnings are shown at module +initialization time (on import, not when the functions are called). +They're only visible when compiled in debug mode. Sample warning: + +.. code-block:: none + + pybind11-bound class 'mymodule.Foo' is using an old-style placement-new '__init__' + which has been deprecated. See the upgrade guide in pybind11's docs. + + +Stricter enforcement of hidden symbol visibility for pybind11 modules +--------------------------------------------------------------------- + +pybind11 now tries to actively enforce hidden symbol visibility for modules. +If you're using either one of pybind11's :doc:`CMake or Python build systems +` (the two example repositories) and you haven't been exporting any +symbols, there's nothing to be concerned about. All the changes have been done +transparently in the background. If you were building manually or relied on +specific default visibility, read on. + +Setting default symbol visibility to *hidden* has always been recommended for +pybind11 (see :ref:`faq:symhidden`). On Linux and macOS, hidden symbol +visibility (in conjunction with the ``strip`` utility) yields much smaller +module binaries. `CPython's extension docs`_ also recommend hiding symbols +by default, with the goal of avoiding symbol name clashes between modules. +Starting with v2.2, pybind11 enforces this more strictly: (1) by declaring +all symbols inside the ``pybind11`` namespace as hidden and (2) by including +the ``-fvisibility=hidden`` flag on Linux and macOS (only for extension +modules, not for embedding the interpreter). + +.. _CPython's extension docs: https://docs.python.org/3/extending/extending.html#providing-a-c-api-for-an-extension-module + +The namespace-scope hidden visibility is done automatically in pybind11's +headers and it's generally transparent to users. It ensures that: + +* Modules compiled with different pybind11 versions don't clash with each other. + +* Some new features, like ``py::module_local`` bindings, can work as intended. + +The ``-fvisibility=hidden`` flag applies the same visibility to user bindings +outside of the ``pybind11`` namespace. It's now set automatic by pybind11's +CMake and Python build systems, but this needs to be done manually by users +of other build systems. Adding this flag: + +* Minimizes the chances of symbol conflicts between modules. E.g. if two + unrelated modules were statically linked to different (ABI-incompatible) + versions of the same third-party library, a symbol clash would be likely + (and would end with unpredictable results). + +* Produces smaller binaries on Linux and macOS, as pointed out previously. + +Within pybind11's CMake build system, ``pybind11_add_module`` has always been +setting the ``-fvisibility=hidden`` flag in release mode. From now on, it's +being applied unconditionally, even in debug mode and it can no longer be opted +out of with the ``NO_EXTRAS`` option. The ``pybind11::module`` target now also +adds this flag to it's interface. The ``pybind11::embed`` target is unchanged. + +The most significant change here is for the ``pybind11::module`` target. If you +were previously relying on default visibility, i.e. if your Python module was +doubling as a shared library with dependents, you'll need to either export +symbols manually (recommended for cross-platform libraries) or factor out the +shared library (and have the Python module link to it like the other +dependents). As a temporary workaround, you can also restore default visibility +using the CMake code below, but this is not recommended in the long run: + +.. code-block:: cmake + + target_link_libraries(mymodule PRIVATE pybind11::module) + + add_library(restore_default_visibility INTERFACE) + target_compile_options(restore_default_visibility INTERFACE -fvisibility=default) + target_link_libraries(mymodule PRIVATE restore_default_visibility) + + +Local STL container bindings +---------------------------- + +Previous pybind11 versions could only bind types globally -- all pybind11 +modules, even unrelated ones, would have access to the same exported types. +However, this would also result in a conflict if two modules exported the +same C++ type, which is especially problematic for very common types, e.g. +``std::vector``. :ref:`module_local` were added to resolve this (see +that section for a complete usage guide). + +``py::class_`` still defaults to global bindings (because these types are +usually unique across modules), however in order to avoid clashes of opaque +types, ``py::bind_vector`` and ``py::bind_map`` will now bind STL containers +as ``py::module_local`` if their elements are: builtins (``int``, ``float``, +etc.), not bound using ``py::class_``, or bound as ``py::module_local``. For +example, this change allows multiple modules to bind ``std::vector`` +without causing conflicts. See :ref:`stl_bind` for more details. + +When upgrading to this version, if you have multiple modules which depend on +a single global binding of an STL container, note that all modules can still +accept foreign ``py::module_local`` types in the direction of Python-to-C++. +The locality only affects the C++-to-Python direction. If this is needed in +multiple modules, you'll need to either: + +* Add a copy of the same STL binding to all of the modules which need it. + +* Restore the global status of that single binding by marking it + ``py::module_local(false)``. + +The latter is an easy workaround, but in the long run it would be best to +localize all common type bindings in order to avoid conflicts with +third-party modules. + + +Negative strides for Python buffer objects and numpy arrays +----------------------------------------------------------- + +Support for negative strides required changing the integer type from unsigned +to signed in the interfaces of ``py::buffer_info`` and ``py::array``. If you +have compiler warnings enabled, you may notice some new conversion warnings +after upgrading. These can be resolved using ``static_cast``. + + +Deprecation of some ``py::object`` APIs +--------------------------------------- + +To compare ``py::object`` instances by pointer, you should now use +``obj1.is(obj2)`` which is equivalent to ``obj1 is obj2`` in Python. +Previously, pybind11 used ``operator==`` for this (``obj1 == obj2``), but +that could be confusing and is now deprecated (so that it can eventually +be replaced with proper rich object comparison in a future release). + +For classes which inherit from ``py::object``, ``borrowed`` and ``stolen`` +were previously available as protected constructor tags. Now the types +should be used directly instead: ``borrowed_t{}`` and ``stolen_t{}`` +(`#771 `_). + + +Stricter compile-time error checking +------------------------------------ + +Some error checks have been moved from run time to compile time. Notably, +automatic conversion of ``std::shared_ptr`` is not possible when ``T`` is +not directly registered with ``py::class_`` (e.g. ``std::shared_ptr`` +or ``std::shared_ptr>`` are not automatically convertible). +Attempting to bind a function with such arguments now results in a compile-time +error instead of waiting to fail at run time. + +``py::init<...>()`` constructor definitions are also stricter and now prevent +bindings which could cause unexpected behavior: + +.. code-block:: cpp + + struct Example { + Example(int &); + }; + + py::class_(m, "Example") + .def(py::init()); // OK, exact match + // .def(py::init()); // compile-time error, mismatch + +A non-``const`` lvalue reference is not allowed to bind to an rvalue. However, +note that a constructor taking ``const T &`` can still be registered using +``py::init()`` because a ``const`` lvalue reference can bind to an rvalue. + +v2.1 +==== + +Minimum compiler versions are enforced at compile time +------------------------------------------------------ + +The minimums also apply to v2.0 but the check is now explicit and a compile-time +error is raised if the compiler does not meet the requirements: + +* GCC >= 4.8 +* clang >= 3.3 (appleclang >= 5.0) +* MSVC >= 2015u3 +* Intel C++ >= 15.0 + + +The ``py::metaclass`` attribute is not required for static properties +--------------------------------------------------------------------- + +Binding classes with static properties is now possible by default. The +zero-parameter version of ``py::metaclass()`` is deprecated. However, a new +one-parameter ``py::metaclass(python_type)`` version was added for rare +cases when a custom metaclass is needed to override pybind11's default. + +.. code-block:: cpp + + // old -- emits a deprecation warning + py::class_(m, "Foo", py::metaclass()) + .def_property_readonly_static("foo", ...); + + // new -- static properties work without the attribute + py::class_(m, "Foo") + .def_property_readonly_static("foo", ...); + + // new -- advanced feature, override pybind11's default metaclass + py::class_(m, "Bar", py::metaclass(custom_python_type)) + ... + + +v2.0 +==== + +Breaking changes in ``py::class_`` +---------------------------------- + +These changes were necessary to make type definitions in pybind11 +future-proof, to support PyPy via its ``cpyext`` mechanism (`#527 +`_), and to improve efficiency +(`rev. 86d825 `_). + +1. Declarations of types that provide access via the buffer protocol must + now include the ``py::buffer_protocol()`` annotation as an argument to + the ``py::class_`` constructor. + + .. code-block:: cpp + + py::class_("Matrix", py::buffer_protocol()) + .def(py::init<...>()) + .def_buffer(...); + +2. Classes which include static properties (e.g. ``def_readwrite_static()``) + must now include the ``py::metaclass()`` attribute. Note: this requirement + has since been removed in v2.1. If you're upgrading from 1.x, it's + recommended to skip directly to v2.1 or newer. + +3. This version of pybind11 uses a redesigned mechanism for instantiating + trampoline classes that are used to override virtual methods from within + Python. This led to the following user-visible syntax change: + + .. code-block:: cpp + + // old v1.x syntax + py::class_("MyClass") + .alias() + ... + + // new v2.x syntax + py::class_("MyClass") + ... + + Importantly, both the original and the trampoline class are now specified + as arguments to the ``py::class_`` template, and the ``alias<..>()`` call + is gone. The new scheme has zero overhead in cases when Python doesn't + override any functions of the underlying C++ class. + `rev. 86d825 `_. + + The class type must be the first template argument given to ``py::class_`` + while the trampoline can be mixed in arbitrary order with other arguments + (see the following section). + + +Deprecation of the ``py::base()`` attribute +---------------------------------------------- + +``py::base()`` was deprecated in favor of specifying ``T`` as a template +argument to ``py::class_``. This new syntax also supports multiple inheritance. +Note that, while the type being exported must be the first argument in the +``py::class_`` template, the order of the following types (bases, +holder and/or trampoline) is not important. + +.. code-block:: cpp + + // old v1.x + py::class_("Derived", py::base()); + + // new v2.x + py::class_("Derived"); + + // new -- multiple inheritance + py::class_("Derived"); + + // new -- apart from `Derived` the argument order can be arbitrary + py::class_("Derived"); + + +Out-of-the-box support for ``std::shared_ptr`` +---------------------------------------------- + +The relevant type caster is now built in, so it's no longer necessary to +include a declaration of the form: + +.. code-block:: cpp + + PYBIND11_DECLARE_HOLDER_TYPE(T, std::shared_ptr) + +Continuing to do so wonā€™t cause an error or even a deprecation warning, +but it's completely redundant. + + +Deprecation of a few ``py::object`` APIs +---------------------------------------- + +All of the old-style calls emit deprecation warnings. + ++---------------------------------------+---------------------------------------------+ +| Old syntax | New syntax | ++=======================================+=============================================+ +| ``obj.call(args...)`` | ``obj(args...)`` | ++---------------------------------------+---------------------------------------------+ +| ``obj.str()`` | ``py::str(obj)`` | ++---------------------------------------+---------------------------------------------+ +| ``auto l = py::list(obj); l.check()`` | ``py::isinstance(obj)`` | ++---------------------------------------+---------------------------------------------+ +| ``py::object(ptr, true)`` | ``py::reinterpret_borrow(ptr)`` | ++---------------------------------------+---------------------------------------------+ +| ``py::object(ptr, false)`` | ``py::reinterpret_steal(ptr)`` | ++---------------------------------------+---------------------------------------------+ +| ``if (obj.attr("foo"))`` | ``if (py::hasattr(obj, "foo"))`` | ++---------------------------------------+---------------------------------------------+ +| ``if (obj["bar"])`` | ``if (obj.contains("bar"))`` | ++---------------------------------------+---------------------------------------------+ diff --git a/external/pybind11/include/pybind11/attr.h b/external/pybind11/include/pybind11/attr.h new file mode 100644 index 0000000..6962d6f --- /dev/null +++ b/external/pybind11/include/pybind11/attr.h @@ -0,0 +1,493 @@ +/* + pybind11/attr.h: Infrastructure for processing custom + type and function attributes + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#pragma once + +#include "cast.h" + +NAMESPACE_BEGIN(PYBIND11_NAMESPACE) + +/// \addtogroup annotations +/// @{ + +/// Annotation for methods +struct is_method { handle class_; is_method(const handle &c) : class_(c) { } }; + +/// Annotation for operators +struct is_operator { }; + +/// Annotation for parent scope +struct scope { handle value; scope(const handle &s) : value(s) { } }; + +/// Annotation for documentation +struct doc { const char *value; doc(const char *value) : value(value) { } }; + +/// Annotation for function names +struct name { const char *value; name(const char *value) : value(value) { } }; + +/// Annotation indicating that a function is an overload associated with a given "sibling" +struct sibling { handle value; sibling(const handle &value) : value(value.ptr()) { } }; + +/// Annotation indicating that a class derives from another given type +template struct base { + PYBIND11_DEPRECATED("base() was deprecated in favor of specifying 'T' as a template argument to class_") + base() { } +}; + +/// Keep patient alive while nurse lives +template struct keep_alive { }; + +/// Annotation indicating that a class is involved in a multiple inheritance relationship +struct multiple_inheritance { }; + +/// Annotation which enables dynamic attributes, i.e. adds `__dict__` to a class +struct dynamic_attr { }; + +/// Annotation which enables the buffer protocol for a type +struct buffer_protocol { }; + +/// Annotation which requests that a special metaclass is created for a type +struct metaclass { + handle value; + + PYBIND11_DEPRECATED("py::metaclass() is no longer required. It's turned on by default now.") + metaclass() {} + + /// Override pybind11's default metaclass + explicit metaclass(handle value) : value(value) { } +}; + +/// Annotation that marks a class as local to the module: +struct module_local { const bool value; constexpr module_local(bool v = true) : value(v) { } }; + +/// Annotation to mark enums as an arithmetic type +struct arithmetic { }; + +/** \rst + A call policy which places one or more guard variables (``Ts...``) around the function call. + + For example, this definition: + + .. code-block:: cpp + + m.def("foo", foo, py::call_guard()); + + is equivalent to the following pseudocode: + + .. code-block:: cpp + + m.def("foo", [](args...) { + T scope_guard; + return foo(args...); // forwarded arguments + }); + \endrst */ +template struct call_guard; + +template <> struct call_guard<> { using type = detail::void_type; }; + +template +struct call_guard { + static_assert(std::is_default_constructible::value, + "The guard type must be default constructible"); + + using type = T; +}; + +template +struct call_guard { + struct type { + T guard{}; // Compose multiple guard types with left-to-right default-constructor order + typename call_guard::type next{}; + }; +}; + +/// @} annotations + +NAMESPACE_BEGIN(detail) +/* Forward declarations */ +enum op_id : int; +enum op_type : int; +struct undefined_t; +template struct op_; +inline void keep_alive_impl(size_t Nurse, size_t Patient, function_call &call, handle ret); + +/// Internal data structure which holds metadata about a keyword argument +struct argument_record { + const char *name; ///< Argument name + const char *descr; ///< Human-readable version of the argument value + handle value; ///< Associated Python object + bool convert : 1; ///< True if the argument is allowed to convert when loading + bool none : 1; ///< True if None is allowed when loading + + argument_record(const char *name, const char *descr, handle value, bool convert, bool none) + : name(name), descr(descr), value(value), convert(convert), none(none) { } +}; + +/// Internal data structure which holds metadata about a bound function (signature, overloads, etc.) +struct function_record { + function_record() + : is_constructor(false), is_new_style_constructor(false), is_stateless(false), + is_operator(false), has_args(false), has_kwargs(false), is_method(false) { } + + /// Function name + char *name = nullptr; /* why no C++ strings? They generate heavier code.. */ + + // User-specified documentation string + char *doc = nullptr; + + /// Human-readable version of the function signature + char *signature = nullptr; + + /// List of registered keyword arguments + std::vector args; + + /// Pointer to lambda function which converts arguments and performs the actual call + handle (*impl) (function_call &) = nullptr; + + /// Storage for the wrapped function pointer and captured data, if any + void *data[3] = { }; + + /// Pointer to custom destructor for 'data' (if needed) + void (*free_data) (function_record *ptr) = nullptr; + + /// Return value policy associated with this function + return_value_policy policy = return_value_policy::automatic; + + /// True if name == '__init__' + bool is_constructor : 1; + + /// True if this is a new-style `__init__` defined in `detail/init.h` + bool is_new_style_constructor : 1; + + /// True if this is a stateless function pointer + bool is_stateless : 1; + + /// True if this is an operator (__add__), etc. + bool is_operator : 1; + + /// True if the function has a '*args' argument + bool has_args : 1; + + /// True if the function has a '**kwargs' argument + bool has_kwargs : 1; + + /// True if this is a method + bool is_method : 1; + + /// Number of arguments (including py::args and/or py::kwargs, if present) + std::uint16_t nargs; + + /// Python method object + PyMethodDef *def = nullptr; + + /// Python handle to the parent scope (a class or a module) + handle scope; + + /// Python handle to the sibling function representing an overload chain + handle sibling; + + /// Pointer to next overload + function_record *next = nullptr; +}; + +/// Special data structure which (temporarily) holds metadata about a bound class +struct type_record { + PYBIND11_NOINLINE type_record() + : multiple_inheritance(false), dynamic_attr(false), buffer_protocol(false), + default_holder(true), module_local(false) { } + + /// Handle to the parent scope + handle scope; + + /// Name of the class + const char *name = nullptr; + + // Pointer to RTTI type_info data structure + const std::type_info *type = nullptr; + + /// How large is the underlying C++ type? + size_t type_size = 0; + + /// What is the alignment of the underlying C++ type? + size_t type_align = 0; + + /// How large is the type's holder? + size_t holder_size = 0; + + /// The global operator new can be overridden with a class-specific variant + void *(*operator_new)(size_t) = nullptr; + + /// Function pointer to class_<..>::init_instance + void (*init_instance)(instance *, const void *) = nullptr; + + /// Function pointer to class_<..>::dealloc + void (*dealloc)(detail::value_and_holder &) = nullptr; + + /// List of base classes of the newly created type + list bases; + + /// Optional docstring + const char *doc = nullptr; + + /// Custom metaclass (optional) + handle metaclass; + + /// Multiple inheritance marker + bool multiple_inheritance : 1; + + /// Does the class manage a __dict__? + bool dynamic_attr : 1; + + /// Does the class implement the buffer protocol? + bool buffer_protocol : 1; + + /// Is the default (unique_ptr) holder type used? + bool default_holder : 1; + + /// Is the class definition local to the module shared object? + bool module_local : 1; + + PYBIND11_NOINLINE void add_base(const std::type_info &base, void *(*caster)(void *)) { + auto base_info = detail::get_type_info(base, false); + if (!base_info) { + std::string tname(base.name()); + detail::clean_type_id(tname); + pybind11_fail("generic_type: type \"" + std::string(name) + + "\" referenced unknown base type \"" + tname + "\""); + } + + if (default_holder != base_info->default_holder) { + std::string tname(base.name()); + detail::clean_type_id(tname); + pybind11_fail("generic_type: type \"" + std::string(name) + "\" " + + (default_holder ? "does not have" : "has") + + " a non-default holder type while its base \"" + tname + "\" " + + (base_info->default_holder ? "does not" : "does")); + } + + bases.append((PyObject *) base_info->type); + + if (base_info->type->tp_dictoffset != 0) + dynamic_attr = true; + + if (caster) + base_info->implicit_casts.emplace_back(type, caster); + } +}; + +inline function_call::function_call(const function_record &f, handle p) : + func(f), parent(p) { + args.reserve(f.nargs); + args_convert.reserve(f.nargs); +} + +/// Tag for a new-style `__init__` defined in `detail/init.h` +struct is_new_style_constructor { }; + +/** + * Partial template specializations to process custom attributes provided to + * cpp_function_ and class_. These are either used to initialize the respective + * fields in the type_record and function_record data structures or executed at + * runtime to deal with custom call policies (e.g. keep_alive). + */ +template struct process_attribute; + +template struct process_attribute_default { + /// Default implementation: do nothing + static void init(const T &, function_record *) { } + static void init(const T &, type_record *) { } + static void precall(function_call &) { } + static void postcall(function_call &, handle) { } +}; + +/// Process an attribute specifying the function's name +template <> struct process_attribute : process_attribute_default { + static void init(const name &n, function_record *r) { r->name = const_cast(n.value); } +}; + +/// Process an attribute specifying the function's docstring +template <> struct process_attribute : process_attribute_default { + static void init(const doc &n, function_record *r) { r->doc = const_cast(n.value); } +}; + +/// Process an attribute specifying the function's docstring (provided as a C-style string) +template <> struct process_attribute : process_attribute_default { + static void init(const char *d, function_record *r) { r->doc = const_cast(d); } + static void init(const char *d, type_record *r) { r->doc = const_cast(d); } +}; +template <> struct process_attribute : process_attribute { }; + +/// Process an attribute indicating the function's return value policy +template <> struct process_attribute : process_attribute_default { + static void init(const return_value_policy &p, function_record *r) { r->policy = p; } +}; + +/// Process an attribute which indicates that this is an overloaded function associated with a given sibling +template <> struct process_attribute : process_attribute_default { + static void init(const sibling &s, function_record *r) { r->sibling = s.value; } +}; + +/// Process an attribute which indicates that this function is a method +template <> struct process_attribute : process_attribute_default { + static void init(const is_method &s, function_record *r) { r->is_method = true; r->scope = s.class_; } +}; + +/// Process an attribute which indicates the parent scope of a method +template <> struct process_attribute : process_attribute_default { + static void init(const scope &s, function_record *r) { r->scope = s.value; } +}; + +/// Process an attribute which indicates that this function is an operator +template <> struct process_attribute : process_attribute_default { + static void init(const is_operator &, function_record *r) { r->is_operator = true; } +}; + +template <> struct process_attribute : process_attribute_default { + static void init(const is_new_style_constructor &, function_record *r) { r->is_new_style_constructor = true; } +}; + +/// Process a keyword argument attribute (*without* a default value) +template <> struct process_attribute : process_attribute_default { + static void init(const arg &a, function_record *r) { + if (r->is_method && r->args.empty()) + r->args.emplace_back("self", nullptr, handle(), true /*convert*/, false /*none not allowed*/); + r->args.emplace_back(a.name, nullptr, handle(), !a.flag_noconvert, a.flag_none); + } +}; + +/// Process a keyword argument attribute (*with* a default value) +template <> struct process_attribute : process_attribute_default { + static void init(const arg_v &a, function_record *r) { + if (r->is_method && r->args.empty()) + r->args.emplace_back("self", nullptr /*descr*/, handle() /*parent*/, true /*convert*/, false /*none not allowed*/); + + if (!a.value) { +#if !defined(NDEBUG) + std::string descr("'"); + if (a.name) descr += std::string(a.name) + ": "; + descr += a.type + "'"; + if (r->is_method) { + if (r->name) + descr += " in method '" + (std::string) str(r->scope) + "." + (std::string) r->name + "'"; + else + descr += " in method of '" + (std::string) str(r->scope) + "'"; + } else if (r->name) { + descr += " in function '" + (std::string) r->name + "'"; + } + pybind11_fail("arg(): could not convert default argument " + + descr + " into a Python object (type not registered yet?)"); +#else + pybind11_fail("arg(): could not convert default argument " + "into a Python object (type not registered yet?). " + "Compile in debug mode for more information."); +#endif + } + r->args.emplace_back(a.name, a.descr, a.value.inc_ref(), !a.flag_noconvert, a.flag_none); + } +}; + +/// Process a parent class attribute. Single inheritance only (class_ itself already guarantees that) +template +struct process_attribute::value>> : process_attribute_default { + static void init(const handle &h, type_record *r) { r->bases.append(h); } +}; + +/// Process a parent class attribute (deprecated, does not support multiple inheritance) +template +struct process_attribute> : process_attribute_default> { + static void init(const base &, type_record *r) { r->add_base(typeid(T), nullptr); } +}; + +/// Process a multiple inheritance attribute +template <> +struct process_attribute : process_attribute_default { + static void init(const multiple_inheritance &, type_record *r) { r->multiple_inheritance = true; } +}; + +template <> +struct process_attribute : process_attribute_default { + static void init(const dynamic_attr &, type_record *r) { r->dynamic_attr = true; } +}; + +template <> +struct process_attribute : process_attribute_default { + static void init(const buffer_protocol &, type_record *r) { r->buffer_protocol = true; } +}; + +template <> +struct process_attribute : process_attribute_default { + static void init(const metaclass &m, type_record *r) { r->metaclass = m.value; } +}; + +template <> +struct process_attribute : process_attribute_default { + static void init(const module_local &l, type_record *r) { r->module_local = l.value; } +}; + +/// Process an 'arithmetic' attribute for enums (does nothing here) +template <> +struct process_attribute : process_attribute_default {}; + +template +struct process_attribute> : process_attribute_default> { }; + +/** + * Process a keep_alive call policy -- invokes keep_alive_impl during the + * pre-call handler if both Nurse, Patient != 0 and use the post-call handler + * otherwise + */ +template struct process_attribute> : public process_attribute_default> { + template = 0> + static void precall(function_call &call) { keep_alive_impl(Nurse, Patient, call, handle()); } + template = 0> + static void postcall(function_call &, handle) { } + template = 0> + static void precall(function_call &) { } + template = 0> + static void postcall(function_call &call, handle ret) { keep_alive_impl(Nurse, Patient, call, ret); } +}; + +/// Recursively iterate over variadic template arguments +template struct process_attributes { + static void init(const Args&... args, function_record *r) { + int unused[] = { 0, (process_attribute::type>::init(args, r), 0) ... }; + ignore_unused(unused); + } + static void init(const Args&... args, type_record *r) { + int unused[] = { 0, (process_attribute::type>::init(args, r), 0) ... }; + ignore_unused(unused); + } + static void precall(function_call &call) { + int unused[] = { 0, (process_attribute::type>::precall(call), 0) ... }; + ignore_unused(unused); + } + static void postcall(function_call &call, handle fn_ret) { + int unused[] = { 0, (process_attribute::type>::postcall(call, fn_ret), 0) ... }; + ignore_unused(unused); + } +}; + +template +using is_call_guard = is_instantiation; + +/// Extract the ``type`` from the first `call_guard` in `Extras...` (or `void_type` if none found) +template +using extract_guard_t = typename exactly_one_t, Extra...>::type; + +/// Check the number of named arguments at compile time +template ::value...), + size_t self = constexpr_sum(std::is_same::value...)> +constexpr bool expected_num_args(size_t nargs, bool has_args, bool has_kwargs) { + return named == 0 || (self + named + has_args + has_kwargs) == nargs; +} + +NAMESPACE_END(detail) +NAMESPACE_END(PYBIND11_NAMESPACE) diff --git a/external/pybind11/include/pybind11/buffer_info.h b/external/pybind11/include/pybind11/buffer_info.h new file mode 100644 index 0000000..9f072fa --- /dev/null +++ b/external/pybind11/include/pybind11/buffer_info.h @@ -0,0 +1,108 @@ +/* + pybind11/buffer_info.h: Python buffer object interface + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#pragma once + +#include "detail/common.h" + +NAMESPACE_BEGIN(PYBIND11_NAMESPACE) + +/// Information record describing a Python buffer object +struct buffer_info { + void *ptr = nullptr; // Pointer to the underlying storage + ssize_t itemsize = 0; // Size of individual items in bytes + ssize_t size = 0; // Total number of entries + std::string format; // For homogeneous buffers, this should be set to format_descriptor::format() + ssize_t ndim = 0; // Number of dimensions + std::vector shape; // Shape of the tensor (1 entry per dimension) + std::vector strides; // Number of entries between adjacent entries (for each per dimension) + + buffer_info() { } + + buffer_info(void *ptr, ssize_t itemsize, const std::string &format, ssize_t ndim, + detail::any_container shape_in, detail::any_container strides_in) + : ptr(ptr), itemsize(itemsize), size(1), format(format), ndim(ndim), + shape(std::move(shape_in)), strides(std::move(strides_in)) { + if (ndim != (ssize_t) shape.size() || ndim != (ssize_t) strides.size()) + pybind11_fail("buffer_info: ndim doesn't match shape and/or strides length"); + for (size_t i = 0; i < (size_t) ndim; ++i) + size *= shape[i]; + } + + template + buffer_info(T *ptr, detail::any_container shape_in, detail::any_container strides_in) + : buffer_info(private_ctr_tag(), ptr, sizeof(T), format_descriptor::format(), static_cast(shape_in->size()), std::move(shape_in), std::move(strides_in)) { } + + buffer_info(void *ptr, ssize_t itemsize, const std::string &format, ssize_t size) + : buffer_info(ptr, itemsize, format, 1, {size}, {itemsize}) { } + + template + buffer_info(T *ptr, ssize_t size) + : buffer_info(ptr, sizeof(T), format_descriptor::format(), size) { } + + explicit buffer_info(Py_buffer *view, bool ownview = true) + : buffer_info(view->buf, view->itemsize, view->format, view->ndim, + {view->shape, view->shape + view->ndim}, {view->strides, view->strides + view->ndim}) { + this->view = view; + this->ownview = ownview; + } + + buffer_info(const buffer_info &) = delete; + buffer_info& operator=(const buffer_info &) = delete; + + buffer_info(buffer_info &&other) { + (*this) = std::move(other); + } + + buffer_info& operator=(buffer_info &&rhs) { + ptr = rhs.ptr; + itemsize = rhs.itemsize; + size = rhs.size; + format = std::move(rhs.format); + ndim = rhs.ndim; + shape = std::move(rhs.shape); + strides = std::move(rhs.strides); + std::swap(view, rhs.view); + std::swap(ownview, rhs.ownview); + return *this; + } + + ~buffer_info() { + if (view && ownview) { PyBuffer_Release(view); delete view; } + } + +private: + struct private_ctr_tag { }; + + buffer_info(private_ctr_tag, void *ptr, ssize_t itemsize, const std::string &format, ssize_t ndim, + detail::any_container &&shape_in, detail::any_container &&strides_in) + : buffer_info(ptr, itemsize, format, ndim, std::move(shape_in), std::move(strides_in)) { } + + Py_buffer *view = nullptr; + bool ownview = false; +}; + +NAMESPACE_BEGIN(detail) + +template struct compare_buffer_info { + static bool compare(const buffer_info& b) { + return b.format == format_descriptor::format() && b.itemsize == (ssize_t) sizeof(T); + } +}; + +template struct compare_buffer_info::value>> { + static bool compare(const buffer_info& b) { + return (size_t) b.itemsize == sizeof(T) && (b.format == format_descriptor::value || + ((sizeof(T) == sizeof(long)) && b.format == (std::is_unsigned::value ? "L" : "l")) || + ((sizeof(T) == sizeof(size_t)) && b.format == (std::is_unsigned::value ? "N" : "n"))); + } +}; + +NAMESPACE_END(detail) +NAMESPACE_END(PYBIND11_NAMESPACE) diff --git a/external/pybind11/include/pybind11/cast.h b/external/pybind11/include/pybind11/cast.h new file mode 100644 index 0000000..605acb3 --- /dev/null +++ b/external/pybind11/include/pybind11/cast.h @@ -0,0 +1,2132 @@ +/* + pybind11/cast.h: Partial template specializations to cast between + C++ and Python types + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#pragma once + +#include "pytypes.h" +#include "detail/typeid.h" +#include "detail/descr.h" +#include "detail/internals.h" +#include +#include +#include +#include + +#if defined(PYBIND11_CPP17) +# if defined(__has_include) +# if __has_include() +# define PYBIND11_HAS_STRING_VIEW +# endif +# elif defined(_MSC_VER) +# define PYBIND11_HAS_STRING_VIEW +# endif +#endif +#ifdef PYBIND11_HAS_STRING_VIEW +#include +#endif + +NAMESPACE_BEGIN(PYBIND11_NAMESPACE) +NAMESPACE_BEGIN(detail) + +/// A life support system for temporary objects created by `type_caster::load()`. +/// Adding a patient will keep it alive up until the enclosing function returns. +class loader_life_support { +public: + /// A new patient frame is created when a function is entered + loader_life_support() { + get_internals().loader_patient_stack.push_back(nullptr); + } + + /// ... and destroyed after it returns + ~loader_life_support() { + auto &stack = get_internals().loader_patient_stack; + if (stack.empty()) + pybind11_fail("loader_life_support: internal error"); + + auto ptr = stack.back(); + stack.pop_back(); + Py_CLEAR(ptr); + + // A heuristic to reduce the stack's capacity (e.g. after long recursive calls) + if (stack.capacity() > 16 && stack.size() != 0 && stack.capacity() / stack.size() > 2) + stack.shrink_to_fit(); + } + + /// This can only be used inside a pybind11-bound function, either by `argument_loader` + /// at argument preparation time or by `py::cast()` at execution time. + PYBIND11_NOINLINE static void add_patient(handle h) { + auto &stack = get_internals().loader_patient_stack; + if (stack.empty()) + throw cast_error("When called outside a bound function, py::cast() cannot " + "do Python -> C++ conversions which require the creation " + "of temporary values"); + + auto &list_ptr = stack.back(); + if (list_ptr == nullptr) { + list_ptr = PyList_New(1); + if (!list_ptr) + pybind11_fail("loader_life_support: error allocating list"); + PyList_SET_ITEM(list_ptr, 0, h.inc_ref().ptr()); + } else { + auto result = PyList_Append(list_ptr, h.ptr()); + if (result == -1) + pybind11_fail("loader_life_support: error adding patient"); + } + } +}; + +// Gets the cache entry for the given type, creating it if necessary. The return value is the pair +// returned by emplace, i.e. an iterator for the entry and a bool set to `true` if the entry was +// just created. +inline std::pair all_type_info_get_cache(PyTypeObject *type); + +// Populates a just-created cache entry. +PYBIND11_NOINLINE inline void all_type_info_populate(PyTypeObject *t, std::vector &bases) { + std::vector check; + for (handle parent : reinterpret_borrow(t->tp_bases)) + check.push_back((PyTypeObject *) parent.ptr()); + + auto const &type_dict = get_internals().registered_types_py; + for (size_t i = 0; i < check.size(); i++) { + auto type = check[i]; + // Ignore Python2 old-style class super type: + if (!PyType_Check((PyObject *) type)) continue; + + // Check `type` in the current set of registered python types: + auto it = type_dict.find(type); + if (it != type_dict.end()) { + // We found a cache entry for it, so it's either pybind-registered or has pre-computed + // pybind bases, but we have to make sure we haven't already seen the type(s) before: we + // want to follow Python/virtual C++ rules that there should only be one instance of a + // common base. + for (auto *tinfo : it->second) { + // NB: Could use a second set here, rather than doing a linear search, but since + // having a large number of immediate pybind11-registered types seems fairly + // unlikely, that probably isn't worthwhile. + bool found = false; + for (auto *known : bases) { + if (known == tinfo) { found = true; break; } + } + if (!found) bases.push_back(tinfo); + } + } + else if (type->tp_bases) { + // It's some python type, so keep follow its bases classes to look for one or more + // registered types + if (i + 1 == check.size()) { + // When we're at the end, we can pop off the current element to avoid growing + // `check` when adding just one base (which is typical--i.e. when there is no + // multiple inheritance) + check.pop_back(); + i--; + } + for (handle parent : reinterpret_borrow(type->tp_bases)) + check.push_back((PyTypeObject *) parent.ptr()); + } + } +} + +/** + * Extracts vector of type_info pointers of pybind-registered roots of the given Python type. Will + * be just 1 pybind type for the Python type of a pybind-registered class, or for any Python-side + * derived class that uses single inheritance. Will contain as many types as required for a Python + * class that uses multiple inheritance to inherit (directly or indirectly) from multiple + * pybind-registered classes. Will be empty if neither the type nor any base classes are + * pybind-registered. + * + * The value is cached for the lifetime of the Python type. + */ +inline const std::vector &all_type_info(PyTypeObject *type) { + auto ins = all_type_info_get_cache(type); + if (ins.second) + // New cache entry: populate it + all_type_info_populate(type, ins.first->second); + + return ins.first->second; +} + +/** + * Gets a single pybind11 type info for a python type. Returns nullptr if neither the type nor any + * ancestors are pybind11-registered. Throws an exception if there are multiple bases--use + * `all_type_info` instead if you want to support multiple bases. + */ +PYBIND11_NOINLINE inline detail::type_info* get_type_info(PyTypeObject *type) { + auto &bases = all_type_info(type); + if (bases.size() == 0) + return nullptr; + if (bases.size() > 1) + pybind11_fail("pybind11::detail::get_type_info: type has multiple pybind11-registered bases"); + return bases.front(); +} + +inline detail::type_info *get_local_type_info(const std::type_index &tp) { + auto &locals = registered_local_types_cpp(); + auto it = locals.find(tp); + if (it != locals.end()) + return it->second; + return nullptr; +} + +inline detail::type_info *get_global_type_info(const std::type_index &tp) { + auto &types = get_internals().registered_types_cpp; + auto it = types.find(tp); + if (it != types.end()) + return it->second; + return nullptr; +} + +/// Return the type info for a given C++ type; on lookup failure can either throw or return nullptr. +PYBIND11_NOINLINE inline detail::type_info *get_type_info(const std::type_index &tp, + bool throw_if_missing = false) { + if (auto ltype = get_local_type_info(tp)) + return ltype; + if (auto gtype = get_global_type_info(tp)) + return gtype; + + if (throw_if_missing) { + std::string tname = tp.name(); + detail::clean_type_id(tname); + pybind11_fail("pybind11::detail::get_type_info: unable to find type info for \"" + tname + "\""); + } + return nullptr; +} + +PYBIND11_NOINLINE inline handle get_type_handle(const std::type_info &tp, bool throw_if_missing) { + detail::type_info *type_info = get_type_info(tp, throw_if_missing); + return handle(type_info ? ((PyObject *) type_info->type) : nullptr); +} + +struct value_and_holder { + instance *inst = nullptr; + size_t index = 0u; + const detail::type_info *type = nullptr; + void **vh = nullptr; + + // Main constructor for a found value/holder: + value_and_holder(instance *i, const detail::type_info *type, size_t vpos, size_t index) : + inst{i}, index{index}, type{type}, + vh{inst->simple_layout ? inst->simple_value_holder : &inst->nonsimple.values_and_holders[vpos]} + {} + + // Default constructor (used to signal a value-and-holder not found by get_value_and_holder()) + value_and_holder() {} + + // Used for past-the-end iterator + value_and_holder(size_t index) : index{index} {} + + template V *&value_ptr() const { + return reinterpret_cast(vh[0]); + } + // True if this `value_and_holder` has a non-null value pointer + explicit operator bool() const { return value_ptr(); } + + template H &holder() const { + return reinterpret_cast(vh[1]); + } + bool holder_constructed() const { + return inst->simple_layout + ? inst->simple_holder_constructed + : inst->nonsimple.status[index] & instance::status_holder_constructed; + } + void set_holder_constructed(bool v = true) { + if (inst->simple_layout) + inst->simple_holder_constructed = v; + else if (v) + inst->nonsimple.status[index] |= instance::status_holder_constructed; + else + inst->nonsimple.status[index] &= (uint8_t) ~instance::status_holder_constructed; + } + bool instance_registered() const { + return inst->simple_layout + ? inst->simple_instance_registered + : inst->nonsimple.status[index] & instance::status_instance_registered; + } + void set_instance_registered(bool v = true) { + if (inst->simple_layout) + inst->simple_instance_registered = v; + else if (v) + inst->nonsimple.status[index] |= instance::status_instance_registered; + else + inst->nonsimple.status[index] &= (uint8_t) ~instance::status_instance_registered; + } +}; + +// Container for accessing and iterating over an instance's values/holders +struct values_and_holders { +private: + instance *inst; + using type_vec = std::vector; + const type_vec &tinfo; + +public: + values_and_holders(instance *inst) : inst{inst}, tinfo(all_type_info(Py_TYPE(inst))) {} + + struct iterator { + private: + instance *inst = nullptr; + const type_vec *types = nullptr; + value_and_holder curr; + friend struct values_and_holders; + iterator(instance *inst, const type_vec *tinfo) + : inst{inst}, types{tinfo}, + curr(inst /* instance */, + types->empty() ? nullptr : (*types)[0] /* type info */, + 0, /* vpos: (non-simple types only): the first vptr comes first */ + 0 /* index */) + {} + // Past-the-end iterator: + iterator(size_t end) : curr(end) {} + public: + bool operator==(const iterator &other) { return curr.index == other.curr.index; } + bool operator!=(const iterator &other) { return curr.index != other.curr.index; } + iterator &operator++() { + if (!inst->simple_layout) + curr.vh += 1 + (*types)[curr.index]->holder_size_in_ptrs; + ++curr.index; + curr.type = curr.index < types->size() ? (*types)[curr.index] : nullptr; + return *this; + } + value_and_holder &operator*() { return curr; } + value_and_holder *operator->() { return &curr; } + }; + + iterator begin() { return iterator(inst, &tinfo); } + iterator end() { return iterator(tinfo.size()); } + + iterator find(const type_info *find_type) { + auto it = begin(), endit = end(); + while (it != endit && it->type != find_type) ++it; + return it; + } + + size_t size() { return tinfo.size(); } +}; + +/** + * Extracts C++ value and holder pointer references from an instance (which may contain multiple + * values/holders for python-side multiple inheritance) that match the given type. Throws an error + * if the given type (or ValueType, if omitted) is not a pybind11 base of the given instance. If + * `find_type` is omitted (or explicitly specified as nullptr) the first value/holder are returned, + * regardless of type (and the resulting .type will be nullptr). + * + * The returned object should be short-lived: in particular, it must not outlive the called-upon + * instance. + */ +PYBIND11_NOINLINE inline value_and_holder instance::get_value_and_holder(const type_info *find_type /*= nullptr default in common.h*/, bool throw_if_missing /*= true in common.h*/) { + // Optimize common case: + if (!find_type || Py_TYPE(this) == find_type->type) + return value_and_holder(this, find_type, 0, 0); + + detail::values_and_holders vhs(this); + auto it = vhs.find(find_type); + if (it != vhs.end()) + return *it; + + if (!throw_if_missing) + return value_and_holder(); + +#if defined(NDEBUG) + pybind11_fail("pybind11::detail::instance::get_value_and_holder: " + "type is not a pybind11 base of the given instance " + "(compile in debug mode for type details)"); +#else + pybind11_fail("pybind11::detail::instance::get_value_and_holder: `" + + std::string(find_type->type->tp_name) + "' is not a pybind11 base of the given `" + + std::string(Py_TYPE(this)->tp_name) + "' instance"); +#endif +} + +PYBIND11_NOINLINE inline void instance::allocate_layout() { + auto &tinfo = all_type_info(Py_TYPE(this)); + + const size_t n_types = tinfo.size(); + + if (n_types == 0) + pybind11_fail("instance allocation failed: new instance has no pybind11-registered base types"); + + simple_layout = + n_types == 1 && tinfo.front()->holder_size_in_ptrs <= instance_simple_holder_in_ptrs(); + + // Simple path: no python-side multiple inheritance, and a small-enough holder + if (simple_layout) { + simple_value_holder[0] = nullptr; + simple_holder_constructed = false; + simple_instance_registered = false; + } + else { // multiple base types or a too-large holder + // Allocate space to hold: [v1*][h1][v2*][h2]...[bb...] where [vN*] is a value pointer, + // [hN] is the (uninitialized) holder instance for value N, and [bb...] is a set of bool + // values that tracks whether each associated holder has been initialized. Each [block] is + // padded, if necessary, to an integer multiple of sizeof(void *). + size_t space = 0; + for (auto t : tinfo) { + space += 1; // value pointer + space += t->holder_size_in_ptrs; // holder instance + } + size_t flags_at = space; + space += size_in_ptrs(n_types); // status bytes (holder_constructed and instance_registered) + + // Allocate space for flags, values, and holders, and initialize it to 0 (flags and values, + // in particular, need to be 0). Use Python's memory allocation functions: in Python 3.6 + // they default to using pymalloc, which is designed to be efficient for small allocations + // like the one we're doing here; in earlier versions (and for larger allocations) they are + // just wrappers around malloc. +#if PY_VERSION_HEX >= 0x03050000 + nonsimple.values_and_holders = (void **) PyMem_Calloc(space, sizeof(void *)); + if (!nonsimple.values_and_holders) throw std::bad_alloc(); +#else + nonsimple.values_and_holders = (void **) PyMem_New(void *, space); + if (!nonsimple.values_and_holders) throw std::bad_alloc(); + std::memset(nonsimple.values_and_holders, 0, space * sizeof(void *)); +#endif + nonsimple.status = reinterpret_cast(&nonsimple.values_and_holders[flags_at]); + } + owned = true; +} + +PYBIND11_NOINLINE inline void instance::deallocate_layout() { + if (!simple_layout) + PyMem_Free(nonsimple.values_and_holders); +} + +PYBIND11_NOINLINE inline bool isinstance_generic(handle obj, const std::type_info &tp) { + handle type = detail::get_type_handle(tp, false); + if (!type) + return false; + return isinstance(obj, type); +} + +PYBIND11_NOINLINE inline std::string error_string() { + if (!PyErr_Occurred()) { + PyErr_SetString(PyExc_RuntimeError, "Unknown internal error occurred"); + return "Unknown internal error occurred"; + } + + error_scope scope; // Preserve error state + + std::string errorString; + if (scope.type) { + errorString += handle(scope.type).attr("__name__").cast(); + errorString += ": "; + } + if (scope.value) + errorString += (std::string) str(scope.value); + + PyErr_NormalizeException(&scope.type, &scope.value, &scope.trace); + +#if PY_MAJOR_VERSION >= 3 + if (scope.trace != nullptr) + PyException_SetTraceback(scope.value, scope.trace); +#endif + +#if !defined(PYPY_VERSION) + if (scope.trace) { + PyTracebackObject *trace = (PyTracebackObject *) scope.trace; + + /* Get the deepest trace possible */ + while (trace->tb_next) + trace = trace->tb_next; + + PyFrameObject *frame = trace->tb_frame; + errorString += "\n\nAt:\n"; + while (frame) { + int lineno = PyFrame_GetLineNumber(frame); + errorString += + " " + handle(frame->f_code->co_filename).cast() + + "(" + std::to_string(lineno) + "): " + + handle(frame->f_code->co_name).cast() + "\n"; + frame = frame->f_back; + } + } +#endif + + return errorString; +} + +PYBIND11_NOINLINE inline handle get_object_handle(const void *ptr, const detail::type_info *type ) { + auto &instances = get_internals().registered_instances; + auto range = instances.equal_range(ptr); + for (auto it = range.first; it != range.second; ++it) { + for (auto vh : values_and_holders(it->second)) { + if (vh.type == type) + return handle((PyObject *) it->second); + } + } + return handle(); +} + +inline PyThreadState *get_thread_state_unchecked() { +#if defined(PYPY_VERSION) + return PyThreadState_GET(); +#elif PY_VERSION_HEX < 0x03000000 + return _PyThreadState_Current; +#elif PY_VERSION_HEX < 0x03050000 + return (PyThreadState*) _Py_atomic_load_relaxed(&_PyThreadState_Current); +#elif PY_VERSION_HEX < 0x03050200 + return (PyThreadState*) _PyThreadState_Current.value; +#else + return _PyThreadState_UncheckedGet(); +#endif +} + +// Forward declarations +inline void keep_alive_impl(handle nurse, handle patient); +inline PyObject *make_new_instance(PyTypeObject *type); + +class type_caster_generic { +public: + PYBIND11_NOINLINE type_caster_generic(const std::type_info &type_info) + : typeinfo(get_type_info(type_info)), cpptype(&type_info) { } + + type_caster_generic(const type_info *typeinfo) + : typeinfo(typeinfo), cpptype(typeinfo ? typeinfo->cpptype : nullptr) { } + + bool load(handle src, bool convert) { + return load_impl(src, convert); + } + + PYBIND11_NOINLINE static handle cast(const void *_src, return_value_policy policy, handle parent, + const detail::type_info *tinfo, + void *(*copy_constructor)(const void *), + void *(*move_constructor)(const void *), + const void *existing_holder = nullptr) { + if (!tinfo) // no type info: error will be set already + return handle(); + + void *src = const_cast(_src); + if (src == nullptr) + return none().release(); + + auto it_instances = get_internals().registered_instances.equal_range(src); + for (auto it_i = it_instances.first; it_i != it_instances.second; ++it_i) { + for (auto instance_type : detail::all_type_info(Py_TYPE(it_i->second))) { + if (instance_type && same_type(*instance_type->cpptype, *tinfo->cpptype)) + return handle((PyObject *) it_i->second).inc_ref(); + } + } + + auto inst = reinterpret_steal(make_new_instance(tinfo->type)); + auto wrapper = reinterpret_cast(inst.ptr()); + wrapper->owned = false; + void *&valueptr = values_and_holders(wrapper).begin()->value_ptr(); + + switch (policy) { + case return_value_policy::automatic: + case return_value_policy::take_ownership: + valueptr = src; + wrapper->owned = true; + break; + + case return_value_policy::automatic_reference: + case return_value_policy::reference: + valueptr = src; + wrapper->owned = false; + break; + + case return_value_policy::copy: + if (copy_constructor) + valueptr = copy_constructor(src); + else + throw cast_error("return_value_policy = copy, but the " + "object is non-copyable!"); + wrapper->owned = true; + break; + + case return_value_policy::move: + if (move_constructor) + valueptr = move_constructor(src); + else if (copy_constructor) + valueptr = copy_constructor(src); + else + throw cast_error("return_value_policy = move, but the " + "object is neither movable nor copyable!"); + wrapper->owned = true; + break; + + case return_value_policy::reference_internal: + valueptr = src; + wrapper->owned = false; + keep_alive_impl(inst, parent); + break; + + default: + throw cast_error("unhandled return_value_policy: should not happen!"); + } + + tinfo->init_instance(wrapper, existing_holder); + + return inst.release(); + } + + // Base methods for generic caster; there are overridden in copyable_holder_caster + void load_value(value_and_holder &&v_h) { + auto *&vptr = v_h.value_ptr(); + // Lazy allocation for unallocated values: + if (vptr == nullptr) { + auto *type = v_h.type ? v_h.type : typeinfo; + if (type->operator_new) { + vptr = type->operator_new(type->type_size); + } else { + #if defined(PYBIND11_CPP17) + if (type->type_align > __STDCPP_DEFAULT_NEW_ALIGNMENT__) + vptr = ::operator new(type->type_size, + (std::align_val_t) type->type_align); + else + #endif + vptr = ::operator new(type->type_size); + } + } + value = vptr; + } + bool try_implicit_casts(handle src, bool convert) { + for (auto &cast : typeinfo->implicit_casts) { + type_caster_generic sub_caster(*cast.first); + if (sub_caster.load(src, convert)) { + value = cast.second(sub_caster.value); + return true; + } + } + return false; + } + bool try_direct_conversions(handle src) { + for (auto &converter : *typeinfo->direct_conversions) { + if (converter(src.ptr(), value)) + return true; + } + return false; + } + void check_holder_compat() {} + + PYBIND11_NOINLINE static void *local_load(PyObject *src, const type_info *ti) { + auto caster = type_caster_generic(ti); + if (caster.load(src, false)) + return caster.value; + return nullptr; + } + + /// Try to load with foreign typeinfo, if available. Used when there is no + /// native typeinfo, or when the native one wasn't able to produce a value. + PYBIND11_NOINLINE bool try_load_foreign_module_local(handle src) { + constexpr auto *local_key = PYBIND11_MODULE_LOCAL_ID; + const auto pytype = src.get_type(); + if (!hasattr(pytype, local_key)) + return false; + + type_info *foreign_typeinfo = reinterpret_borrow(getattr(pytype, local_key)); + // Only consider this foreign loader if actually foreign and is a loader of the correct cpp type + if (foreign_typeinfo->module_local_load == &local_load + || (cpptype && !same_type(*cpptype, *foreign_typeinfo->cpptype))) + return false; + + if (auto result = foreign_typeinfo->module_local_load(src.ptr(), foreign_typeinfo)) { + value = result; + return true; + } + return false; + } + + // Implementation of `load`; this takes the type of `this` so that it can dispatch the relevant + // bits of code between here and copyable_holder_caster where the two classes need different + // logic (without having to resort to virtual inheritance). + template + PYBIND11_NOINLINE bool load_impl(handle src, bool convert) { + if (!src) return false; + if (!typeinfo) return try_load_foreign_module_local(src); + if (src.is_none()) { + // Defer accepting None to other overloads (if we aren't in convert mode): + if (!convert) return false; + value = nullptr; + return true; + } + + auto &this_ = static_cast(*this); + this_.check_holder_compat(); + + PyTypeObject *srctype = Py_TYPE(src.ptr()); + + // Case 1: If src is an exact type match for the target type then we can reinterpret_cast + // the instance's value pointer to the target type: + if (srctype == typeinfo->type) { + this_.load_value(reinterpret_cast(src.ptr())->get_value_and_holder()); + return true; + } + // Case 2: We have a derived class + else if (PyType_IsSubtype(srctype, typeinfo->type)) { + auto &bases = all_type_info(srctype); + bool no_cpp_mi = typeinfo->simple_type; + + // Case 2a: the python type is a Python-inherited derived class that inherits from just + // one simple (no MI) pybind11 class, or is an exact match, so the C++ instance is of + // the right type and we can use reinterpret_cast. + // (This is essentially the same as case 2b, but because not using multiple inheritance + // is extremely common, we handle it specially to avoid the loop iterator and type + // pointer lookup overhead) + if (bases.size() == 1 && (no_cpp_mi || bases.front()->type == typeinfo->type)) { + this_.load_value(reinterpret_cast(src.ptr())->get_value_and_holder()); + return true; + } + // Case 2b: the python type inherits from multiple C++ bases. Check the bases to see if + // we can find an exact match (or, for a simple C++ type, an inherited match); if so, we + // can safely reinterpret_cast to the relevant pointer. + else if (bases.size() > 1) { + for (auto base : bases) { + if (no_cpp_mi ? PyType_IsSubtype(base->type, typeinfo->type) : base->type == typeinfo->type) { + this_.load_value(reinterpret_cast(src.ptr())->get_value_and_holder(base)); + return true; + } + } + } + + // Case 2c: C++ multiple inheritance is involved and we couldn't find an exact type match + // in the registered bases, above, so try implicit casting (needed for proper C++ casting + // when MI is involved). + if (this_.try_implicit_casts(src, convert)) + return true; + } + + // Perform an implicit conversion + if (convert) { + for (auto &converter : typeinfo->implicit_conversions) { + auto temp = reinterpret_steal(converter(src.ptr(), typeinfo->type)); + if (load_impl(temp, false)) { + loader_life_support::add_patient(temp); + return true; + } + } + if (this_.try_direct_conversions(src)) + return true; + } + + // Failed to match local typeinfo. Try again with global. + if (typeinfo->module_local) { + if (auto gtype = get_global_type_info(*typeinfo->cpptype)) { + typeinfo = gtype; + return load(src, false); + } + } + + // Global typeinfo has precedence over foreign module_local + return try_load_foreign_module_local(src); + } + + + // Called to do type lookup and wrap the pointer and type in a pair when a dynamic_cast + // isn't needed or can't be used. If the type is unknown, sets the error and returns a pair + // with .second = nullptr. (p.first = nullptr is not an error: it becomes None). + PYBIND11_NOINLINE static std::pair src_and_type( + const void *src, const std::type_info &cast_type, const std::type_info *rtti_type = nullptr) { + if (auto *tpi = get_type_info(cast_type)) + return {src, const_cast(tpi)}; + + // Not found, set error: + std::string tname = rtti_type ? rtti_type->name() : cast_type.name(); + detail::clean_type_id(tname); + std::string msg = "Unregistered type : " + tname; + PyErr_SetString(PyExc_TypeError, msg.c_str()); + return {nullptr, nullptr}; + } + + const type_info *typeinfo = nullptr; + const std::type_info *cpptype = nullptr; + void *value = nullptr; +}; + +/** + * Determine suitable casting operator for pointer-or-lvalue-casting type casters. The type caster + * needs to provide `operator T*()` and `operator T&()` operators. + * + * If the type supports moving the value away via an `operator T&&() &&` method, it should use + * `movable_cast_op_type` instead. + */ +template +using cast_op_type = + conditional_t>::value, + typename std::add_pointer>::type, + typename std::add_lvalue_reference>::type>; + +/** + * Determine suitable casting operator for a type caster with a movable value. Such a type caster + * needs to provide `operator T*()`, `operator T&()`, and `operator T&&() &&`. The latter will be + * called in appropriate contexts where the value can be moved rather than copied. + * + * These operator are automatically provided when using the PYBIND11_TYPE_CASTER macro. + */ +template +using movable_cast_op_type = + conditional_t::type>::value, + typename std::add_pointer>::type, + conditional_t::value, + typename std::add_rvalue_reference>::type, + typename std::add_lvalue_reference>::type>>; + +// std::is_copy_constructible isn't quite enough: it lets std::vector (and similar) through when +// T is non-copyable, but code containing such a copy constructor fails to actually compile. +template struct is_copy_constructible : std::is_copy_constructible {}; + +// Specialization for types that appear to be copy constructible but also look like stl containers +// (we specifically check for: has `value_type` and `reference` with `reference = value_type&`): if +// so, copy constructability depends on whether the value_type is copy constructible. +template struct is_copy_constructible, + std::is_same, + // Avoid infinite recursion + negation> + >::value>> : is_copy_constructible {}; + +#if !defined(PYBIND11_CPP17) +// Likewise for std::pair before C++17 (which mandates that the copy constructor not exist when the +// two types aren't themselves copy constructible). +template struct is_copy_constructible> + : all_of, is_copy_constructible> {}; +#endif + +NAMESPACE_END(detail) + +// polymorphic_type_hook::get(src, tinfo) determines whether the object pointed +// to by `src` actually is an instance of some class derived from `itype`. +// If so, it sets `tinfo` to point to the std::type_info representing that derived +// type, and returns a pointer to the start of the most-derived object of that type +// (in which `src` is a subobject; this will be the same address as `src` in most +// single inheritance cases). If not, or if `src` is nullptr, it simply returns `src` +// and leaves `tinfo` at its default value of nullptr. +// +// The default polymorphic_type_hook just returns src. A specialization for polymorphic +// types determines the runtime type of the passed object and adjusts the this-pointer +// appropriately via dynamic_cast. This is what enables a C++ Animal* to appear +// to Python as a Dog (if Dog inherits from Animal, Animal is polymorphic, Dog is +// registered with pybind11, and this Animal is in fact a Dog). +// +// You may specialize polymorphic_type_hook yourself for types that want to appear +// polymorphic to Python but do not use C++ RTTI. (This is a not uncommon pattern +// in performance-sensitive applications, used most notably in LLVM.) +template +struct polymorphic_type_hook +{ + static const void *get(const itype *src, const std::type_info*&) { return src; } +}; +template +struct polymorphic_type_hook::value>> +{ + static const void *get(const itype *src, const std::type_info*& type) { + type = src ? &typeid(*src) : nullptr; + return dynamic_cast(src); + } +}; + +NAMESPACE_BEGIN(detail) + +/// Generic type caster for objects stored on the heap +template class type_caster_base : public type_caster_generic { + using itype = intrinsic_t; + +public: + static constexpr auto name = _(); + + type_caster_base() : type_caster_base(typeid(type)) { } + explicit type_caster_base(const std::type_info &info) : type_caster_generic(info) { } + + static handle cast(const itype &src, return_value_policy policy, handle parent) { + if (policy == return_value_policy::automatic || policy == return_value_policy::automatic_reference) + policy = return_value_policy::copy; + return cast(&src, policy, parent); + } + + static handle cast(itype &&src, return_value_policy, handle parent) { + return cast(&src, return_value_policy::move, parent); + } + + // Returns a (pointer, type_info) pair taking care of necessary type lookup for a + // polymorphic type (using RTTI by default, but can be overridden by specializing + // polymorphic_type_hook). If the instance isn't derived, returns the base version. + static std::pair src_and_type(const itype *src) { + auto &cast_type = typeid(itype); + const std::type_info *instance_type = nullptr; + const void *vsrc = polymorphic_type_hook::get(src, instance_type); + if (instance_type && !same_type(cast_type, *instance_type)) { + // This is a base pointer to a derived type. If the derived type is registered + // with pybind11, we want to make the full derived object available. + // In the typical case where itype is polymorphic, we get the correct + // derived pointer (which may be != base pointer) by a dynamic_cast to + // most derived type. If itype is not polymorphic, we won't get here + // except via a user-provided specialization of polymorphic_type_hook, + // and the user has promised that no this-pointer adjustment is + // required in that case, so it's OK to use static_cast. + if (const auto *tpi = get_type_info(*instance_type)) + return {vsrc, tpi}; + } + // Otherwise we have either a nullptr, an `itype` pointer, or an unknown derived pointer, so + // don't do a cast + return type_caster_generic::src_and_type(src, cast_type, instance_type); + } + + static handle cast(const itype *src, return_value_policy policy, handle parent) { + auto st = src_and_type(src); + return type_caster_generic::cast( + st.first, policy, parent, st.second, + make_copy_constructor(src), make_move_constructor(src)); + } + + static handle cast_holder(const itype *src, const void *holder) { + auto st = src_and_type(src); + return type_caster_generic::cast( + st.first, return_value_policy::take_ownership, {}, st.second, + nullptr, nullptr, holder); + } + + template using cast_op_type = detail::cast_op_type; + + operator itype*() { return (type *) value; } + operator itype&() { if (!value) throw reference_cast_error(); return *((itype *) value); } + +protected: + using Constructor = void *(*)(const void *); + + /* Only enabled when the types are {copy,move}-constructible *and* when the type + does not have a private operator new implementation. */ + template ::value>> + static auto make_copy_constructor(const T *x) -> decltype(new T(*x), Constructor{}) { + return [](const void *arg) -> void * { + return new T(*reinterpret_cast(arg)); + }; + } + + template ::value>> + static auto make_move_constructor(const T *x) -> decltype(new T(std::move(*const_cast(x))), Constructor{}) { + return [](const void *arg) -> void * { + return new T(std::move(*const_cast(reinterpret_cast(arg)))); + }; + } + + static Constructor make_copy_constructor(...) { return nullptr; } + static Constructor make_move_constructor(...) { return nullptr; } +}; + +template class type_caster : public type_caster_base { }; +template using make_caster = type_caster>; + +// Shortcut for calling a caster's `cast_op_type` cast operator for casting a type_caster to a T +template typename make_caster::template cast_op_type cast_op(make_caster &caster) { + return caster.operator typename make_caster::template cast_op_type(); +} +template typename make_caster::template cast_op_type::type> +cast_op(make_caster &&caster) { + return std::move(caster).operator + typename make_caster::template cast_op_type::type>(); +} + +template class type_caster> { +private: + using caster_t = make_caster; + caster_t subcaster; + using subcaster_cast_op_type = typename caster_t::template cast_op_type; + static_assert(std::is_same::type &, subcaster_cast_op_type>::value, + "std::reference_wrapper caster requires T to have a caster with an `T &` operator"); +public: + bool load(handle src, bool convert) { return subcaster.load(src, convert); } + static constexpr auto name = caster_t::name; + static handle cast(const std::reference_wrapper &src, return_value_policy policy, handle parent) { + // It is definitely wrong to take ownership of this pointer, so mask that rvp + if (policy == return_value_policy::take_ownership || policy == return_value_policy::automatic) + policy = return_value_policy::automatic_reference; + return caster_t::cast(&src.get(), policy, parent); + } + template using cast_op_type = std::reference_wrapper; + operator std::reference_wrapper() { return subcaster.operator subcaster_cast_op_type&(); } +}; + +#define PYBIND11_TYPE_CASTER(type, py_name) \ + protected: \ + type value; \ + public: \ + static constexpr auto name = py_name; \ + template >::value, int> = 0> \ + static handle cast(T_ *src, return_value_policy policy, handle parent) { \ + if (!src) return none().release(); \ + if (policy == return_value_policy::take_ownership) { \ + auto h = cast(std::move(*src), policy, parent); delete src; return h; \ + } else { \ + return cast(*src, policy, parent); \ + } \ + } \ + operator type*() { return &value; } \ + operator type&() { return value; } \ + operator type&&() && { return std::move(value); } \ + template using cast_op_type = pybind11::detail::movable_cast_op_type + + +template using is_std_char_type = any_of< + std::is_same, /* std::string */ + std::is_same, /* std::u16string */ + std::is_same, /* std::u32string */ + std::is_same /* std::wstring */ +>; + +template +struct type_caster::value && !is_std_char_type::value>> { + using _py_type_0 = conditional_t; + using _py_type_1 = conditional_t::value, _py_type_0, typename std::make_unsigned<_py_type_0>::type>; + using py_type = conditional_t::value, double, _py_type_1>; +public: + + bool load(handle src, bool convert) { + py_type py_value; + + if (!src) + return false; + + if (std::is_floating_point::value) { + if (convert || PyFloat_Check(src.ptr())) + py_value = (py_type) PyFloat_AsDouble(src.ptr()); + else + return false; + } else if (PyFloat_Check(src.ptr())) { + return false; + } else if (std::is_unsigned::value) { + py_value = as_unsigned(src.ptr()); + } else { // signed integer: + py_value = sizeof(T) <= sizeof(long) + ? (py_type) PyLong_AsLong(src.ptr()) + : (py_type) PYBIND11_LONG_AS_LONGLONG(src.ptr()); + } + + bool py_err = py_value == (py_type) -1 && PyErr_Occurred(); + + // Protect std::numeric_limits::min/max with parentheses + if (py_err || (std::is_integral::value && sizeof(py_type) != sizeof(T) && + (py_value < (py_type) (std::numeric_limits::min)() || + py_value > (py_type) (std::numeric_limits::max)()))) { + bool type_error = py_err && PyErr_ExceptionMatches( +#if PY_VERSION_HEX < 0x03000000 && !defined(PYPY_VERSION) + PyExc_SystemError +#else + PyExc_TypeError +#endif + ); + PyErr_Clear(); + if (type_error && convert && PyNumber_Check(src.ptr())) { + auto tmp = reinterpret_steal(std::is_floating_point::value + ? PyNumber_Float(src.ptr()) + : PyNumber_Long(src.ptr())); + PyErr_Clear(); + return load(tmp, false); + } + return false; + } + + value = (T) py_value; + return true; + } + + template + static typename std::enable_if::value, handle>::type + cast(U src, return_value_policy /* policy */, handle /* parent */) { + return PyFloat_FromDouble((double) src); + } + + template + static typename std::enable_if::value && std::is_signed::value && (sizeof(U) <= sizeof(long)), handle>::type + cast(U src, return_value_policy /* policy */, handle /* parent */) { + return PYBIND11_LONG_FROM_SIGNED((long) src); + } + + template + static typename std::enable_if::value && std::is_unsigned::value && (sizeof(U) <= sizeof(unsigned long)), handle>::type + cast(U src, return_value_policy /* policy */, handle /* parent */) { + return PYBIND11_LONG_FROM_UNSIGNED((unsigned long) src); + } + + template + static typename std::enable_if::value && std::is_signed::value && (sizeof(U) > sizeof(long)), handle>::type + cast(U src, return_value_policy /* policy */, handle /* parent */) { + return PyLong_FromLongLong((long long) src); + } + + template + static typename std::enable_if::value && std::is_unsigned::value && (sizeof(U) > sizeof(unsigned long)), handle>::type + cast(U src, return_value_policy /* policy */, handle /* parent */) { + return PyLong_FromUnsignedLongLong((unsigned long long) src); + } + + PYBIND11_TYPE_CASTER(T, _::value>("int", "float")); +}; + +template struct void_caster { +public: + bool load(handle src, bool) { + if (src && src.is_none()) + return true; + return false; + } + static handle cast(T, return_value_policy /* policy */, handle /* parent */) { + return none().inc_ref(); + } + PYBIND11_TYPE_CASTER(T, _("None")); +}; + +template <> class type_caster : public void_caster {}; + +template <> class type_caster : public type_caster { +public: + using type_caster::cast; + + bool load(handle h, bool) { + if (!h) { + return false; + } else if (h.is_none()) { + value = nullptr; + return true; + } + + /* Check if this is a capsule */ + if (isinstance(h)) { + value = reinterpret_borrow(h); + return true; + } + + /* Check if this is a C++ type */ + auto &bases = all_type_info((PyTypeObject *) h.get_type().ptr()); + if (bases.size() == 1) { // Only allowing loading from a single-value type + value = values_and_holders(reinterpret_cast(h.ptr())).begin()->value_ptr(); + return true; + } + + /* Fail */ + return false; + } + + static handle cast(const void *ptr, return_value_policy /* policy */, handle /* parent */) { + if (ptr) + return capsule(ptr).release(); + else + return none().inc_ref(); + } + + template using cast_op_type = void*&; + operator void *&() { return value; } + static constexpr auto name = _("capsule"); +private: + void *value = nullptr; +}; + +template <> class type_caster : public void_caster { }; + +template <> class type_caster { +public: + bool load(handle src, bool convert) { + if (!src) return false; + else if (src.ptr() == Py_True) { value = true; return true; } + else if (src.ptr() == Py_False) { value = false; return true; } + else if (convert || !strcmp("numpy.bool_", Py_TYPE(src.ptr())->tp_name)) { + // (allow non-implicit conversion for numpy booleans) + + Py_ssize_t res = -1; + if (src.is_none()) { + res = 0; // None is implicitly converted to False + } + #if defined(PYPY_VERSION) + // On PyPy, check that "__bool__" (or "__nonzero__" on Python 2.7) attr exists + else if (hasattr(src, PYBIND11_BOOL_ATTR)) { + res = PyObject_IsTrue(src.ptr()); + } + #else + // Alternate approach for CPython: this does the same as the above, but optimized + // using the CPython API so as to avoid an unneeded attribute lookup. + else if (auto tp_as_number = src.ptr()->ob_type->tp_as_number) { + if (PYBIND11_NB_BOOL(tp_as_number)) { + res = (*PYBIND11_NB_BOOL(tp_as_number))(src.ptr()); + } + } + #endif + if (res == 0 || res == 1) { + value = (bool) res; + return true; + } + } + return false; + } + static handle cast(bool src, return_value_policy /* policy */, handle /* parent */) { + return handle(src ? Py_True : Py_False).inc_ref(); + } + PYBIND11_TYPE_CASTER(bool, _("bool")); +}; + +// Helper class for UTF-{8,16,32} C++ stl strings: +template struct string_caster { + using CharT = typename StringType::value_type; + + // Simplify life by being able to assume standard char sizes (the standard only guarantees + // minimums, but Python requires exact sizes) + static_assert(!std::is_same::value || sizeof(CharT) == 1, "Unsupported char size != 1"); + static_assert(!std::is_same::value || sizeof(CharT) == 2, "Unsupported char16_t size != 2"); + static_assert(!std::is_same::value || sizeof(CharT) == 4, "Unsupported char32_t size != 4"); + // wchar_t can be either 16 bits (Windows) or 32 (everywhere else) + static_assert(!std::is_same::value || sizeof(CharT) == 2 || sizeof(CharT) == 4, + "Unsupported wchar_t size != 2/4"); + static constexpr size_t UTF_N = 8 * sizeof(CharT); + + bool load(handle src, bool) { +#if PY_MAJOR_VERSION < 3 + object temp; +#endif + handle load_src = src; + if (!src) { + return false; + } else if (!PyUnicode_Check(load_src.ptr())) { +#if PY_MAJOR_VERSION >= 3 + return load_bytes(load_src); +#else + if (sizeof(CharT) == 1) { + return load_bytes(load_src); + } + + // The below is a guaranteed failure in Python 3 when PyUnicode_Check returns false + if (!PYBIND11_BYTES_CHECK(load_src.ptr())) + return false; + + temp = reinterpret_steal(PyUnicode_FromObject(load_src.ptr())); + if (!temp) { PyErr_Clear(); return false; } + load_src = temp; +#endif + } + + object utfNbytes = reinterpret_steal(PyUnicode_AsEncodedString( + load_src.ptr(), UTF_N == 8 ? "utf-8" : UTF_N == 16 ? "utf-16" : "utf-32", nullptr)); + if (!utfNbytes) { PyErr_Clear(); return false; } + + const CharT *buffer = reinterpret_cast(PYBIND11_BYTES_AS_STRING(utfNbytes.ptr())); + size_t length = (size_t) PYBIND11_BYTES_SIZE(utfNbytes.ptr()) / sizeof(CharT); + if (UTF_N > 8) { buffer++; length--; } // Skip BOM for UTF-16/32 + value = StringType(buffer, length); + + // If we're loading a string_view we need to keep the encoded Python object alive: + if (IsView) + loader_life_support::add_patient(utfNbytes); + + return true; + } + + static handle cast(const StringType &src, return_value_policy /* policy */, handle /* parent */) { + const char *buffer = reinterpret_cast(src.data()); + ssize_t nbytes = ssize_t(src.size() * sizeof(CharT)); + handle s = decode_utfN(buffer, nbytes); + if (!s) throw error_already_set(); + return s; + } + + PYBIND11_TYPE_CASTER(StringType, _(PYBIND11_STRING_NAME)); + +private: + static handle decode_utfN(const char *buffer, ssize_t nbytes) { +#if !defined(PYPY_VERSION) + return + UTF_N == 8 ? PyUnicode_DecodeUTF8(buffer, nbytes, nullptr) : + UTF_N == 16 ? PyUnicode_DecodeUTF16(buffer, nbytes, nullptr, nullptr) : + PyUnicode_DecodeUTF32(buffer, nbytes, nullptr, nullptr); +#else + // PyPy seems to have multiple problems related to PyUnicode_UTF*: the UTF8 version + // sometimes segfaults for unknown reasons, while the UTF16 and 32 versions require a + // non-const char * arguments, which is also a nuisance, so bypass the whole thing by just + // passing the encoding as a string value, which works properly: + return PyUnicode_Decode(buffer, nbytes, UTF_N == 8 ? "utf-8" : UTF_N == 16 ? "utf-16" : "utf-32", nullptr); +#endif + } + + // When loading into a std::string or char*, accept a bytes object as-is (i.e. + // without any encoding/decoding attempt). For other C++ char sizes this is a no-op. + // which supports loading a unicode from a str, doesn't take this path. + template + bool load_bytes(enable_if_t src) { + if (PYBIND11_BYTES_CHECK(src.ptr())) { + // We were passed a Python 3 raw bytes; accept it into a std::string or char* + // without any encoding attempt. + const char *bytes = PYBIND11_BYTES_AS_STRING(src.ptr()); + if (bytes) { + value = StringType(bytes, (size_t) PYBIND11_BYTES_SIZE(src.ptr())); + return true; + } + } + + return false; + } + + template + bool load_bytes(enable_if_t) { return false; } +}; + +template +struct type_caster, enable_if_t::value>> + : string_caster> {}; + +#ifdef PYBIND11_HAS_STRING_VIEW +template +struct type_caster, enable_if_t::value>> + : string_caster, true> {}; +#endif + +// Type caster for C-style strings. We basically use a std::string type caster, but also add the +// ability to use None as a nullptr char* (which the string caster doesn't allow). +template struct type_caster::value>> { + using StringType = std::basic_string; + using StringCaster = type_caster; + StringCaster str_caster; + bool none = false; + CharT one_char = 0; +public: + bool load(handle src, bool convert) { + if (!src) return false; + if (src.is_none()) { + // Defer accepting None to other overloads (if we aren't in convert mode): + if (!convert) return false; + none = true; + return true; + } + return str_caster.load(src, convert); + } + + static handle cast(const CharT *src, return_value_policy policy, handle parent) { + if (src == nullptr) return pybind11::none().inc_ref(); + return StringCaster::cast(StringType(src), policy, parent); + } + + static handle cast(CharT src, return_value_policy policy, handle parent) { + if (std::is_same::value) { + handle s = PyUnicode_DecodeLatin1((const char *) &src, 1, nullptr); + if (!s) throw error_already_set(); + return s; + } + return StringCaster::cast(StringType(1, src), policy, parent); + } + + operator CharT*() { return none ? nullptr : const_cast(static_cast(str_caster).c_str()); } + operator CharT&() { + if (none) + throw value_error("Cannot convert None to a character"); + + auto &value = static_cast(str_caster); + size_t str_len = value.size(); + if (str_len == 0) + throw value_error("Cannot convert empty string to a character"); + + // If we're in UTF-8 mode, we have two possible failures: one for a unicode character that + // is too high, and one for multiple unicode characters (caught later), so we need to figure + // out how long the first encoded character is in bytes to distinguish between these two + // errors. We also allow want to allow unicode characters U+0080 through U+00FF, as those + // can fit into a single char value. + if (StringCaster::UTF_N == 8 && str_len > 1 && str_len <= 4) { + unsigned char v0 = static_cast(value[0]); + size_t char0_bytes = !(v0 & 0x80) ? 1 : // low bits only: 0-127 + (v0 & 0xE0) == 0xC0 ? 2 : // 0b110xxxxx - start of 2-byte sequence + (v0 & 0xF0) == 0xE0 ? 3 : // 0b1110xxxx - start of 3-byte sequence + 4; // 0b11110xxx - start of 4-byte sequence + + if (char0_bytes == str_len) { + // If we have a 128-255 value, we can decode it into a single char: + if (char0_bytes == 2 && (v0 & 0xFC) == 0xC0) { // 0x110000xx 0x10xxxxxx + one_char = static_cast(((v0 & 3) << 6) + (static_cast(value[1]) & 0x3F)); + return one_char; + } + // Otherwise we have a single character, but it's > U+00FF + throw value_error("Character code point not in range(0x100)"); + } + } + + // UTF-16 is much easier: we can only have a surrogate pair for values above U+FFFF, thus a + // surrogate pair with total length 2 instantly indicates a range error (but not a "your + // string was too long" error). + else if (StringCaster::UTF_N == 16 && str_len == 2) { + one_char = static_cast(value[0]); + if (one_char >= 0xD800 && one_char < 0xE000) + throw value_error("Character code point not in range(0x10000)"); + } + + if (str_len != 1) + throw value_error("Expected a character, but multi-character string found"); + + one_char = value[0]; + return one_char; + } + + static constexpr auto name = _(PYBIND11_STRING_NAME); + template using cast_op_type = pybind11::detail::cast_op_type<_T>; +}; + +// Base implementation for std::tuple and std::pair +template class Tuple, typename... Ts> class tuple_caster { + using type = Tuple; + static constexpr auto size = sizeof...(Ts); + using indices = make_index_sequence; +public: + + bool load(handle src, bool convert) { + if (!isinstance(src)) + return false; + const auto seq = reinterpret_borrow(src); + if (seq.size() != size) + return false; + return load_impl(seq, convert, indices{}); + } + + template + static handle cast(T &&src, return_value_policy policy, handle parent) { + return cast_impl(std::forward(src), policy, parent, indices{}); + } + + static constexpr auto name = _("Tuple[") + concat(make_caster::name...) + _("]"); + + template using cast_op_type = type; + + operator type() & { return implicit_cast(indices{}); } + operator type() && { return std::move(*this).implicit_cast(indices{}); } + +protected: + template + type implicit_cast(index_sequence) & { return type(cast_op(std::get(subcasters))...); } + template + type implicit_cast(index_sequence) && { return type(cast_op(std::move(std::get(subcasters)))...); } + + static constexpr bool load_impl(const sequence &, bool, index_sequence<>) { return true; } + + template + bool load_impl(const sequence &seq, bool convert, index_sequence) { + for (bool r : {std::get(subcasters).load(seq[Is], convert)...}) + if (!r) + return false; + return true; + } + + /* Implementation: Convert a C++ tuple into a Python tuple */ + template + static handle cast_impl(T &&src, return_value_policy policy, handle parent, index_sequence) { + std::array entries{{ + reinterpret_steal(make_caster::cast(std::get(std::forward(src)), policy, parent))... + }}; + for (const auto &entry: entries) + if (!entry) + return handle(); + tuple result(size); + int counter = 0; + for (auto & entry: entries) + PyTuple_SET_ITEM(result.ptr(), counter++, entry.release().ptr()); + return result.release(); + } + + Tuple...> subcasters; +}; + +template class type_caster> + : public tuple_caster {}; + +template class type_caster> + : public tuple_caster {}; + +/// Helper class which abstracts away certain actions. Users can provide specializations for +/// custom holders, but it's only necessary if the type has a non-standard interface. +template +struct holder_helper { + static auto get(const T &p) -> decltype(p.get()) { return p.get(); } +}; + +/// Type caster for holder types like std::shared_ptr, etc. +template +struct copyable_holder_caster : public type_caster_base { +public: + using base = type_caster_base; + static_assert(std::is_base_of>::value, + "Holder classes are only supported for custom types"); + using base::base; + using base::cast; + using base::typeinfo; + using base::value; + + bool load(handle src, bool convert) { + return base::template load_impl>(src, convert); + } + + explicit operator type*() { return this->value; } + explicit operator type&() { return *(this->value); } + explicit operator holder_type*() { return std::addressof(holder); } + + // Workaround for Intel compiler bug + // see pybind11 issue 94 + #if defined(__ICC) || defined(__INTEL_COMPILER) + operator holder_type&() { return holder; } + #else + explicit operator holder_type&() { return holder; } + #endif + + static handle cast(const holder_type &src, return_value_policy, handle) { + const auto *ptr = holder_helper::get(src); + return type_caster_base::cast_holder(ptr, &src); + } + +protected: + friend class type_caster_generic; + void check_holder_compat() { + if (typeinfo->default_holder) + throw cast_error("Unable to load a custom holder type from a default-holder instance"); + } + + bool load_value(value_and_holder &&v_h) { + if (v_h.holder_constructed()) { + value = v_h.value_ptr(); + holder = v_h.template holder(); + return true; + } else { + throw cast_error("Unable to cast from non-held to held instance (T& to Holder) " +#if defined(NDEBUG) + "(compile in debug mode for type information)"); +#else + "of type '" + type_id() + "''"); +#endif + } + } + + template ::value, int> = 0> + bool try_implicit_casts(handle, bool) { return false; } + + template ::value, int> = 0> + bool try_implicit_casts(handle src, bool convert) { + for (auto &cast : typeinfo->implicit_casts) { + copyable_holder_caster sub_caster(*cast.first); + if (sub_caster.load(src, convert)) { + value = cast.second(sub_caster.value); + holder = holder_type(sub_caster.holder, (type *) value); + return true; + } + } + return false; + } + + static bool try_direct_conversions(handle) { return false; } + + + holder_type holder; +}; + +/// Specialize for the common std::shared_ptr, so users don't need to +template +class type_caster> : public copyable_holder_caster> { }; + +template +struct move_only_holder_caster { + static_assert(std::is_base_of, type_caster>::value, + "Holder classes are only supported for custom types"); + + static handle cast(holder_type &&src, return_value_policy, handle) { + auto *ptr = holder_helper::get(src); + return type_caster_base::cast_holder(ptr, std::addressof(src)); + } + static constexpr auto name = type_caster_base::name; +}; + +template +class type_caster> + : public move_only_holder_caster> { }; + +template +using type_caster_holder = conditional_t::value, + copyable_holder_caster, + move_only_holder_caster>; + +template struct always_construct_holder { static constexpr bool value = Value; }; + +/// Create a specialization for custom holder types (silently ignores std::shared_ptr) +#define PYBIND11_DECLARE_HOLDER_TYPE(type, holder_type, ...) \ + namespace pybind11 { namespace detail { \ + template \ + struct always_construct_holder : always_construct_holder { }; \ + template \ + class type_caster::value>> \ + : public type_caster_holder { }; \ + }} + +// PYBIND11_DECLARE_HOLDER_TYPE holder types: +template struct is_holder_type : + std::is_base_of, detail::type_caster> {}; +// Specialization for always-supported unique_ptr holders: +template struct is_holder_type> : + std::true_type {}; + +template struct handle_type_name { static constexpr auto name = _(); }; +template <> struct handle_type_name { static constexpr auto name = _(PYBIND11_BYTES_NAME); }; +template <> struct handle_type_name { static constexpr auto name = _("*args"); }; +template <> struct handle_type_name { static constexpr auto name = _("**kwargs"); }; + +template +struct pyobject_caster { + template ::value, int> = 0> + bool load(handle src, bool /* convert */) { value = src; return static_cast(value); } + + template ::value, int> = 0> + bool load(handle src, bool /* convert */) { + if (!isinstance(src)) + return false; + value = reinterpret_borrow(src); + return true; + } + + static handle cast(const handle &src, return_value_policy /* policy */, handle /* parent */) { + return src.inc_ref(); + } + PYBIND11_TYPE_CASTER(type, handle_type_name::name); +}; + +template +class type_caster::value>> : public pyobject_caster { }; + +// Our conditions for enabling moving are quite restrictive: +// At compile time: +// - T needs to be a non-const, non-pointer, non-reference type +// - type_caster::operator T&() must exist +// - the type must be move constructible (obviously) +// At run-time: +// - if the type is non-copy-constructible, the object must be the sole owner of the type (i.e. it +// must have ref_count() == 1)h +// If any of the above are not satisfied, we fall back to copying. +template using move_is_plain_type = satisfies_none_of; +template struct move_always : std::false_type {}; +template struct move_always, + negation>, + std::is_move_constructible, + std::is_same>().operator T&()), T&> +>::value>> : std::true_type {}; +template struct move_if_unreferenced : std::false_type {}; +template struct move_if_unreferenced, + negation>, + std::is_move_constructible, + std::is_same>().operator T&()), T&> +>::value>> : std::true_type {}; +template using move_never = none_of, move_if_unreferenced>; + +// Detect whether returning a `type` from a cast on type's type_caster is going to result in a +// reference or pointer to a local variable of the type_caster. Basically, only +// non-reference/pointer `type`s and reference/pointers from a type_caster_generic are safe; +// everything else returns a reference/pointer to a local variable. +template using cast_is_temporary_value_reference = bool_constant< + (std::is_reference::value || std::is_pointer::value) && + !std::is_base_of>::value && + !std::is_same, void>::value +>; + +// When a value returned from a C++ function is being cast back to Python, we almost always want to +// force `policy = move`, regardless of the return value policy the function/method was declared +// with. +template struct return_value_policy_override { + static return_value_policy policy(return_value_policy p) { return p; } +}; + +template struct return_value_policy_override>::value, void>> { + static return_value_policy policy(return_value_policy p) { + return !std::is_lvalue_reference::value && + !std::is_pointer::value + ? return_value_policy::move : p; + } +}; + +// Basic python -> C++ casting; throws if casting fails +template type_caster &load_type(type_caster &conv, const handle &handle) { + if (!conv.load(handle, true)) { +#if defined(NDEBUG) + throw cast_error("Unable to cast Python instance to C++ type (compile in debug mode for details)"); +#else + throw cast_error("Unable to cast Python instance of type " + + (std::string) str(handle.get_type()) + " to C++ type '" + type_id() + "'"); +#endif + } + return conv; +} +// Wrapper around the above that also constructs and returns a type_caster +template make_caster load_type(const handle &handle) { + make_caster conv; + load_type(conv, handle); + return conv; +} + +NAMESPACE_END(detail) + +// pytype -> C++ type +template ::value, int> = 0> +T cast(const handle &handle) { + using namespace detail; + static_assert(!cast_is_temporary_value_reference::value, + "Unable to cast type to reference: value is local to type caster"); + return cast_op(load_type(handle)); +} + +// pytype -> pytype (calls converting constructor) +template ::value, int> = 0> +T cast(const handle &handle) { return T(reinterpret_borrow(handle)); } + +// C++ type -> py::object +template ::value, int> = 0> +object cast(const T &value, return_value_policy policy = return_value_policy::automatic_reference, + handle parent = handle()) { + if (policy == return_value_policy::automatic) + policy = std::is_pointer::value ? return_value_policy::take_ownership : return_value_policy::copy; + else if (policy == return_value_policy::automatic_reference) + policy = std::is_pointer::value ? return_value_policy::reference : return_value_policy::copy; + return reinterpret_steal(detail::make_caster::cast(value, policy, parent)); +} + +template T handle::cast() const { return pybind11::cast(*this); } +template <> inline void handle::cast() const { return; } + +template +detail::enable_if_t::value, T> move(object &&obj) { + if (obj.ref_count() > 1) +#if defined(NDEBUG) + throw cast_error("Unable to cast Python instance to C++ rvalue: instance has multiple references" + " (compile in debug mode for details)"); +#else + throw cast_error("Unable to move from Python " + (std::string) str(obj.get_type()) + + " instance to C++ " + type_id() + " instance: instance has multiple references"); +#endif + + // Move into a temporary and return that, because the reference may be a local value of `conv` + T ret = std::move(detail::load_type(obj).operator T&()); + return ret; +} + +// Calling cast() on an rvalue calls pybind::cast with the object rvalue, which does: +// - If we have to move (because T has no copy constructor), do it. This will fail if the moved +// object has multiple references, but trying to copy will fail to compile. +// - If both movable and copyable, check ref count: if 1, move; otherwise copy +// - Otherwise (not movable), copy. +template detail::enable_if_t::value, T> cast(object &&object) { + return move(std::move(object)); +} +template detail::enable_if_t::value, T> cast(object &&object) { + if (object.ref_count() > 1) + return cast(object); + else + return move(std::move(object)); +} +template detail::enable_if_t::value, T> cast(object &&object) { + return cast(object); +} + +template T object::cast() const & { return pybind11::cast(*this); } +template T object::cast() && { return pybind11::cast(std::move(*this)); } +template <> inline void object::cast() const & { return; } +template <> inline void object::cast() && { return; } + +NAMESPACE_BEGIN(detail) + +// Declared in pytypes.h: +template ::value, int>> +object object_or_cast(T &&o) { return pybind11::cast(std::forward(o)); } + +struct overload_unused {}; // Placeholder type for the unneeded (and dead code) static variable in the OVERLOAD_INT macro +template using overload_caster_t = conditional_t< + cast_is_temporary_value_reference::value, make_caster, overload_unused>; + +// Trampoline use: for reference/pointer types to value-converted values, we do a value cast, then +// store the result in the given variable. For other types, this is a no-op. +template enable_if_t::value, T> cast_ref(object &&o, make_caster &caster) { + return cast_op(load_type(caster, o)); +} +template enable_if_t::value, T> cast_ref(object &&, overload_unused &) { + pybind11_fail("Internal error: cast_ref fallback invoked"); } + +// Trampoline use: Having a pybind11::cast with an invalid reference type is going to static_assert, even +// though if it's in dead code, so we provide a "trampoline" to pybind11::cast that only does anything in +// cases where pybind11::cast is valid. +template enable_if_t::value, T> cast_safe(object &&o) { + return pybind11::cast(std::move(o)); } +template enable_if_t::value, T> cast_safe(object &&) { + pybind11_fail("Internal error: cast_safe fallback invoked"); } +template <> inline void cast_safe(object &&) {} + +NAMESPACE_END(detail) + +template +tuple make_tuple() { return tuple(0); } + +template tuple make_tuple(Args&&... args_) { + constexpr size_t size = sizeof...(Args); + std::array args { + { reinterpret_steal(detail::make_caster::cast( + std::forward(args_), policy, nullptr))... } + }; + for (size_t i = 0; i < args.size(); i++) { + if (!args[i]) { +#if defined(NDEBUG) + throw cast_error("make_tuple(): unable to convert arguments to Python object (compile in debug mode for details)"); +#else + std::array argtypes { {type_id()...} }; + throw cast_error("make_tuple(): unable to convert argument of type '" + + argtypes[i] + "' to Python object"); +#endif + } + } + tuple result(size); + int counter = 0; + for (auto &arg_value : args) + PyTuple_SET_ITEM(result.ptr(), counter++, arg_value.release().ptr()); + return result; +} + +/// \ingroup annotations +/// Annotation for arguments +struct arg { + /// Constructs an argument with the name of the argument; if null or omitted, this is a positional argument. + constexpr explicit arg(const char *name = nullptr) : name(name), flag_noconvert(false), flag_none(true) { } + /// Assign a value to this argument + template arg_v operator=(T &&value) const; + /// Indicate that the type should not be converted in the type caster + arg &noconvert(bool flag = true) { flag_noconvert = flag; return *this; } + /// Indicates that the argument should/shouldn't allow None (e.g. for nullable pointer args) + arg &none(bool flag = true) { flag_none = flag; return *this; } + + const char *name; ///< If non-null, this is a named kwargs argument + bool flag_noconvert : 1; ///< If set, do not allow conversion (requires a supporting type caster!) + bool flag_none : 1; ///< If set (the default), allow None to be passed to this argument +}; + +/// \ingroup annotations +/// Annotation for arguments with values +struct arg_v : arg { +private: + template + arg_v(arg &&base, T &&x, const char *descr = nullptr) + : arg(base), + value(reinterpret_steal( + detail::make_caster::cast(x, return_value_policy::automatic, {}) + )), + descr(descr) +#if !defined(NDEBUG) + , type(type_id()) +#endif + { } + +public: + /// Direct construction with name, default, and description + template + arg_v(const char *name, T &&x, const char *descr = nullptr) + : arg_v(arg(name), std::forward(x), descr) { } + + /// Called internally when invoking `py::arg("a") = value` + template + arg_v(const arg &base, T &&x, const char *descr = nullptr) + : arg_v(arg(base), std::forward(x), descr) { } + + /// Same as `arg::noconvert()`, but returns *this as arg_v&, not arg& + arg_v &noconvert(bool flag = true) { arg::noconvert(flag); return *this; } + + /// Same as `arg::nonone()`, but returns *this as arg_v&, not arg& + arg_v &none(bool flag = true) { arg::none(flag); return *this; } + + /// The default value + object value; + /// The (optional) description of the default value + const char *descr; +#if !defined(NDEBUG) + /// The C++ type name of the default value (only available when compiled in debug mode) + std::string type; +#endif +}; + +template +arg_v arg::operator=(T &&value) const { return {std::move(*this), std::forward(value)}; } + +/// Alias for backward compatibility -- to be removed in version 2.0 +template using arg_t = arg_v; + +inline namespace literals { +/** \rst + String literal version of `arg` + \endrst */ +constexpr arg operator"" _a(const char *name, size_t) { return arg(name); } +} + +NAMESPACE_BEGIN(detail) + +// forward declaration (definition in attr.h) +struct function_record; + +/// Internal data associated with a single function call +struct function_call { + function_call(const function_record &f, handle p); // Implementation in attr.h + + /// The function data: + const function_record &func; + + /// Arguments passed to the function: + std::vector args; + + /// The `convert` value the arguments should be loaded with + std::vector args_convert; + + /// Extra references for the optional `py::args` and/or `py::kwargs` arguments (which, if + /// present, are also in `args` but without a reference). + object args_ref, kwargs_ref; + + /// The parent, if any + handle parent; + + /// If this is a call to an initializer, this argument contains `self` + handle init_self; +}; + + +/// Helper class which loads arguments for C++ functions called from Python +template +class argument_loader { + using indices = make_index_sequence; + + template using argument_is_args = std::is_same, args>; + template using argument_is_kwargs = std::is_same, kwargs>; + // Get args/kwargs argument positions relative to the end of the argument list: + static constexpr auto args_pos = constexpr_first() - (int) sizeof...(Args), + kwargs_pos = constexpr_first() - (int) sizeof...(Args); + + static constexpr bool args_kwargs_are_last = kwargs_pos >= - 1 && args_pos >= kwargs_pos - 1; + + static_assert(args_kwargs_are_last, "py::args/py::kwargs are only permitted as the last argument(s) of a function"); + +public: + static constexpr bool has_kwargs = kwargs_pos < 0; + static constexpr bool has_args = args_pos < 0; + + static constexpr auto arg_names = concat(type_descr(make_caster::name)...); + + bool load_args(function_call &call) { + return load_impl_sequence(call, indices{}); + } + + template + enable_if_t::value, Return> call(Func &&f) && { + return std::move(*this).template call_impl(std::forward(f), indices{}, Guard{}); + } + + template + enable_if_t::value, void_type> call(Func &&f) && { + std::move(*this).template call_impl(std::forward(f), indices{}, Guard{}); + return void_type(); + } + +private: + + static bool load_impl_sequence(function_call &, index_sequence<>) { return true; } + + template + bool load_impl_sequence(function_call &call, index_sequence) { + for (bool r : {std::get(argcasters).load(call.args[Is], call.args_convert[Is])...}) + if (!r) + return false; + return true; + } + + template + Return call_impl(Func &&f, index_sequence, Guard &&) { + return std::forward(f)(cast_op(std::move(std::get(argcasters)))...); + } + + std::tuple...> argcasters; +}; + +/// Helper class which collects only positional arguments for a Python function call. +/// A fancier version below can collect any argument, but this one is optimal for simple calls. +template +class simple_collector { +public: + template + explicit simple_collector(Ts &&...values) + : m_args(pybind11::make_tuple(std::forward(values)...)) { } + + const tuple &args() const & { return m_args; } + dict kwargs() const { return {}; } + + tuple args() && { return std::move(m_args); } + + /// Call a Python function and pass the collected arguments + object call(PyObject *ptr) const { + PyObject *result = PyObject_CallObject(ptr, m_args.ptr()); + if (!result) + throw error_already_set(); + return reinterpret_steal(result); + } + +private: + tuple m_args; +}; + +/// Helper class which collects positional, keyword, * and ** arguments for a Python function call +template +class unpacking_collector { +public: + template + explicit unpacking_collector(Ts &&...values) { + // Tuples aren't (easily) resizable so a list is needed for collection, + // but the actual function call strictly requires a tuple. + auto args_list = list(); + int _[] = { 0, (process(args_list, std::forward(values)), 0)... }; + ignore_unused(_); + + m_args = std::move(args_list); + } + + const tuple &args() const & { return m_args; } + const dict &kwargs() const & { return m_kwargs; } + + tuple args() && { return std::move(m_args); } + dict kwargs() && { return std::move(m_kwargs); } + + /// Call a Python function and pass the collected arguments + object call(PyObject *ptr) const { + PyObject *result = PyObject_Call(ptr, m_args.ptr(), m_kwargs.ptr()); + if (!result) + throw error_already_set(); + return reinterpret_steal(result); + } + +private: + template + void process(list &args_list, T &&x) { + auto o = reinterpret_steal(detail::make_caster::cast(std::forward(x), policy, {})); + if (!o) { +#if defined(NDEBUG) + argument_cast_error(); +#else + argument_cast_error(std::to_string(args_list.size()), type_id()); +#endif + } + args_list.append(o); + } + + void process(list &args_list, detail::args_proxy ap) { + for (const auto &a : ap) + args_list.append(a); + } + + void process(list &/*args_list*/, arg_v a) { + if (!a.name) +#if defined(NDEBUG) + nameless_argument_error(); +#else + nameless_argument_error(a.type); +#endif + + if (m_kwargs.contains(a.name)) { +#if defined(NDEBUG) + multiple_values_error(); +#else + multiple_values_error(a.name); +#endif + } + if (!a.value) { +#if defined(NDEBUG) + argument_cast_error(); +#else + argument_cast_error(a.name, a.type); +#endif + } + m_kwargs[a.name] = a.value; + } + + void process(list &/*args_list*/, detail::kwargs_proxy kp) { + if (!kp) + return; + for (const auto &k : reinterpret_borrow(kp)) { + if (m_kwargs.contains(k.first)) { +#if defined(NDEBUG) + multiple_values_error(); +#else + multiple_values_error(str(k.first)); +#endif + } + m_kwargs[k.first] = k.second; + } + } + + [[noreturn]] static void nameless_argument_error() { + throw type_error("Got kwargs without a name; only named arguments " + "may be passed via py::arg() to a python function call. " + "(compile in debug mode for details)"); + } + [[noreturn]] static void nameless_argument_error(std::string type) { + throw type_error("Got kwargs without a name of type '" + type + "'; only named " + "arguments may be passed via py::arg() to a python function call. "); + } + [[noreturn]] static void multiple_values_error() { + throw type_error("Got multiple values for keyword argument " + "(compile in debug mode for details)"); + } + + [[noreturn]] static void multiple_values_error(std::string name) { + throw type_error("Got multiple values for keyword argument '" + name + "'"); + } + + [[noreturn]] static void argument_cast_error() { + throw cast_error("Unable to convert call argument to Python object " + "(compile in debug mode for details)"); + } + + [[noreturn]] static void argument_cast_error(std::string name, std::string type) { + throw cast_error("Unable to convert call argument '" + name + + "' of type '" + type + "' to Python object"); + } + +private: + tuple m_args; + dict m_kwargs; +}; + +/// Collect only positional arguments for a Python function call +template ...>::value>> +simple_collector collect_arguments(Args &&...args) { + return simple_collector(std::forward(args)...); +} + +/// Collect all arguments, including keywords and unpacking (only instantiated when needed) +template ...>::value>> +unpacking_collector collect_arguments(Args &&...args) { + // Following argument order rules for generalized unpacking according to PEP 448 + static_assert( + constexpr_last() < constexpr_first() + && constexpr_last() < constexpr_first(), + "Invalid function call: positional args must precede keywords and ** unpacking; " + "* unpacking must precede ** unpacking" + ); + return unpacking_collector(std::forward(args)...); +} + +template +template +object object_api::operator()(Args &&...args) const { + return detail::collect_arguments(std::forward(args)...).call(derived().ptr()); +} + +template +template +object object_api::call(Args &&...args) const { + return operator()(std::forward(args)...); +} + +NAMESPACE_END(detail) + +#define PYBIND11_MAKE_OPAQUE(...) \ + namespace pybind11 { namespace detail { \ + template<> class type_caster<__VA_ARGS__> : public type_caster_base<__VA_ARGS__> { }; \ + }} + +/// Lets you pass a type containing a `,` through a macro parameter without needing a separate +/// typedef, e.g.: `PYBIND11_OVERLOAD(PYBIND11_TYPE(ReturnType), PYBIND11_TYPE(Parent), f, arg)` +#define PYBIND11_TYPE(...) __VA_ARGS__ + +NAMESPACE_END(PYBIND11_NAMESPACE) diff --git a/external/pybind11/include/pybind11/chrono.h b/external/pybind11/include/pybind11/chrono.h new file mode 100644 index 0000000..ea777e6 --- /dev/null +++ b/external/pybind11/include/pybind11/chrono.h @@ -0,0 +1,184 @@ +/* + pybind11/chrono.h: Transparent conversion between std::chrono and python's datetime + + Copyright (c) 2016 Trent Houliston and + Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#pragma once + +#include "pybind11.h" +#include +#include +#include +#include + +// Backport the PyDateTime_DELTA functions from Python3.3 if required +#ifndef PyDateTime_DELTA_GET_DAYS +#define PyDateTime_DELTA_GET_DAYS(o) (((PyDateTime_Delta*)o)->days) +#endif +#ifndef PyDateTime_DELTA_GET_SECONDS +#define PyDateTime_DELTA_GET_SECONDS(o) (((PyDateTime_Delta*)o)->seconds) +#endif +#ifndef PyDateTime_DELTA_GET_MICROSECONDS +#define PyDateTime_DELTA_GET_MICROSECONDS(o) (((PyDateTime_Delta*)o)->microseconds) +#endif + +NAMESPACE_BEGIN(PYBIND11_NAMESPACE) +NAMESPACE_BEGIN(detail) + +template class duration_caster { +public: + typedef typename type::rep rep; + typedef typename type::period period; + + typedef std::chrono::duration> days; + + bool load(handle src, bool) { + using namespace std::chrono; + + // Lazy initialise the PyDateTime import + if (!PyDateTimeAPI) { PyDateTime_IMPORT; } + + if (!src) return false; + // If invoked with datetime.delta object + if (PyDelta_Check(src.ptr())) { + value = type(duration_cast>( + days(PyDateTime_DELTA_GET_DAYS(src.ptr())) + + seconds(PyDateTime_DELTA_GET_SECONDS(src.ptr())) + + microseconds(PyDateTime_DELTA_GET_MICROSECONDS(src.ptr())))); + return true; + } + // If invoked with a float we assume it is seconds and convert + else if (PyFloat_Check(src.ptr())) { + value = type(duration_cast>(duration(PyFloat_AsDouble(src.ptr())))); + return true; + } + else return false; + } + + // If this is a duration just return it back + static const std::chrono::duration& get_duration(const std::chrono::duration &src) { + return src; + } + + // If this is a time_point get the time_since_epoch + template static std::chrono::duration get_duration(const std::chrono::time_point> &src) { + return src.time_since_epoch(); + } + + static handle cast(const type &src, return_value_policy /* policy */, handle /* parent */) { + using namespace std::chrono; + + // Use overloaded function to get our duration from our source + // Works out if it is a duration or time_point and get the duration + auto d = get_duration(src); + + // Lazy initialise the PyDateTime import + if (!PyDateTimeAPI) { PyDateTime_IMPORT; } + + // Declare these special duration types so the conversions happen with the correct primitive types (int) + using dd_t = duration>; + using ss_t = duration>; + using us_t = duration; + + auto dd = duration_cast(d); + auto subd = d - dd; + auto ss = duration_cast(subd); + auto us = duration_cast(subd - ss); + return PyDelta_FromDSU(dd.count(), ss.count(), us.count()); + } + + PYBIND11_TYPE_CASTER(type, _("datetime.timedelta")); +}; + +// This is for casting times on the system clock into datetime.datetime instances +template class type_caster> { +public: + typedef std::chrono::time_point type; + bool load(handle src, bool) { + using namespace std::chrono; + + // Lazy initialise the PyDateTime import + if (!PyDateTimeAPI) { PyDateTime_IMPORT; } + + if (!src) return false; + + std::tm cal; + microseconds msecs; + + if (PyDateTime_Check(src.ptr())) { + cal.tm_sec = PyDateTime_DATE_GET_SECOND(src.ptr()); + cal.tm_min = PyDateTime_DATE_GET_MINUTE(src.ptr()); + cal.tm_hour = PyDateTime_DATE_GET_HOUR(src.ptr()); + cal.tm_mday = PyDateTime_GET_DAY(src.ptr()); + cal.tm_mon = PyDateTime_GET_MONTH(src.ptr()) - 1; + cal.tm_year = PyDateTime_GET_YEAR(src.ptr()) - 1900; + cal.tm_isdst = -1; + msecs = microseconds(PyDateTime_DATE_GET_MICROSECOND(src.ptr())); + } else if (PyDate_Check(src.ptr())) { + cal.tm_sec = 0; + cal.tm_min = 0; + cal.tm_hour = 0; + cal.tm_mday = PyDateTime_GET_DAY(src.ptr()); + cal.tm_mon = PyDateTime_GET_MONTH(src.ptr()) - 1; + cal.tm_year = PyDateTime_GET_YEAR(src.ptr()) - 1900; + cal.tm_isdst = -1; + msecs = microseconds(0); + } else if (PyTime_Check(src.ptr())) { + cal.tm_sec = PyDateTime_TIME_GET_SECOND(src.ptr()); + cal.tm_min = PyDateTime_TIME_GET_MINUTE(src.ptr()); + cal.tm_hour = PyDateTime_TIME_GET_HOUR(src.ptr()); + cal.tm_mday = 1; // This date (day, month, year) = (1, 0, 70) + cal.tm_mon = 0; // represents 1-Jan-1970, which is the first + cal.tm_year = 70; // earliest available date for Python's datetime + cal.tm_isdst = -1; + msecs = microseconds(PyDateTime_TIME_GET_MICROSECOND(src.ptr())); + } + else return false; + + value = system_clock::from_time_t(std::mktime(&cal)) + msecs; + return true; + } + + static handle cast(const std::chrono::time_point &src, return_value_policy /* policy */, handle /* parent */) { + using namespace std::chrono; + + // Lazy initialise the PyDateTime import + if (!PyDateTimeAPI) { PyDateTime_IMPORT; } + + std::time_t tt = system_clock::to_time_t(time_point_cast(src)); + // this function uses static memory so it's best to copy it out asap just in case + // otherwise other code that is using localtime may break this (not just python code) + std::tm localtime = *std::localtime(&tt); + + // Declare these special duration types so the conversions happen with the correct primitive types (int) + using us_t = duration; + + return PyDateTime_FromDateAndTime(localtime.tm_year + 1900, + localtime.tm_mon + 1, + localtime.tm_mday, + localtime.tm_hour, + localtime.tm_min, + localtime.tm_sec, + (duration_cast(src.time_since_epoch() % seconds(1))).count()); + } + PYBIND11_TYPE_CASTER(type, _("datetime.datetime")); +}; + +// Other clocks that are not the system clock are not measured as datetime.datetime objects +// since they are not measured on calendar time. So instead we just make them timedeltas +// Or if they have passed us a time as a float we convert that +template class type_caster> +: public duration_caster> { +}; + +template class type_caster> +: public duration_caster> { +}; + +NAMESPACE_END(detail) +NAMESPACE_END(PYBIND11_NAMESPACE) diff --git a/external/pybind11/include/pybind11/common.h b/external/pybind11/include/pybind11/common.h new file mode 100644 index 0000000..6c8a4f1 --- /dev/null +++ b/external/pybind11/include/pybind11/common.h @@ -0,0 +1,2 @@ +#include "detail/common.h" +#warning "Including 'common.h' is deprecated. It will be removed in v3.0. Use 'pybind11.h'." diff --git a/external/pybind11/include/pybind11/complex.h b/external/pybind11/include/pybind11/complex.h new file mode 100644 index 0000000..3f89638 --- /dev/null +++ b/external/pybind11/include/pybind11/complex.h @@ -0,0 +1,65 @@ +/* + pybind11/complex.h: Complex number support + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#pragma once + +#include "pybind11.h" +#include + +/// glibc defines I as a macro which breaks things, e.g., boost template names +#ifdef I +# undef I +#endif + +NAMESPACE_BEGIN(PYBIND11_NAMESPACE) + +template struct format_descriptor, detail::enable_if_t::value>> { + static constexpr const char c = format_descriptor::c; + static constexpr const char value[3] = { 'Z', c, '\0' }; + static std::string format() { return std::string(value); } +}; + +#ifndef PYBIND11_CPP17 + +template constexpr const char format_descriptor< + std::complex, detail::enable_if_t::value>>::value[3]; + +#endif + +NAMESPACE_BEGIN(detail) + +template struct is_fmt_numeric, detail::enable_if_t::value>> { + static constexpr bool value = true; + static constexpr int index = is_fmt_numeric::index + 3; +}; + +template class type_caster> { +public: + bool load(handle src, bool convert) { + if (!src) + return false; + if (!convert && !PyComplex_Check(src.ptr())) + return false; + Py_complex result = PyComplex_AsCComplex(src.ptr()); + if (result.real == -1.0 && PyErr_Occurred()) { + PyErr_Clear(); + return false; + } + value = std::complex((T) result.real, (T) result.imag); + return true; + } + + static handle cast(const std::complex &src, return_value_policy /* policy */, handle /* parent */) { + return PyComplex_FromDoubles((double) src.real(), (double) src.imag()); + } + + PYBIND11_TYPE_CASTER(std::complex, _("complex")); +}; +NAMESPACE_END(detail) +NAMESPACE_END(PYBIND11_NAMESPACE) diff --git a/external/pybind11/include/pybind11/detail/class.h b/external/pybind11/include/pybind11/detail/class.h new file mode 100644 index 0000000..230ae81 --- /dev/null +++ b/external/pybind11/include/pybind11/detail/class.h @@ -0,0 +1,632 @@ +/* + pybind11/detail/class.h: Python C API implementation details for py::class_ + + Copyright (c) 2017 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#pragma once + +#include "../attr.h" +#include "../options.h" + +NAMESPACE_BEGIN(PYBIND11_NAMESPACE) +NAMESPACE_BEGIN(detail) + +#if PY_VERSION_HEX >= 0x03030000 +# define PYBIND11_BUILTIN_QUALNAME +# define PYBIND11_SET_OLDPY_QUALNAME(obj, nameobj) +#else +// In pre-3.3 Python, we still set __qualname__ so that we can produce reliable function type +// signatures; in 3.3+ this macro expands to nothing: +# define PYBIND11_SET_OLDPY_QUALNAME(obj, nameobj) setattr((PyObject *) obj, "__qualname__", nameobj) +#endif + +inline PyTypeObject *type_incref(PyTypeObject *type) { + Py_INCREF(type); + return type; +} + +#if !defined(PYPY_VERSION) + +/// `pybind11_static_property.__get__()`: Always pass the class instead of the instance. +extern "C" inline PyObject *pybind11_static_get(PyObject *self, PyObject * /*ob*/, PyObject *cls) { + return PyProperty_Type.tp_descr_get(self, cls, cls); +} + +/// `pybind11_static_property.__set__()`: Just like the above `__get__()`. +extern "C" inline int pybind11_static_set(PyObject *self, PyObject *obj, PyObject *value) { + PyObject *cls = PyType_Check(obj) ? obj : (PyObject *) Py_TYPE(obj); + return PyProperty_Type.tp_descr_set(self, cls, value); +} + +/** A `static_property` is the same as a `property` but the `__get__()` and `__set__()` + methods are modified to always use the object type instead of a concrete instance. + Return value: New reference. */ +inline PyTypeObject *make_static_property_type() { + constexpr auto *name = "pybind11_static_property"; + auto name_obj = reinterpret_steal(PYBIND11_FROM_STRING(name)); + + /* Danger zone: from now (and until PyType_Ready), make sure to + issue no Python C API calls which could potentially invoke the + garbage collector (the GC will call type_traverse(), which will in + turn find the newly constructed type in an invalid state) */ + auto heap_type = (PyHeapTypeObject *) PyType_Type.tp_alloc(&PyType_Type, 0); + if (!heap_type) + pybind11_fail("make_static_property_type(): error allocating type!"); + + heap_type->ht_name = name_obj.inc_ref().ptr(); +#ifdef PYBIND11_BUILTIN_QUALNAME + heap_type->ht_qualname = name_obj.inc_ref().ptr(); +#endif + + auto type = &heap_type->ht_type; + type->tp_name = name; + type->tp_base = type_incref(&PyProperty_Type); + type->tp_flags = Py_TPFLAGS_DEFAULT | Py_TPFLAGS_BASETYPE | Py_TPFLAGS_HEAPTYPE; + type->tp_descr_get = pybind11_static_get; + type->tp_descr_set = pybind11_static_set; + + if (PyType_Ready(type) < 0) + pybind11_fail("make_static_property_type(): failure in PyType_Ready()!"); + + setattr((PyObject *) type, "__module__", str("pybind11_builtins")); + PYBIND11_SET_OLDPY_QUALNAME(type, name_obj); + + return type; +} + +#else // PYPY + +/** PyPy has some issues with the above C API, so we evaluate Python code instead. + This function will only be called once so performance isn't really a concern. + Return value: New reference. */ +inline PyTypeObject *make_static_property_type() { + auto d = dict(); + PyObject *result = PyRun_String(R"(\ + class pybind11_static_property(property): + def __get__(self, obj, cls): + return property.__get__(self, cls, cls) + + def __set__(self, obj, value): + cls = obj if isinstance(obj, type) else type(obj) + property.__set__(self, cls, value) + )", Py_file_input, d.ptr(), d.ptr() + ); + if (result == nullptr) + throw error_already_set(); + Py_DECREF(result); + return (PyTypeObject *) d["pybind11_static_property"].cast().release().ptr(); +} + +#endif // PYPY + +/** Types with static properties need to handle `Type.static_prop = x` in a specific way. + By default, Python replaces the `static_property` itself, but for wrapped C++ types + we need to call `static_property.__set__()` in order to propagate the new value to + the underlying C++ data structure. */ +extern "C" inline int pybind11_meta_setattro(PyObject* obj, PyObject* name, PyObject* value) { + // Use `_PyType_Lookup()` instead of `PyObject_GetAttr()` in order to get the raw + // descriptor (`property`) instead of calling `tp_descr_get` (`property.__get__()`). + PyObject *descr = _PyType_Lookup((PyTypeObject *) obj, name); + + // The following assignment combinations are possible: + // 1. `Type.static_prop = value` --> descr_set: `Type.static_prop.__set__(value)` + // 2. `Type.static_prop = other_static_prop` --> setattro: replace existing `static_prop` + // 3. `Type.regular_attribute = value` --> setattro: regular attribute assignment + const auto static_prop = (PyObject *) get_internals().static_property_type; + const auto call_descr_set = descr && PyObject_IsInstance(descr, static_prop) + && !PyObject_IsInstance(value, static_prop); + if (call_descr_set) { + // Call `static_property.__set__()` instead of replacing the `static_property`. +#if !defined(PYPY_VERSION) + return Py_TYPE(descr)->tp_descr_set(descr, obj, value); +#else + if (PyObject *result = PyObject_CallMethod(descr, "__set__", "OO", obj, value)) { + Py_DECREF(result); + return 0; + } else { + return -1; + } +#endif + } else { + // Replace existing attribute. + return PyType_Type.tp_setattro(obj, name, value); + } +} + +#if PY_MAJOR_VERSION >= 3 +/** + * Python 3's PyInstanceMethod_Type hides itself via its tp_descr_get, which prevents aliasing + * methods via cls.attr("m2") = cls.attr("m1"): instead the tp_descr_get returns a plain function, + * when called on a class, or a PyMethod, when called on an instance. Override that behaviour here + * to do a special case bypass for PyInstanceMethod_Types. + */ +extern "C" inline PyObject *pybind11_meta_getattro(PyObject *obj, PyObject *name) { + PyObject *descr = _PyType_Lookup((PyTypeObject *) obj, name); + if (descr && PyInstanceMethod_Check(descr)) { + Py_INCREF(descr); + return descr; + } + else { + return PyType_Type.tp_getattro(obj, name); + } +} +#endif + +/** This metaclass is assigned by default to all pybind11 types and is required in order + for static properties to function correctly. Users may override this using `py::metaclass`. + Return value: New reference. */ +inline PyTypeObject* make_default_metaclass() { + constexpr auto *name = "pybind11_type"; + auto name_obj = reinterpret_steal(PYBIND11_FROM_STRING(name)); + + /* Danger zone: from now (and until PyType_Ready), make sure to + issue no Python C API calls which could potentially invoke the + garbage collector (the GC will call type_traverse(), which will in + turn find the newly constructed type in an invalid state) */ + auto heap_type = (PyHeapTypeObject *) PyType_Type.tp_alloc(&PyType_Type, 0); + if (!heap_type) + pybind11_fail("make_default_metaclass(): error allocating metaclass!"); + + heap_type->ht_name = name_obj.inc_ref().ptr(); +#ifdef PYBIND11_BUILTIN_QUALNAME + heap_type->ht_qualname = name_obj.inc_ref().ptr(); +#endif + + auto type = &heap_type->ht_type; + type->tp_name = name; + type->tp_base = type_incref(&PyType_Type); + type->tp_flags = Py_TPFLAGS_DEFAULT | Py_TPFLAGS_BASETYPE | Py_TPFLAGS_HEAPTYPE; + + type->tp_setattro = pybind11_meta_setattro; +#if PY_MAJOR_VERSION >= 3 + type->tp_getattro = pybind11_meta_getattro; +#endif + + if (PyType_Ready(type) < 0) + pybind11_fail("make_default_metaclass(): failure in PyType_Ready()!"); + + setattr((PyObject *) type, "__module__", str("pybind11_builtins")); + PYBIND11_SET_OLDPY_QUALNAME(type, name_obj); + + return type; +} + +/// For multiple inheritance types we need to recursively register/deregister base pointers for any +/// base classes with pointers that are difference from the instance value pointer so that we can +/// correctly recognize an offset base class pointer. This calls a function with any offset base ptrs. +inline void traverse_offset_bases(void *valueptr, const detail::type_info *tinfo, instance *self, + bool (*f)(void * /*parentptr*/, instance * /*self*/)) { + for (handle h : reinterpret_borrow(tinfo->type->tp_bases)) { + if (auto parent_tinfo = get_type_info((PyTypeObject *) h.ptr())) { + for (auto &c : parent_tinfo->implicit_casts) { + if (c.first == tinfo->cpptype) { + auto *parentptr = c.second(valueptr); + if (parentptr != valueptr) + f(parentptr, self); + traverse_offset_bases(parentptr, parent_tinfo, self, f); + break; + } + } + } + } +} + +inline bool register_instance_impl(void *ptr, instance *self) { + get_internals().registered_instances.emplace(ptr, self); + return true; // unused, but gives the same signature as the deregister func +} +inline bool deregister_instance_impl(void *ptr, instance *self) { + auto ®istered_instances = get_internals().registered_instances; + auto range = registered_instances.equal_range(ptr); + for (auto it = range.first; it != range.second; ++it) { + if (Py_TYPE(self) == Py_TYPE(it->second)) { + registered_instances.erase(it); + return true; + } + } + return false; +} + +inline void register_instance(instance *self, void *valptr, const type_info *tinfo) { + register_instance_impl(valptr, self); + if (!tinfo->simple_ancestors) + traverse_offset_bases(valptr, tinfo, self, register_instance_impl); +} + +inline bool deregister_instance(instance *self, void *valptr, const type_info *tinfo) { + bool ret = deregister_instance_impl(valptr, self); + if (!tinfo->simple_ancestors) + traverse_offset_bases(valptr, tinfo, self, deregister_instance_impl); + return ret; +} + +/// Instance creation function for all pybind11 types. It allocates the internal instance layout for +/// holding C++ objects and holders. Allocation is done lazily (the first time the instance is cast +/// to a reference or pointer), and initialization is done by an `__init__` function. +inline PyObject *make_new_instance(PyTypeObject *type) { +#if defined(PYPY_VERSION) + // PyPy gets tp_basicsize wrong (issue 2482) under multiple inheritance when the first inherited + // object is a a plain Python type (i.e. not derived from an extension type). Fix it. + ssize_t instance_size = static_cast(sizeof(instance)); + if (type->tp_basicsize < instance_size) { + type->tp_basicsize = instance_size; + } +#endif + PyObject *self = type->tp_alloc(type, 0); + auto inst = reinterpret_cast(self); + // Allocate the value/holder internals: + inst->allocate_layout(); + + inst->owned = true; + + return self; +} + +/// Instance creation function for all pybind11 types. It only allocates space for the +/// C++ object, but doesn't call the constructor -- an `__init__` function must do that. +extern "C" inline PyObject *pybind11_object_new(PyTypeObject *type, PyObject *, PyObject *) { + return make_new_instance(type); +} + +/// An `__init__` function constructs the C++ object. Users should provide at least one +/// of these using `py::init` or directly with `.def(__init__, ...)`. Otherwise, the +/// following default function will be used which simply throws an exception. +extern "C" inline int pybind11_object_init(PyObject *self, PyObject *, PyObject *) { + PyTypeObject *type = Py_TYPE(self); + std::string msg; +#if defined(PYPY_VERSION) + msg += handle((PyObject *) type).attr("__module__").cast() + "."; +#endif + msg += type->tp_name; + msg += ": No constructor defined!"; + PyErr_SetString(PyExc_TypeError, msg.c_str()); + return -1; +} + +inline void add_patient(PyObject *nurse, PyObject *patient) { + auto &internals = get_internals(); + auto instance = reinterpret_cast(nurse); + instance->has_patients = true; + Py_INCREF(patient); + internals.patients[nurse].push_back(patient); +} + +inline void clear_patients(PyObject *self) { + auto instance = reinterpret_cast(self); + auto &internals = get_internals(); + auto pos = internals.patients.find(self); + assert(pos != internals.patients.end()); + // Clearing the patients can cause more Python code to run, which + // can invalidate the iterator. Extract the vector of patients + // from the unordered_map first. + auto patients = std::move(pos->second); + internals.patients.erase(pos); + instance->has_patients = false; + for (PyObject *&patient : patients) + Py_CLEAR(patient); +} + +/// Clears all internal data from the instance and removes it from registered instances in +/// preparation for deallocation. +inline void clear_instance(PyObject *self) { + auto instance = reinterpret_cast(self); + + // Deallocate any values/holders, if present: + for (auto &v_h : values_and_holders(instance)) { + if (v_h) { + + // We have to deregister before we call dealloc because, for virtual MI types, we still + // need to be able to get the parent pointers. + if (v_h.instance_registered() && !deregister_instance(instance, v_h.value_ptr(), v_h.type)) + pybind11_fail("pybind11_object_dealloc(): Tried to deallocate unregistered instance!"); + + if (instance->owned || v_h.holder_constructed()) + v_h.type->dealloc(v_h); + } + } + // Deallocate the value/holder layout internals: + instance->deallocate_layout(); + + if (instance->weakrefs) + PyObject_ClearWeakRefs(self); + + PyObject **dict_ptr = _PyObject_GetDictPtr(self); + if (dict_ptr) + Py_CLEAR(*dict_ptr); + + if (instance->has_patients) + clear_patients(self); +} + +/// Instance destructor function for all pybind11 types. It calls `type_info.dealloc` +/// to destroy the C++ object itself, while the rest is Python bookkeeping. +extern "C" inline void pybind11_object_dealloc(PyObject *self) { + clear_instance(self); + + auto type = Py_TYPE(self); + type->tp_free(self); + +#if PY_VERSION_HEX < 0x03080000 + // `type->tp_dealloc != pybind11_object_dealloc` means that we're being called + // as part of a derived type's dealloc, in which case we're not allowed to decref + // the type here. For cross-module compatibility, we shouldn't compare directly + // with `pybind11_object_dealloc`, but with the common one stashed in internals. + auto pybind11_object_type = (PyTypeObject *) get_internals().instance_base; + if (type->tp_dealloc == pybind11_object_type->tp_dealloc) + Py_DECREF(type); +#else + // This was not needed before Python 3.8 (Python issue 35810) + // https://github.com/pybind/pybind11/issues/1946 + Py_DECREF(type); +#endif +} + +/** Create the type which can be used as a common base for all classes. This is + needed in order to satisfy Python's requirements for multiple inheritance. + Return value: New reference. */ +inline PyObject *make_object_base_type(PyTypeObject *metaclass) { + constexpr auto *name = "pybind11_object"; + auto name_obj = reinterpret_steal(PYBIND11_FROM_STRING(name)); + + /* Danger zone: from now (and until PyType_Ready), make sure to + issue no Python C API calls which could potentially invoke the + garbage collector (the GC will call type_traverse(), which will in + turn find the newly constructed type in an invalid state) */ + auto heap_type = (PyHeapTypeObject *) metaclass->tp_alloc(metaclass, 0); + if (!heap_type) + pybind11_fail("make_object_base_type(): error allocating type!"); + + heap_type->ht_name = name_obj.inc_ref().ptr(); +#ifdef PYBIND11_BUILTIN_QUALNAME + heap_type->ht_qualname = name_obj.inc_ref().ptr(); +#endif + + auto type = &heap_type->ht_type; + type->tp_name = name; + type->tp_base = type_incref(&PyBaseObject_Type); + type->tp_basicsize = static_cast(sizeof(instance)); + type->tp_flags = Py_TPFLAGS_DEFAULT | Py_TPFLAGS_BASETYPE | Py_TPFLAGS_HEAPTYPE; + + type->tp_new = pybind11_object_new; + type->tp_init = pybind11_object_init; + type->tp_dealloc = pybind11_object_dealloc; + + /* Support weak references (needed for the keep_alive feature) */ + type->tp_weaklistoffset = offsetof(instance, weakrefs); + + if (PyType_Ready(type) < 0) + pybind11_fail("PyType_Ready failed in make_object_base_type():" + error_string()); + + setattr((PyObject *) type, "__module__", str("pybind11_builtins")); + PYBIND11_SET_OLDPY_QUALNAME(type, name_obj); + + assert(!PyType_HasFeature(type, Py_TPFLAGS_HAVE_GC)); + return (PyObject *) heap_type; +} + +/// dynamic_attr: Support for `d = instance.__dict__`. +extern "C" inline PyObject *pybind11_get_dict(PyObject *self, void *) { + PyObject *&dict = *_PyObject_GetDictPtr(self); + if (!dict) + dict = PyDict_New(); + Py_XINCREF(dict); + return dict; +} + +/// dynamic_attr: Support for `instance.__dict__ = dict()`. +extern "C" inline int pybind11_set_dict(PyObject *self, PyObject *new_dict, void *) { + if (!PyDict_Check(new_dict)) { + PyErr_Format(PyExc_TypeError, "__dict__ must be set to a dictionary, not a '%.200s'", + Py_TYPE(new_dict)->tp_name); + return -1; + } + PyObject *&dict = *_PyObject_GetDictPtr(self); + Py_INCREF(new_dict); + Py_CLEAR(dict); + dict = new_dict; + return 0; +} + +/// dynamic_attr: Allow the garbage collector to traverse the internal instance `__dict__`. +extern "C" inline int pybind11_traverse(PyObject *self, visitproc visit, void *arg) { + PyObject *&dict = *_PyObject_GetDictPtr(self); + Py_VISIT(dict); + return 0; +} + +/// dynamic_attr: Allow the GC to clear the dictionary. +extern "C" inline int pybind11_clear(PyObject *self) { + PyObject *&dict = *_PyObject_GetDictPtr(self); + Py_CLEAR(dict); + return 0; +} + +/// Give instances of this type a `__dict__` and opt into garbage collection. +inline void enable_dynamic_attributes(PyHeapTypeObject *heap_type) { + auto type = &heap_type->ht_type; +#if defined(PYPY_VERSION) + pybind11_fail(std::string(type->tp_name) + ": dynamic attributes are " + "currently not supported in " + "conjunction with PyPy!"); +#endif + type->tp_flags |= Py_TPFLAGS_HAVE_GC; + type->tp_dictoffset = type->tp_basicsize; // place dict at the end + type->tp_basicsize += (ssize_t)sizeof(PyObject *); // and allocate enough space for it + type->tp_traverse = pybind11_traverse; + type->tp_clear = pybind11_clear; + + static PyGetSetDef getset[] = { + {const_cast("__dict__"), pybind11_get_dict, pybind11_set_dict, nullptr, nullptr}, + {nullptr, nullptr, nullptr, nullptr, nullptr} + }; + type->tp_getset = getset; +} + +/// buffer_protocol: Fill in the view as specified by flags. +extern "C" inline int pybind11_getbuffer(PyObject *obj, Py_buffer *view, int flags) { + // Look for a `get_buffer` implementation in this type's info or any bases (following MRO). + type_info *tinfo = nullptr; + for (auto type : reinterpret_borrow(Py_TYPE(obj)->tp_mro)) { + tinfo = get_type_info((PyTypeObject *) type.ptr()); + if (tinfo && tinfo->get_buffer) + break; + } + if (view == nullptr || !tinfo || !tinfo->get_buffer) { + if (view) + view->obj = nullptr; + PyErr_SetString(PyExc_BufferError, "pybind11_getbuffer(): Internal error"); + return -1; + } + std::memset(view, 0, sizeof(Py_buffer)); + buffer_info *info = tinfo->get_buffer(obj, tinfo->get_buffer_data); + view->obj = obj; + view->ndim = 1; + view->internal = info; + view->buf = info->ptr; + view->itemsize = info->itemsize; + view->len = view->itemsize; + for (auto s : info->shape) + view->len *= s; + if ((flags & PyBUF_FORMAT) == PyBUF_FORMAT) + view->format = const_cast(info->format.c_str()); + if ((flags & PyBUF_STRIDES) == PyBUF_STRIDES) { + view->ndim = (int) info->ndim; + view->strides = &info->strides[0]; + view->shape = &info->shape[0]; + } + Py_INCREF(view->obj); + return 0; +} + +/// buffer_protocol: Release the resources of the buffer. +extern "C" inline void pybind11_releasebuffer(PyObject *, Py_buffer *view) { + delete (buffer_info *) view->internal; +} + +/// Give this type a buffer interface. +inline void enable_buffer_protocol(PyHeapTypeObject *heap_type) { + heap_type->ht_type.tp_as_buffer = &heap_type->as_buffer; +#if PY_MAJOR_VERSION < 3 + heap_type->ht_type.tp_flags |= Py_TPFLAGS_HAVE_NEWBUFFER; +#endif + + heap_type->as_buffer.bf_getbuffer = pybind11_getbuffer; + heap_type->as_buffer.bf_releasebuffer = pybind11_releasebuffer; +} + +/** Create a brand new Python type according to the `type_record` specification. + Return value: New reference. */ +inline PyObject* make_new_python_type(const type_record &rec) { + auto name = reinterpret_steal(PYBIND11_FROM_STRING(rec.name)); + + auto qualname = name; + if (rec.scope && !PyModule_Check(rec.scope.ptr()) && hasattr(rec.scope, "__qualname__")) { +#if PY_MAJOR_VERSION >= 3 + qualname = reinterpret_steal( + PyUnicode_FromFormat("%U.%U", rec.scope.attr("__qualname__").ptr(), name.ptr())); +#else + qualname = str(rec.scope.attr("__qualname__").cast() + "." + rec.name); +#endif + } + + object module; + if (rec.scope) { + if (hasattr(rec.scope, "__module__")) + module = rec.scope.attr("__module__"); + else if (hasattr(rec.scope, "__name__")) + module = rec.scope.attr("__name__"); + } + + auto full_name = c_str( +#if !defined(PYPY_VERSION) + module ? str(module).cast() + "." + rec.name : +#endif + rec.name); + + char *tp_doc = nullptr; + if (rec.doc && options::show_user_defined_docstrings()) { + /* Allocate memory for docstring (using PyObject_MALLOC, since + Python will free this later on) */ + size_t size = strlen(rec.doc) + 1; + tp_doc = (char *) PyObject_MALLOC(size); + memcpy((void *) tp_doc, rec.doc, size); + } + + auto &internals = get_internals(); + auto bases = tuple(rec.bases); + auto base = (bases.size() == 0) ? internals.instance_base + : bases[0].ptr(); + + /* Danger zone: from now (and until PyType_Ready), make sure to + issue no Python C API calls which could potentially invoke the + garbage collector (the GC will call type_traverse(), which will in + turn find the newly constructed type in an invalid state) */ + auto metaclass = rec.metaclass.ptr() ? (PyTypeObject *) rec.metaclass.ptr() + : internals.default_metaclass; + + auto heap_type = (PyHeapTypeObject *) metaclass->tp_alloc(metaclass, 0); + if (!heap_type) + pybind11_fail(std::string(rec.name) + ": Unable to create type object!"); + + heap_type->ht_name = name.release().ptr(); +#ifdef PYBIND11_BUILTIN_QUALNAME + heap_type->ht_qualname = qualname.inc_ref().ptr(); +#endif + + auto type = &heap_type->ht_type; + type->tp_name = full_name; + type->tp_doc = tp_doc; + type->tp_base = type_incref((PyTypeObject *)base); + type->tp_basicsize = static_cast(sizeof(instance)); + if (bases.size() > 0) + type->tp_bases = bases.release().ptr(); + + /* Don't inherit base __init__ */ + type->tp_init = pybind11_object_init; + + /* Supported protocols */ + type->tp_as_number = &heap_type->as_number; + type->tp_as_sequence = &heap_type->as_sequence; + type->tp_as_mapping = &heap_type->as_mapping; +#if PY_VERSION_HEX >= 0x03050000 + type->tp_as_async = &heap_type->as_async; +#endif + + /* Flags */ + type->tp_flags |= Py_TPFLAGS_DEFAULT | Py_TPFLAGS_BASETYPE | Py_TPFLAGS_HEAPTYPE; +#if PY_MAJOR_VERSION < 3 + type->tp_flags |= Py_TPFLAGS_CHECKTYPES; +#endif + + if (rec.dynamic_attr) + enable_dynamic_attributes(heap_type); + + if (rec.buffer_protocol) + enable_buffer_protocol(heap_type); + + if (PyType_Ready(type) < 0) + pybind11_fail(std::string(rec.name) + ": PyType_Ready failed (" + error_string() + ")!"); + + assert(rec.dynamic_attr ? PyType_HasFeature(type, Py_TPFLAGS_HAVE_GC) + : !PyType_HasFeature(type, Py_TPFLAGS_HAVE_GC)); + + /* Register type with the parent scope */ + if (rec.scope) + setattr(rec.scope, rec.name, (PyObject *) type); + else + Py_INCREF(type); // Keep it alive forever (reference leak) + + if (module) // Needed by pydoc + setattr((PyObject *) type, "__module__", module); + + PYBIND11_SET_OLDPY_QUALNAME(type, qualname); + + return (PyObject *) type; +} + +NAMESPACE_END(detail) +NAMESPACE_END(PYBIND11_NAMESPACE) diff --git a/external/pybind11/include/pybind11/detail/common.h b/external/pybind11/include/pybind11/detail/common.h new file mode 100644 index 0000000..6da5470 --- /dev/null +++ b/external/pybind11/include/pybind11/detail/common.h @@ -0,0 +1,808 @@ +/* + pybind11/detail/common.h -- Basic macros + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#pragma once + +#if !defined(NAMESPACE_BEGIN) +# define NAMESPACE_BEGIN(name) namespace name { +#endif +#if !defined(NAMESPACE_END) +# define NAMESPACE_END(name) } +#endif + +// Robust support for some features and loading modules compiled against different pybind versions +// requires forcing hidden visibility on pybind code, so we enforce this by setting the attribute on +// the main `pybind11` namespace. +#if !defined(PYBIND11_NAMESPACE) +# ifdef __GNUG__ +# define PYBIND11_NAMESPACE pybind11 __attribute__((visibility("hidden"))) +# else +# define PYBIND11_NAMESPACE pybind11 +# endif +#endif + +#if !(defined(_MSC_VER) && __cplusplus == 199711L) && !defined(__INTEL_COMPILER) +# if __cplusplus >= 201402L +# define PYBIND11_CPP14 +# if __cplusplus >= 201703L +# define PYBIND11_CPP17 +# endif +# endif +#elif defined(_MSC_VER) && __cplusplus == 199711L +// MSVC sets _MSVC_LANG rather than __cplusplus (supposedly until the standard is fully implemented) +// Unless you use the /Zc:__cplusplus flag on Visual Studio 2017 15.7 Preview 3 or newer +# if _MSVC_LANG >= 201402L +# define PYBIND11_CPP14 +# if _MSVC_LANG > 201402L && _MSC_VER >= 1910 +# define PYBIND11_CPP17 +# endif +# endif +#endif + +// Compiler version assertions +#if defined(__INTEL_COMPILER) +# if __INTEL_COMPILER < 1700 +# error pybind11 requires Intel C++ compiler v17 or newer +# endif +#elif defined(__clang__) && !defined(__apple_build_version__) +# if __clang_major__ < 3 || (__clang_major__ == 3 && __clang_minor__ < 3) +# error pybind11 requires clang 3.3 or newer +# endif +#elif defined(__clang__) +// Apple changes clang version macros to its Xcode version; the first Xcode release based on +// (upstream) clang 3.3 was Xcode 5: +# if __clang_major__ < 5 +# error pybind11 requires Xcode/clang 5.0 or newer +# endif +#elif defined(__GNUG__) +# if __GNUC__ < 4 || (__GNUC__ == 4 && __GNUC_MINOR__ < 8) +# error pybind11 requires gcc 4.8 or newer +# endif +#elif defined(_MSC_VER) +// Pybind hits various compiler bugs in 2015u2 and earlier, and also makes use of some stl features +// (e.g. std::negation) added in 2015u3: +# if _MSC_FULL_VER < 190024210 +# error pybind11 requires MSVC 2015 update 3 or newer +# endif +#endif + +#if !defined(PYBIND11_EXPORT) +# if defined(WIN32) || defined(_WIN32) +# define PYBIND11_EXPORT __declspec(dllexport) +# else +# define PYBIND11_EXPORT __attribute__ ((visibility("default"))) +# endif +#endif + +#if defined(_MSC_VER) +# define PYBIND11_NOINLINE __declspec(noinline) +#else +# define PYBIND11_NOINLINE __attribute__ ((noinline)) +#endif + +#if defined(PYBIND11_CPP14) +# define PYBIND11_DEPRECATED(reason) [[deprecated(reason)]] +#else +# define PYBIND11_DEPRECATED(reason) __attribute__((deprecated(reason))) +#endif + +#define PYBIND11_VERSION_MAJOR 2 +#define PYBIND11_VERSION_MINOR 4 +#define PYBIND11_VERSION_PATCH 3 + +/// Include Python header, disable linking to pythonX_d.lib on Windows in debug mode +#if defined(_MSC_VER) +# if (PY_MAJOR_VERSION == 3 && PY_MINOR_VERSION < 4) +# define HAVE_ROUND 1 +# endif +# pragma warning(push) +# pragma warning(disable: 4510 4610 4512 4005) +# if defined(_DEBUG) +# define PYBIND11_DEBUG_MARKER +# undef _DEBUG +# endif +#endif + +#include +#include +#include + +#if defined(isalnum) +# undef isalnum +# undef isalpha +# undef islower +# undef isspace +# undef isupper +# undef tolower +# undef toupper +#endif + +#if defined(_MSC_VER) +# if defined(PYBIND11_DEBUG_MARKER) +# define _DEBUG +# undef PYBIND11_DEBUG_MARKER +# endif +# pragma warning(pop) +#endif + +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#if PY_MAJOR_VERSION >= 3 /// Compatibility macros for various Python versions +#define PYBIND11_INSTANCE_METHOD_NEW(ptr, class_) PyInstanceMethod_New(ptr) +#define PYBIND11_INSTANCE_METHOD_CHECK PyInstanceMethod_Check +#define PYBIND11_INSTANCE_METHOD_GET_FUNCTION PyInstanceMethod_GET_FUNCTION +#define PYBIND11_BYTES_CHECK PyBytes_Check +#define PYBIND11_BYTES_FROM_STRING PyBytes_FromString +#define PYBIND11_BYTES_FROM_STRING_AND_SIZE PyBytes_FromStringAndSize +#define PYBIND11_BYTES_AS_STRING_AND_SIZE PyBytes_AsStringAndSize +#define PYBIND11_BYTES_AS_STRING PyBytes_AsString +#define PYBIND11_BYTES_SIZE PyBytes_Size +#define PYBIND11_LONG_CHECK(o) PyLong_Check(o) +#define PYBIND11_LONG_AS_LONGLONG(o) PyLong_AsLongLong(o) +#define PYBIND11_LONG_FROM_SIGNED(o) PyLong_FromSsize_t((ssize_t) o) +#define PYBIND11_LONG_FROM_UNSIGNED(o) PyLong_FromSize_t((size_t) o) +#define PYBIND11_BYTES_NAME "bytes" +#define PYBIND11_STRING_NAME "str" +#define PYBIND11_SLICE_OBJECT PyObject +#define PYBIND11_FROM_STRING PyUnicode_FromString +#define PYBIND11_STR_TYPE ::pybind11::str +#define PYBIND11_BOOL_ATTR "__bool__" +#define PYBIND11_NB_BOOL(ptr) ((ptr)->nb_bool) +// Providing a separate declaration to make Clang's -Wmissing-prototypes happy +#define PYBIND11_PLUGIN_IMPL(name) \ + extern "C" PYBIND11_EXPORT PyObject *PyInit_##name(); \ + extern "C" PYBIND11_EXPORT PyObject *PyInit_##name() + +#else +#define PYBIND11_INSTANCE_METHOD_NEW(ptr, class_) PyMethod_New(ptr, nullptr, class_) +#define PYBIND11_INSTANCE_METHOD_CHECK PyMethod_Check +#define PYBIND11_INSTANCE_METHOD_GET_FUNCTION PyMethod_GET_FUNCTION +#define PYBIND11_BYTES_CHECK PyString_Check +#define PYBIND11_BYTES_FROM_STRING PyString_FromString +#define PYBIND11_BYTES_FROM_STRING_AND_SIZE PyString_FromStringAndSize +#define PYBIND11_BYTES_AS_STRING_AND_SIZE PyString_AsStringAndSize +#define PYBIND11_BYTES_AS_STRING PyString_AsString +#define PYBIND11_BYTES_SIZE PyString_Size +#define PYBIND11_LONG_CHECK(o) (PyInt_Check(o) || PyLong_Check(o)) +#define PYBIND11_LONG_AS_LONGLONG(o) (PyInt_Check(o) ? (long long) PyLong_AsLong(o) : PyLong_AsLongLong(o)) +#define PYBIND11_LONG_FROM_SIGNED(o) PyInt_FromSsize_t((ssize_t) o) // Returns long if needed. +#define PYBIND11_LONG_FROM_UNSIGNED(o) PyInt_FromSize_t((size_t) o) // Returns long if needed. +#define PYBIND11_BYTES_NAME "str" +#define PYBIND11_STRING_NAME "unicode" +#define PYBIND11_SLICE_OBJECT PySliceObject +#define PYBIND11_FROM_STRING PyString_FromString +#define PYBIND11_STR_TYPE ::pybind11::bytes +#define PYBIND11_BOOL_ATTR "__nonzero__" +#define PYBIND11_NB_BOOL(ptr) ((ptr)->nb_nonzero) +// Providing a separate PyInit decl to make Clang's -Wmissing-prototypes happy +#define PYBIND11_PLUGIN_IMPL(name) \ + static PyObject *pybind11_init_wrapper(); \ + extern "C" PYBIND11_EXPORT void init##name(); \ + extern "C" PYBIND11_EXPORT void init##name() { \ + (void)pybind11_init_wrapper(); \ + } \ + PyObject *pybind11_init_wrapper() +#endif + +#if PY_VERSION_HEX >= 0x03050000 && PY_VERSION_HEX < 0x03050200 +extern "C" { + struct _Py_atomic_address { void *value; }; + PyAPI_DATA(_Py_atomic_address) _PyThreadState_Current; +} +#endif + +#define PYBIND11_TRY_NEXT_OVERLOAD ((PyObject *) 1) // special failure return code +#define PYBIND11_STRINGIFY(x) #x +#define PYBIND11_TOSTRING(x) PYBIND11_STRINGIFY(x) +#define PYBIND11_CONCAT(first, second) first##second + +#define PYBIND11_CHECK_PYTHON_VERSION \ + { \ + const char *compiled_ver = PYBIND11_TOSTRING(PY_MAJOR_VERSION) \ + "." PYBIND11_TOSTRING(PY_MINOR_VERSION); \ + const char *runtime_ver = Py_GetVersion(); \ + size_t len = std::strlen(compiled_ver); \ + if (std::strncmp(runtime_ver, compiled_ver, len) != 0 \ + || (runtime_ver[len] >= '0' && runtime_ver[len] <= '9')) { \ + PyErr_Format(PyExc_ImportError, \ + "Python version mismatch: module was compiled for Python %s, " \ + "but the interpreter version is incompatible: %s.", \ + compiled_ver, runtime_ver); \ + return nullptr; \ + } \ + } + +#define PYBIND11_CATCH_INIT_EXCEPTIONS \ + catch (pybind11::error_already_set &e) { \ + PyErr_SetString(PyExc_ImportError, e.what()); \ + return nullptr; \ + } catch (const std::exception &e) { \ + PyErr_SetString(PyExc_ImportError, e.what()); \ + return nullptr; \ + } \ + +/** \rst + ***Deprecated in favor of PYBIND11_MODULE*** + + This macro creates the entry point that will be invoked when the Python interpreter + imports a plugin library. Please create a `module` in the function body and return + the pointer to its underlying Python object at the end. + + .. code-block:: cpp + + PYBIND11_PLUGIN(example) { + pybind11::module m("example", "pybind11 example plugin"); + /// Set up bindings here + return m.ptr(); + } +\endrst */ +#define PYBIND11_PLUGIN(name) \ + PYBIND11_DEPRECATED("PYBIND11_PLUGIN is deprecated, use PYBIND11_MODULE") \ + static PyObject *pybind11_init(); \ + PYBIND11_PLUGIN_IMPL(name) { \ + PYBIND11_CHECK_PYTHON_VERSION \ + try { \ + return pybind11_init(); \ + } PYBIND11_CATCH_INIT_EXCEPTIONS \ + } \ + PyObject *pybind11_init() + +/** \rst + This macro creates the entry point that will be invoked when the Python interpreter + imports an extension module. The module name is given as the fist argument and it + should not be in quotes. The second macro argument defines a variable of type + `py::module` which can be used to initialize the module. + + .. code-block:: cpp + + PYBIND11_MODULE(example, m) { + m.doc() = "pybind11 example module"; + + // Add bindings here + m.def("foo", []() { + return "Hello, World!"; + }); + } +\endrst */ +#define PYBIND11_MODULE(name, variable) \ + static void PYBIND11_CONCAT(pybind11_init_, name)(pybind11::module &); \ + PYBIND11_PLUGIN_IMPL(name) { \ + PYBIND11_CHECK_PYTHON_VERSION \ + auto m = pybind11::module(PYBIND11_TOSTRING(name)); \ + try { \ + PYBIND11_CONCAT(pybind11_init_, name)(m); \ + return m.ptr(); \ + } PYBIND11_CATCH_INIT_EXCEPTIONS \ + } \ + void PYBIND11_CONCAT(pybind11_init_, name)(pybind11::module &variable) + + +NAMESPACE_BEGIN(PYBIND11_NAMESPACE) + +using ssize_t = Py_ssize_t; +using size_t = std::size_t; + +/// Approach used to cast a previously unknown C++ instance into a Python object +enum class return_value_policy : uint8_t { + /** This is the default return value policy, which falls back to the policy + return_value_policy::take_ownership when the return value is a pointer. + Otherwise, it uses return_value::move or return_value::copy for rvalue + and lvalue references, respectively. See below for a description of what + all of these different policies do. */ + automatic = 0, + + /** As above, but use policy return_value_policy::reference when the return + value is a pointer. This is the default conversion policy for function + arguments when calling Python functions manually from C++ code (i.e. via + handle::operator()). You probably won't need to use this. */ + automatic_reference, + + /** Reference an existing object (i.e. do not create a new copy) and take + ownership. Python will call the destructor and delete operator when the + objectā€™s reference count reaches zero. Undefined behavior ensues when + the C++ side does the same.. */ + take_ownership, + + /** Create a new copy of the returned object, which will be owned by + Python. This policy is comparably safe because the lifetimes of the two + instances are decoupled. */ + copy, + + /** Use std::move to move the return value contents into a new instance + that will be owned by Python. This policy is comparably safe because the + lifetimes of the two instances (move source and destination) are + decoupled. */ + move, + + /** Reference an existing object, but do not take ownership. The C++ side + is responsible for managing the objectā€™s lifetime and deallocating it + when it is no longer used. Warning: undefined behavior will ensue when + the C++ side deletes an object that is still referenced and used by + Python. */ + reference, + + /** This policy only applies to methods and properties. It references the + object without taking ownership similar to the above + return_value_policy::reference policy. In contrast to that policy, the + function or propertyā€™s implicit this argument (called the parent) is + considered to be the the owner of the return value (the child). + pybind11 then couples the lifetime of the parent to the child via a + reference relationship that ensures that the parent cannot be garbage + collected while Python is still using the child. More advanced + variations of this scheme are also possible using combinations of + return_value_policy::reference and the keep_alive call policy */ + reference_internal +}; + +NAMESPACE_BEGIN(detail) + +inline static constexpr int log2(size_t n, int k = 0) { return (n <= 1) ? k : log2(n >> 1, k + 1); } + +// Returns the size as a multiple of sizeof(void *), rounded up. +inline static constexpr size_t size_in_ptrs(size_t s) { return 1 + ((s - 1) >> log2(sizeof(void *))); } + +/** + * The space to allocate for simple layout instance holders (see below) in multiple of the size of + * a pointer (e.g. 2 means 16 bytes on 64-bit architectures). The default is the minimum required + * to holder either a std::unique_ptr or std::shared_ptr (which is almost always + * sizeof(std::shared_ptr)). + */ +constexpr size_t instance_simple_holder_in_ptrs() { + static_assert(sizeof(std::shared_ptr) >= sizeof(std::unique_ptr), + "pybind assumes std::shared_ptrs are at least as big as std::unique_ptrs"); + return size_in_ptrs(sizeof(std::shared_ptr)); +} + +// Forward declarations +struct type_info; +struct value_and_holder; + +struct nonsimple_values_and_holders { + void **values_and_holders; + uint8_t *status; +}; + +/// The 'instance' type which needs to be standard layout (need to be able to use 'offsetof') +struct instance { + PyObject_HEAD + /// Storage for pointers and holder; see simple_layout, below, for a description + union { + void *simple_value_holder[1 + instance_simple_holder_in_ptrs()]; + nonsimple_values_and_holders nonsimple; + }; + /// Weak references + PyObject *weakrefs; + /// If true, the pointer is owned which means we're free to manage it with a holder. + bool owned : 1; + /** + * An instance has two possible value/holder layouts. + * + * Simple layout (when this flag is true), means the `simple_value_holder` is set with a pointer + * and the holder object governing that pointer, i.e. [val1*][holder]. This layout is applied + * whenever there is no python-side multiple inheritance of bound C++ types *and* the type's + * holder will fit in the default space (which is large enough to hold either a std::unique_ptr + * or std::shared_ptr). + * + * Non-simple layout applies when using custom holders that require more space than `shared_ptr` + * (which is typically the size of two pointers), or when multiple inheritance is used on the + * python side. Non-simple layout allocates the required amount of memory to have multiple + * bound C++ classes as parents. Under this layout, `nonsimple.values_and_holders` is set to a + * pointer to allocated space of the required space to hold a sequence of value pointers and + * holders followed `status`, a set of bit flags (1 byte each), i.e. + * [val1*][holder1][val2*][holder2]...[bb...] where each [block] is rounded up to a multiple of + * `sizeof(void *)`. `nonsimple.status` is, for convenience, a pointer to the + * beginning of the [bb...] block (but not independently allocated). + * + * Status bits indicate whether the associated holder is constructed (& + * status_holder_constructed) and whether the value pointer is registered (& + * status_instance_registered) in `registered_instances`. + */ + bool simple_layout : 1; + /// For simple layout, tracks whether the holder has been constructed + bool simple_holder_constructed : 1; + /// For simple layout, tracks whether the instance is registered in `registered_instances` + bool simple_instance_registered : 1; + /// If true, get_internals().patients has an entry for this object + bool has_patients : 1; + + /// Initializes all of the above type/values/holders data (but not the instance values themselves) + void allocate_layout(); + + /// Destroys/deallocates all of the above + void deallocate_layout(); + + /// Returns the value_and_holder wrapper for the given type (or the first, if `find_type` + /// omitted). Returns a default-constructed (with `.inst = nullptr`) object on failure if + /// `throw_if_missing` is false. + value_and_holder get_value_and_holder(const type_info *find_type = nullptr, bool throw_if_missing = true); + + /// Bit values for the non-simple status flags + static constexpr uint8_t status_holder_constructed = 1; + static constexpr uint8_t status_instance_registered = 2; +}; + +static_assert(std::is_standard_layout::value, "Internal error: `pybind11::detail::instance` is not standard layout!"); + +/// from __cpp_future__ import (convenient aliases from C++14/17) +#if defined(PYBIND11_CPP14) && (!defined(_MSC_VER) || _MSC_VER >= 1910) +using std::enable_if_t; +using std::conditional_t; +using std::remove_cv_t; +using std::remove_reference_t; +#else +template using enable_if_t = typename std::enable_if::type; +template using conditional_t = typename std::conditional::type; +template using remove_cv_t = typename std::remove_cv::type; +template using remove_reference_t = typename std::remove_reference::type; +#endif + +/// Index sequences +#if defined(PYBIND11_CPP14) +using std::index_sequence; +using std::make_index_sequence; +#else +template struct index_sequence { }; +template struct make_index_sequence_impl : make_index_sequence_impl { }; +template struct make_index_sequence_impl <0, S...> { typedef index_sequence type; }; +template using make_index_sequence = typename make_index_sequence_impl::type; +#endif + +/// Make an index sequence of the indices of true arguments +template struct select_indices_impl { using type = ISeq; }; +template struct select_indices_impl, I, B, Bs...> + : select_indices_impl, index_sequence>, I + 1, Bs...> {}; +template using select_indices = typename select_indices_impl, 0, Bs...>::type; + +/// Backports of std::bool_constant and std::negation to accommodate older compilers +template using bool_constant = std::integral_constant; +template struct negation : bool_constant { }; + +template struct void_t_impl { using type = void; }; +template using void_t = typename void_t_impl::type; + +/// Compile-time all/any/none of that check the boolean value of all template types +#if defined(__cpp_fold_expressions) && !(defined(_MSC_VER) && (_MSC_VER < 1916)) +template using all_of = bool_constant<(Ts::value && ...)>; +template using any_of = bool_constant<(Ts::value || ...)>; +#elif !defined(_MSC_VER) +template struct bools {}; +template using all_of = std::is_same< + bools, + bools>; +template using any_of = negation...>>; +#else +// MSVC has trouble with the above, but supports std::conjunction, which we can use instead (albeit +// at a slight loss of compilation efficiency). +template using all_of = std::conjunction; +template using any_of = std::disjunction; +#endif +template using none_of = negation>; + +template class... Predicates> using satisfies_all_of = all_of...>; +template class... Predicates> using satisfies_any_of = any_of...>; +template class... Predicates> using satisfies_none_of = none_of...>; + +/// Strip the class from a method type +template struct remove_class { }; +template struct remove_class { typedef R type(A...); }; +template struct remove_class { typedef R type(A...); }; + +/// Helper template to strip away type modifiers +template struct intrinsic_type { typedef T type; }; +template struct intrinsic_type { typedef typename intrinsic_type::type type; }; +template struct intrinsic_type { typedef typename intrinsic_type::type type; }; +template struct intrinsic_type { typedef typename intrinsic_type::type type; }; +template struct intrinsic_type { typedef typename intrinsic_type::type type; }; +template struct intrinsic_type { typedef typename intrinsic_type::type type; }; +template struct intrinsic_type { typedef typename intrinsic_type::type type; }; +template using intrinsic_t = typename intrinsic_type::type; + +/// Helper type to replace 'void' in some expressions +struct void_type { }; + +/// Helper template which holds a list of types +template struct type_list { }; + +/// Compile-time integer sum +#ifdef __cpp_fold_expressions +template constexpr size_t constexpr_sum(Ts... ns) { return (0 + ... + size_t{ns}); } +#else +constexpr size_t constexpr_sum() { return 0; } +template +constexpr size_t constexpr_sum(T n, Ts... ns) { return size_t{n} + constexpr_sum(ns...); } +#endif + +NAMESPACE_BEGIN(constexpr_impl) +/// Implementation details for constexpr functions +constexpr int first(int i) { return i; } +template +constexpr int first(int i, T v, Ts... vs) { return v ? i : first(i + 1, vs...); } + +constexpr int last(int /*i*/, int result) { return result; } +template +constexpr int last(int i, int result, T v, Ts... vs) { return last(i + 1, v ? i : result, vs...); } +NAMESPACE_END(constexpr_impl) + +/// Return the index of the first type in Ts which satisfies Predicate. Returns sizeof...(Ts) if +/// none match. +template class Predicate, typename... Ts> +constexpr int constexpr_first() { return constexpr_impl::first(0, Predicate::value...); } + +/// Return the index of the last type in Ts which satisfies Predicate, or -1 if none match. +template class Predicate, typename... Ts> +constexpr int constexpr_last() { return constexpr_impl::last(0, -1, Predicate::value...); } + +/// Return the Nth element from the parameter pack +template +struct pack_element { using type = typename pack_element::type; }; +template +struct pack_element<0, T, Ts...> { using type = T; }; + +/// Return the one and only type which matches the predicate, or Default if none match. +/// If more than one type matches the predicate, fail at compile-time. +template class Predicate, typename Default, typename... Ts> +struct exactly_one { + static constexpr auto found = constexpr_sum(Predicate::value...); + static_assert(found <= 1, "Found more than one type matching the predicate"); + + static constexpr auto index = found ? constexpr_first() : 0; + using type = conditional_t::type, Default>; +}; +template class P, typename Default> +struct exactly_one { using type = Default; }; + +template class Predicate, typename Default, typename... Ts> +using exactly_one_t = typename exactly_one::type; + +/// Defer the evaluation of type T until types Us are instantiated +template struct deferred_type { using type = T; }; +template using deferred_t = typename deferred_type::type; + +/// Like is_base_of, but requires a strict base (i.e. `is_strict_base_of::value == false`, +/// unlike `std::is_base_of`) +template using is_strict_base_of = bool_constant< + std::is_base_of::value && !std::is_same::value>; + +/// Like is_base_of, but also requires that the base type is accessible (i.e. that a Derived pointer +/// can be converted to a Base pointer) +template using is_accessible_base_of = bool_constant< + std::is_base_of::value && std::is_convertible::value>; + +template class Base> +struct is_template_base_of_impl { + template static std::true_type check(Base *); + static std::false_type check(...); +}; + +/// Check if a template is the base of a type. For example: +/// `is_template_base_of` is true if `struct T : Base {}` where U can be anything +template class Base, typename T> +#if !defined(_MSC_VER) +using is_template_base_of = decltype(is_template_base_of_impl::check((intrinsic_t*)nullptr)); +#else // MSVC2015 has trouble with decltype in template aliases +struct is_template_base_of : decltype(is_template_base_of_impl::check((intrinsic_t*)nullptr)) { }; +#endif + +/// Check if T is an instantiation of the template `Class`. For example: +/// `is_instantiation` is true if `T == shared_ptr` where U can be anything. +template class Class, typename T> +struct is_instantiation : std::false_type { }; +template class Class, typename... Us> +struct is_instantiation> : std::true_type { }; + +/// Check if T is std::shared_ptr where U can be anything +template using is_shared_ptr = is_instantiation; + +/// Check if T looks like an input iterator +template struct is_input_iterator : std::false_type {}; +template +struct is_input_iterator()), decltype(++std::declval())>> + : std::true_type {}; + +template using is_function_pointer = bool_constant< + std::is_pointer::value && std::is_function::type>::value>; + +template struct strip_function_object { + using type = typename remove_class::type; +}; + +// Extracts the function signature from a function, function pointer or lambda. +template > +using function_signature_t = conditional_t< + std::is_function::value, + F, + typename conditional_t< + std::is_pointer::value || std::is_member_pointer::value, + std::remove_pointer, + strip_function_object + >::type +>; + +/// Returns true if the type looks like a lambda: that is, isn't a function, pointer or member +/// pointer. Note that this can catch all sorts of other things, too; this is intended to be used +/// in a place where passing a lambda makes sense. +template using is_lambda = satisfies_none_of, + std::is_function, std::is_pointer, std::is_member_pointer>; + +/// Ignore that a variable is unused in compiler warnings +inline void ignore_unused(const int *) { } + +/// Apply a function over each element of a parameter pack +#ifdef __cpp_fold_expressions +#define PYBIND11_EXPAND_SIDE_EFFECTS(PATTERN) (((PATTERN), void()), ...) +#else +using expand_side_effects = bool[]; +#define PYBIND11_EXPAND_SIDE_EFFECTS(PATTERN) pybind11::detail::expand_side_effects{ ((PATTERN), void(), false)..., false } +#endif + +NAMESPACE_END(detail) + +/// C++ bindings of builtin Python exceptions +class builtin_exception : public std::runtime_error { +public: + using std::runtime_error::runtime_error; + /// Set the error using the Python C API + virtual void set_error() const = 0; +}; + +#define PYBIND11_RUNTIME_EXCEPTION(name, type) \ + class name : public builtin_exception { public: \ + using builtin_exception::builtin_exception; \ + name() : name("") { } \ + void set_error() const override { PyErr_SetString(type, what()); } \ + }; + +PYBIND11_RUNTIME_EXCEPTION(stop_iteration, PyExc_StopIteration) +PYBIND11_RUNTIME_EXCEPTION(index_error, PyExc_IndexError) +PYBIND11_RUNTIME_EXCEPTION(key_error, PyExc_KeyError) +PYBIND11_RUNTIME_EXCEPTION(value_error, PyExc_ValueError) +PYBIND11_RUNTIME_EXCEPTION(type_error, PyExc_TypeError) +PYBIND11_RUNTIME_EXCEPTION(buffer_error, PyExc_BufferError) +PYBIND11_RUNTIME_EXCEPTION(cast_error, PyExc_RuntimeError) /// Thrown when pybind11::cast or handle::call fail due to a type casting error +PYBIND11_RUNTIME_EXCEPTION(reference_cast_error, PyExc_RuntimeError) /// Used internally + +[[noreturn]] PYBIND11_NOINLINE inline void pybind11_fail(const char *reason) { throw std::runtime_error(reason); } +[[noreturn]] PYBIND11_NOINLINE inline void pybind11_fail(const std::string &reason) { throw std::runtime_error(reason); } + +template struct format_descriptor { }; + +NAMESPACE_BEGIN(detail) +// Returns the index of the given type in the type char array below, and in the list in numpy.h +// The order here is: bool; 8 ints ((signed,unsigned)x(8,16,32,64)bits); float,double,long double; +// complex float,double,long double. Note that the long double types only participate when long +// double is actually longer than double (it isn't under MSVC). +// NB: not only the string below but also complex.h and numpy.h rely on this order. +template struct is_fmt_numeric { static constexpr bool value = false; }; +template struct is_fmt_numeric::value>> { + static constexpr bool value = true; + static constexpr int index = std::is_same::value ? 0 : 1 + ( + std::is_integral::value ? detail::log2(sizeof(T))*2 + std::is_unsigned::value : 8 + ( + std::is_same::value ? 1 : std::is_same::value ? 2 : 0)); +}; +NAMESPACE_END(detail) + +template struct format_descriptor::value>> { + static constexpr const char c = "?bBhHiIqQfdg"[detail::is_fmt_numeric::index]; + static constexpr const char value[2] = { c, '\0' }; + static std::string format() { return std::string(1, c); } +}; + +#if !defined(PYBIND11_CPP17) + +template constexpr const char format_descriptor< + T, detail::enable_if_t::value>>::value[2]; + +#endif + +/// RAII wrapper that temporarily clears any Python error state +struct error_scope { + PyObject *type, *value, *trace; + error_scope() { PyErr_Fetch(&type, &value, &trace); } + ~error_scope() { PyErr_Restore(type, value, trace); } +}; + +/// Dummy destructor wrapper that can be used to expose classes with a private destructor +struct nodelete { template void operator()(T*) { } }; + +NAMESPACE_BEGIN(detail) +template +struct overload_cast_impl { + constexpr overload_cast_impl() {} // MSVC 2015 needs this + + template + constexpr auto operator()(Return (*pf)(Args...)) const noexcept + -> decltype(pf) { return pf; } + + template + constexpr auto operator()(Return (Class::*pmf)(Args...), std::false_type = {}) const noexcept + -> decltype(pmf) { return pmf; } + + template + constexpr auto operator()(Return (Class::*pmf)(Args...) const, std::true_type) const noexcept + -> decltype(pmf) { return pmf; } +}; +NAMESPACE_END(detail) + +// overload_cast requires variable templates: C++14 +#if defined(PYBIND11_CPP14) +#define PYBIND11_OVERLOAD_CAST 1 +/// Syntax sugar for resolving overloaded function pointers: +/// - regular: static_cast(&Class::func) +/// - sweet: overload_cast(&Class::func) +template +static constexpr detail::overload_cast_impl overload_cast = {}; +// MSVC 2015 only accepts this particular initialization syntax for this variable template. +#endif + +/// Const member function selector for overload_cast +/// - regular: static_cast(&Class::func) +/// - sweet: overload_cast(&Class::func, const_) +static constexpr auto const_ = std::true_type{}; + +#if !defined(PYBIND11_CPP14) // no overload_cast: providing something that static_assert-fails: +template struct overload_cast { + static_assert(detail::deferred_t::value, + "pybind11::overload_cast<...> requires compiling in C++14 mode"); +}; +#endif // overload_cast + +NAMESPACE_BEGIN(detail) + +// Adaptor for converting arbitrary container arguments into a vector; implicitly convertible from +// any standard container (or C-style array) supporting std::begin/std::end, any singleton +// arithmetic type (if T is arithmetic), or explicitly constructible from an iterator pair. +template +class any_container { + std::vector v; +public: + any_container() = default; + + // Can construct from a pair of iterators + template ::value>> + any_container(It first, It last) : v(first, last) { } + + // Implicit conversion constructor from any arbitrary container type with values convertible to T + template ())), T>::value>> + any_container(const Container &c) : any_container(std::begin(c), std::end(c)) { } + + // initializer_list's aren't deducible, so don't get matched by the above template; we need this + // to explicitly allow implicit conversion from one: + template ::value>> + any_container(const std::initializer_list &c) : any_container(c.begin(), c.end()) { } + + // Avoid copying if given an rvalue vector of the correct type. + any_container(std::vector &&v) : v(std::move(v)) { } + + // Moves the vector out of an rvalue any_container + operator std::vector &&() && { return std::move(v); } + + // Dereferencing obtains a reference to the underlying vector + std::vector &operator*() { return v; } + const std::vector &operator*() const { return v; } + + // -> lets you call methods on the underlying vector + std::vector *operator->() { return &v; } + const std::vector *operator->() const { return &v; } +}; + +NAMESPACE_END(detail) + + + +NAMESPACE_END(PYBIND11_NAMESPACE) diff --git a/external/pybind11/include/pybind11/detail/descr.h b/external/pybind11/include/pybind11/detail/descr.h new file mode 100644 index 0000000..8d404e5 --- /dev/null +++ b/external/pybind11/include/pybind11/detail/descr.h @@ -0,0 +1,100 @@ +/* + pybind11/detail/descr.h: Helper type for concatenating type signatures at compile time + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#pragma once + +#include "common.h" + +NAMESPACE_BEGIN(PYBIND11_NAMESPACE) +NAMESPACE_BEGIN(detail) + +#if !defined(_MSC_VER) +# define PYBIND11_DESCR_CONSTEXPR static constexpr +#else +# define PYBIND11_DESCR_CONSTEXPR const +#endif + +/* Concatenate type signatures at compile time */ +template +struct descr { + char text[N + 1]; + + constexpr descr() : text{'\0'} { } + constexpr descr(char const (&s)[N+1]) : descr(s, make_index_sequence()) { } + + template + constexpr descr(char const (&s)[N+1], index_sequence) : text{s[Is]..., '\0'} { } + + template + constexpr descr(char c, Chars... cs) : text{c, static_cast(cs)..., '\0'} { } + + static constexpr std::array types() { + return {{&typeid(Ts)..., nullptr}}; + } +}; + +template +constexpr descr plus_impl(const descr &a, const descr &b, + index_sequence, index_sequence) { + return {a.text[Is1]..., b.text[Is2]...}; +} + +template +constexpr descr operator+(const descr &a, const descr &b) { + return plus_impl(a, b, make_index_sequence(), make_index_sequence()); +} + +template +constexpr descr _(char const(&text)[N]) { return descr(text); } +constexpr descr<0> _(char const(&)[1]) { return {}; } + +template struct int_to_str : int_to_str { }; +template struct int_to_str<0, Digits...> { + static constexpr auto digits = descr(('0' + Digits)...); +}; + +// Ternary description (like std::conditional) +template +constexpr enable_if_t> _(char const(&text1)[N1], char const(&)[N2]) { + return _(text1); +} +template +constexpr enable_if_t> _(char const(&)[N1], char const(&text2)[N2]) { + return _(text2); +} + +template +constexpr enable_if_t _(const T1 &d, const T2 &) { return d; } +template +constexpr enable_if_t _(const T1 &, const T2 &d) { return d; } + +template auto constexpr _() -> decltype(int_to_str::digits) { + return int_to_str::digits; +} + +template constexpr descr<1, Type> _() { return {'%'}; } + +constexpr descr<0> concat() { return {}; } + +template +constexpr descr concat(const descr &descr) { return descr; } + +template +constexpr auto concat(const descr &d, const Args &...args) + -> decltype(std::declval>() + concat(args...)) { + return d + _(", ") + concat(args...); +} + +template +constexpr descr type_descr(const descr &descr) { + return _("{") + descr + _("}"); +} + +NAMESPACE_END(detail) +NAMESPACE_END(PYBIND11_NAMESPACE) diff --git a/external/pybind11/include/pybind11/detail/init.h b/external/pybind11/include/pybind11/detail/init.h new file mode 100644 index 0000000..acfe00b --- /dev/null +++ b/external/pybind11/include/pybind11/detail/init.h @@ -0,0 +1,335 @@ +/* + pybind11/detail/init.h: init factory function implementation and support code. + + Copyright (c) 2017 Jason Rhinelander + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#pragma once + +#include "class.h" + +NAMESPACE_BEGIN(PYBIND11_NAMESPACE) +NAMESPACE_BEGIN(detail) + +template <> +class type_caster { +public: + bool load(handle h, bool) { + value = reinterpret_cast(h.ptr()); + return true; + } + + template using cast_op_type = value_and_holder &; + operator value_and_holder &() { return *value; } + static constexpr auto name = _(); + +private: + value_and_holder *value = nullptr; +}; + +NAMESPACE_BEGIN(initimpl) + +inline void no_nullptr(void *ptr) { + if (!ptr) throw type_error("pybind11::init(): factory function returned nullptr"); +} + +// Implementing functions for all forms of py::init<...> and py::init(...) +template using Cpp = typename Class::type; +template using Alias = typename Class::type_alias; +template using Holder = typename Class::holder_type; + +template using is_alias_constructible = std::is_constructible, Cpp &&>; + +// Takes a Cpp pointer and returns true if it actually is a polymorphic Alias instance. +template = 0> +bool is_alias(Cpp *ptr) { + return dynamic_cast *>(ptr) != nullptr; +} +// Failing fallback version of the above for a no-alias class (always returns false) +template +constexpr bool is_alias(void *) { return false; } + +// Constructs and returns a new object; if the given arguments don't map to a constructor, we fall +// back to brace aggregate initiailization so that for aggregate initialization can be used with +// py::init, e.g. `py::init` to initialize a `struct T { int a; int b; }`. For +// non-aggregate types, we need to use an ordinary T(...) constructor (invoking as `T{...}` usually +// works, but will not do the expected thing when `T` has an `initializer_list` constructor). +template ::value, int> = 0> +inline Class *construct_or_initialize(Args &&...args) { return new Class(std::forward(args)...); } +template ::value, int> = 0> +inline Class *construct_or_initialize(Args &&...args) { return new Class{std::forward(args)...}; } + +// Attempts to constructs an alias using a `Alias(Cpp &&)` constructor. This allows types with +// an alias to provide only a single Cpp factory function as long as the Alias can be +// constructed from an rvalue reference of the base Cpp type. This means that Alias classes +// can, when appropriate, simply define a `Alias(Cpp &&)` constructor rather than needing to +// inherit all the base class constructors. +template +void construct_alias_from_cpp(std::true_type /*is_alias_constructible*/, + value_and_holder &v_h, Cpp &&base) { + v_h.value_ptr() = new Alias(std::move(base)); +} +template +[[noreturn]] void construct_alias_from_cpp(std::false_type /*!is_alias_constructible*/, + value_and_holder &, Cpp &&) { + throw type_error("pybind11::init(): unable to convert returned instance to required " + "alias class: no `Alias(Class &&)` constructor available"); +} + +// Error-generating fallback for factories that don't match one of the below construction +// mechanisms. +template +void construct(...) { + static_assert(!std::is_same::value /* always false */, + "pybind11::init(): init function must return a compatible pointer, " + "holder, or value"); +} + +// Pointer return v1: the factory function returns a class pointer for a registered class. +// If we don't need an alias (because this class doesn't have one, or because the final type is +// inherited on the Python side) we can simply take over ownership. Otherwise we need to try to +// construct an Alias from the returned base instance. +template +void construct(value_and_holder &v_h, Cpp *ptr, bool need_alias) { + no_nullptr(ptr); + if (Class::has_alias && need_alias && !is_alias(ptr)) { + // We're going to try to construct an alias by moving the cpp type. Whether or not + // that succeeds, we still need to destroy the original cpp pointer (either the + // moved away leftover, if the alias construction works, or the value itself if we + // throw an error), but we can't just call `delete ptr`: it might have a special + // deleter, or might be shared_from_this. So we construct a holder around it as if + // it was a normal instance, then steal the holder away into a local variable; thus + // the holder and destruction happens when we leave the C++ scope, and the holder + // class gets to handle the destruction however it likes. + v_h.value_ptr() = ptr; + v_h.set_instance_registered(true); // To prevent init_instance from registering it + v_h.type->init_instance(v_h.inst, nullptr); // Set up the holder + Holder temp_holder(std::move(v_h.holder>())); // Steal the holder + v_h.type->dealloc(v_h); // Destroys the moved-out holder remains, resets value ptr to null + v_h.set_instance_registered(false); + + construct_alias_from_cpp(is_alias_constructible{}, v_h, std::move(*ptr)); + } else { + // Otherwise the type isn't inherited, so we don't need an Alias + v_h.value_ptr() = ptr; + } +} + +// Pointer return v2: a factory that always returns an alias instance ptr. We simply take over +// ownership of the pointer. +template = 0> +void construct(value_and_holder &v_h, Alias *alias_ptr, bool) { + no_nullptr(alias_ptr); + v_h.value_ptr() = static_cast *>(alias_ptr); +} + +// Holder return: copy its pointer, and move or copy the returned holder into the new instance's +// holder. This also handles types like std::shared_ptr and std::unique_ptr where T is a +// derived type (through those holder's implicit conversion from derived class holder constructors). +template +void construct(value_and_holder &v_h, Holder holder, bool need_alias) { + auto *ptr = holder_helper>::get(holder); + // If we need an alias, check that the held pointer is actually an alias instance + if (Class::has_alias && need_alias && !is_alias(ptr)) + throw type_error("pybind11::init(): construction failed: returned holder-wrapped instance " + "is not an alias instance"); + + v_h.value_ptr() = ptr; + v_h.type->init_instance(v_h.inst, &holder); +} + +// return-by-value version 1: returning a cpp class by value. If the class has an alias and an +// alias is required the alias must have an `Alias(Cpp &&)` constructor so that we can construct +// the alias from the base when needed (i.e. because of Python-side inheritance). When we don't +// need it, we simply move-construct the cpp value into a new instance. +template +void construct(value_and_holder &v_h, Cpp &&result, bool need_alias) { + static_assert(std::is_move_constructible>::value, + "pybind11::init() return-by-value factory function requires a movable class"); + if (Class::has_alias && need_alias) + construct_alias_from_cpp(is_alias_constructible{}, v_h, std::move(result)); + else + v_h.value_ptr() = new Cpp(std::move(result)); +} + +// return-by-value version 2: returning a value of the alias type itself. We move-construct an +// Alias instance (even if no the python-side inheritance is involved). The is intended for +// cases where Alias initialization is always desired. +template +void construct(value_and_holder &v_h, Alias &&result, bool) { + static_assert(std::is_move_constructible>::value, + "pybind11::init() return-by-alias-value factory function requires a movable alias class"); + v_h.value_ptr() = new Alias(std::move(result)); +} + +// Implementing class for py::init<...>() +template +struct constructor { + template = 0> + static void execute(Class &cl, const Extra&... extra) { + cl.def("__init__", [](value_and_holder &v_h, Args... args) { + v_h.value_ptr() = construct_or_initialize>(std::forward(args)...); + }, is_new_style_constructor(), extra...); + } + + template , Args...>::value, int> = 0> + static void execute(Class &cl, const Extra&... extra) { + cl.def("__init__", [](value_and_holder &v_h, Args... args) { + if (Py_TYPE(v_h.inst) == v_h.type->type) + v_h.value_ptr() = construct_or_initialize>(std::forward(args)...); + else + v_h.value_ptr() = construct_or_initialize>(std::forward(args)...); + }, is_new_style_constructor(), extra...); + } + + template , Args...>::value, int> = 0> + static void execute(Class &cl, const Extra&... extra) { + cl.def("__init__", [](value_and_holder &v_h, Args... args) { + v_h.value_ptr() = construct_or_initialize>(std::forward(args)...); + }, is_new_style_constructor(), extra...); + } +}; + +// Implementing class for py::init_alias<...>() +template struct alias_constructor { + template , Args...>::value, int> = 0> + static void execute(Class &cl, const Extra&... extra) { + cl.def("__init__", [](value_and_holder &v_h, Args... args) { + v_h.value_ptr() = construct_or_initialize>(std::forward(args)...); + }, is_new_style_constructor(), extra...); + } +}; + +// Implementation class for py::init(Func) and py::init(Func, AliasFunc) +template , typename = function_signature_t> +struct factory; + +// Specialization for py::init(Func) +template +struct factory { + remove_reference_t class_factory; + + factory(Func &&f) : class_factory(std::forward(f)) { } + + // The given class either has no alias or has no separate alias factory; + // this always constructs the class itself. If the class is registered with an alias + // type and an alias instance is needed (i.e. because the final type is a Python class + // inheriting from the C++ type) the returned value needs to either already be an alias + // instance, or the alias needs to be constructible from a `Class &&` argument. + template + void execute(Class &cl, const Extra &...extra) && { + #if defined(PYBIND11_CPP14) + cl.def("__init__", [func = std::move(class_factory)] + #else + auto &func = class_factory; + cl.def("__init__", [func] + #endif + (value_and_holder &v_h, Args... args) { + construct(v_h, func(std::forward(args)...), + Py_TYPE(v_h.inst) != v_h.type->type); + }, is_new_style_constructor(), extra...); + } +}; + +// Specialization for py::init(Func, AliasFunc) +template +struct factory { + static_assert(sizeof...(CArgs) == sizeof...(AArgs), + "pybind11::init(class_factory, alias_factory): class and alias factories " + "must have identical argument signatures"); + static_assert(all_of...>::value, + "pybind11::init(class_factory, alias_factory): class and alias factories " + "must have identical argument signatures"); + + remove_reference_t class_factory; + remove_reference_t alias_factory; + + factory(CFunc &&c, AFunc &&a) + : class_factory(std::forward(c)), alias_factory(std::forward(a)) { } + + // The class factory is called when the `self` type passed to `__init__` is the direct + // class (i.e. not inherited), the alias factory when `self` is a Python-side subtype. + template + void execute(Class &cl, const Extra&... extra) && { + static_assert(Class::has_alias, "The two-argument version of `py::init()` can " + "only be used if the class has an alias"); + #if defined(PYBIND11_CPP14) + cl.def("__init__", [class_func = std::move(class_factory), alias_func = std::move(alias_factory)] + #else + auto &class_func = class_factory; + auto &alias_func = alias_factory; + cl.def("__init__", [class_func, alias_func] + #endif + (value_and_holder &v_h, CArgs... args) { + if (Py_TYPE(v_h.inst) == v_h.type->type) + // If the instance type equals the registered type we don't have inheritance, so + // don't need the alias and can construct using the class function: + construct(v_h, class_func(std::forward(args)...), false); + else + construct(v_h, alias_func(std::forward(args)...), true); + }, is_new_style_constructor(), extra...); + } +}; + +/// Set just the C++ state. Same as `__init__`. +template +void setstate(value_and_holder &v_h, T &&result, bool need_alias) { + construct(v_h, std::forward(result), need_alias); +} + +/// Set both the C++ and Python states +template ::value, int> = 0> +void setstate(value_and_holder &v_h, std::pair &&result, bool need_alias) { + construct(v_h, std::move(result.first), need_alias); + setattr((PyObject *) v_h.inst, "__dict__", result.second); +} + +/// Implementation for py::pickle(GetState, SetState) +template , typename = function_signature_t> +struct pickle_factory; + +template +struct pickle_factory { + static_assert(std::is_same, intrinsic_t>::value, + "The type returned by `__getstate__` must be the same " + "as the argument accepted by `__setstate__`"); + + remove_reference_t get; + remove_reference_t set; + + pickle_factory(Get get, Set set) + : get(std::forward(get)), set(std::forward(set)) { } + + template + void execute(Class &cl, const Extra &...extra) && { + cl.def("__getstate__", std::move(get)); + +#if defined(PYBIND11_CPP14) + cl.def("__setstate__", [func = std::move(set)] +#else + auto &func = set; + cl.def("__setstate__", [func] +#endif + (value_and_holder &v_h, ArgState state) { + setstate(v_h, func(std::forward(state)), + Py_TYPE(v_h.inst) != v_h.type->type); + }, is_new_style_constructor(), extra...); + } +}; + +NAMESPACE_END(initimpl) +NAMESPACE_END(detail) +NAMESPACE_END(pybind11) diff --git a/external/pybind11/include/pybind11/detail/internals.h b/external/pybind11/include/pybind11/detail/internals.h new file mode 100644 index 0000000..067780c --- /dev/null +++ b/external/pybind11/include/pybind11/detail/internals.h @@ -0,0 +1,336 @@ +/* + pybind11/detail/internals.h: Internal data structure and related functions + + Copyright (c) 2017 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#pragma once + +#include "../pytypes.h" + +NAMESPACE_BEGIN(PYBIND11_NAMESPACE) +NAMESPACE_BEGIN(detail) +// Forward declarations +inline PyTypeObject *make_static_property_type(); +inline PyTypeObject *make_default_metaclass(); +inline PyObject *make_object_base_type(PyTypeObject *metaclass); + +// The old Python Thread Local Storage (TLS) API is deprecated in Python 3.7 in favor of the new +// Thread Specific Storage (TSS) API. +#if PY_VERSION_HEX >= 0x03070000 +# define PYBIND11_TLS_KEY_INIT(var) Py_tss_t *var = nullptr +# define PYBIND11_TLS_GET_VALUE(key) PyThread_tss_get((key)) +# define PYBIND11_TLS_REPLACE_VALUE(key, value) PyThread_tss_set((key), (value)) +# define PYBIND11_TLS_DELETE_VALUE(key) PyThread_tss_set((key), nullptr) +#else + // Usually an int but a long on Cygwin64 with Python 3.x +# define PYBIND11_TLS_KEY_INIT(var) decltype(PyThread_create_key()) var = 0 +# define PYBIND11_TLS_GET_VALUE(key) PyThread_get_key_value((key)) +# if PY_MAJOR_VERSION < 3 +# define PYBIND11_TLS_DELETE_VALUE(key) \ + PyThread_delete_key_value(key) +# define PYBIND11_TLS_REPLACE_VALUE(key, value) \ + do { \ + PyThread_delete_key_value((key)); \ + PyThread_set_key_value((key), (value)); \ + } while (false) +# else +# define PYBIND11_TLS_DELETE_VALUE(key) \ + PyThread_set_key_value((key), nullptr) +# define PYBIND11_TLS_REPLACE_VALUE(key, value) \ + PyThread_set_key_value((key), (value)) +# endif +#endif + +// Python loads modules by default with dlopen with the RTLD_LOCAL flag; under libc++ and possibly +// other STLs, this means `typeid(A)` from one module won't equal `typeid(A)` from another module +// even when `A` is the same, non-hidden-visibility type (e.g. from a common include). Under +// libstdc++, this doesn't happen: equality and the type_index hash are based on the type name, +// which works. If not under a known-good stl, provide our own name-based hash and equality +// functions that use the type name. +#if defined(__GLIBCXX__) +inline bool same_type(const std::type_info &lhs, const std::type_info &rhs) { return lhs == rhs; } +using type_hash = std::hash; +using type_equal_to = std::equal_to; +#else +inline bool same_type(const std::type_info &lhs, const std::type_info &rhs) { + return lhs.name() == rhs.name() || std::strcmp(lhs.name(), rhs.name()) == 0; +} + +struct type_hash { + size_t operator()(const std::type_index &t) const { + size_t hash = 5381; + const char *ptr = t.name(); + while (auto c = static_cast(*ptr++)) + hash = (hash * 33) ^ c; + return hash; + } +}; + +struct type_equal_to { + bool operator()(const std::type_index &lhs, const std::type_index &rhs) const { + return lhs.name() == rhs.name() || std::strcmp(lhs.name(), rhs.name()) == 0; + } +}; +#endif + +template +using type_map = std::unordered_map; + +struct overload_hash { + inline size_t operator()(const std::pair& v) const { + size_t value = std::hash()(v.first); + value ^= std::hash()(v.second) + 0x9e3779b9 + (value<<6) + (value>>2); + return value; + } +}; + +/// Internal data structure used to track registered instances and types. +/// Whenever binary incompatible changes are made to this structure, +/// `PYBIND11_INTERNALS_VERSION` must be incremented. +struct internals { + type_map registered_types_cpp; // std::type_index -> pybind11's type information + std::unordered_map> registered_types_py; // PyTypeObject* -> base type_info(s) + std::unordered_multimap registered_instances; // void * -> instance* + std::unordered_set, overload_hash> inactive_overload_cache; + type_map> direct_conversions; + std::unordered_map> patients; + std::forward_list registered_exception_translators; + std::unordered_map shared_data; // Custom data to be shared across extensions + std::vector loader_patient_stack; // Used by `loader_life_support` + std::forward_list static_strings; // Stores the std::strings backing detail::c_str() + PyTypeObject *static_property_type; + PyTypeObject *default_metaclass; + PyObject *instance_base; +#if defined(WITH_THREAD) + PYBIND11_TLS_KEY_INIT(tstate); + PyInterpreterState *istate = nullptr; +#endif +}; + +/// Additional type information which does not fit into the PyTypeObject. +/// Changes to this struct also require bumping `PYBIND11_INTERNALS_VERSION`. +struct type_info { + PyTypeObject *type; + const std::type_info *cpptype; + size_t type_size, type_align, holder_size_in_ptrs; + void *(*operator_new)(size_t); + void (*init_instance)(instance *, const void *); + void (*dealloc)(value_and_holder &v_h); + std::vector implicit_conversions; + std::vector> implicit_casts; + std::vector *direct_conversions; + buffer_info *(*get_buffer)(PyObject *, void *) = nullptr; + void *get_buffer_data = nullptr; + void *(*module_local_load)(PyObject *, const type_info *) = nullptr; + /* A simple type never occurs as a (direct or indirect) parent + * of a class that makes use of multiple inheritance */ + bool simple_type : 1; + /* True if there is no multiple inheritance in this type's inheritance tree */ + bool simple_ancestors : 1; + /* for base vs derived holder_type checks */ + bool default_holder : 1; + /* true if this is a type registered with py::module_local */ + bool module_local : 1; +}; + +/// Tracks the `internals` and `type_info` ABI version independent of the main library version +#define PYBIND11_INTERNALS_VERSION 3 + +/// On MSVC, debug and release builds are not ABI-compatible! +#if defined(_MSC_VER) && defined(_DEBUG) +# define PYBIND11_BUILD_TYPE "_debug" +#else +# define PYBIND11_BUILD_TYPE "" +#endif + +/// Let's assume that different compilers are ABI-incompatible. +#if defined(_MSC_VER) +# define PYBIND11_COMPILER_TYPE "_msvc" +#elif defined(__INTEL_COMPILER) +# define PYBIND11_COMPILER_TYPE "_icc" +#elif defined(__clang__) +# define PYBIND11_COMPILER_TYPE "_clang" +#elif defined(__PGI) +# define PYBIND11_COMPILER_TYPE "_pgi" +#elif defined(__MINGW32__) +# define PYBIND11_COMPILER_TYPE "_mingw" +#elif defined(__CYGWIN__) +# define PYBIND11_COMPILER_TYPE "_gcc_cygwin" +#elif defined(__GNUC__) +# define PYBIND11_COMPILER_TYPE "_gcc" +#else +# define PYBIND11_COMPILER_TYPE "_unknown" +#endif + +#if defined(_LIBCPP_VERSION) +# define PYBIND11_STDLIB "_libcpp" +#elif defined(__GLIBCXX__) || defined(__GLIBCPP__) +# define PYBIND11_STDLIB "_libstdcpp" +#else +# define PYBIND11_STDLIB "" +#endif + +/// On Linux/OSX, changes in __GXX_ABI_VERSION__ indicate ABI incompatibility. +#if defined(__GXX_ABI_VERSION) +# define PYBIND11_BUILD_ABI "_cxxabi" PYBIND11_TOSTRING(__GXX_ABI_VERSION) +#else +# define PYBIND11_BUILD_ABI "" +#endif + +#if defined(WITH_THREAD) +# define PYBIND11_INTERNALS_KIND "" +#else +# define PYBIND11_INTERNALS_KIND "_without_thread" +#endif + +#define PYBIND11_INTERNALS_ID "__pybind11_internals_v" \ + PYBIND11_TOSTRING(PYBIND11_INTERNALS_VERSION) PYBIND11_INTERNALS_KIND PYBIND11_COMPILER_TYPE PYBIND11_STDLIB PYBIND11_BUILD_ABI PYBIND11_BUILD_TYPE "__" + +#define PYBIND11_MODULE_LOCAL_ID "__pybind11_module_local_v" \ + PYBIND11_TOSTRING(PYBIND11_INTERNALS_VERSION) PYBIND11_INTERNALS_KIND PYBIND11_COMPILER_TYPE PYBIND11_STDLIB PYBIND11_BUILD_ABI PYBIND11_BUILD_TYPE "__" + +/// Each module locally stores a pointer to the `internals` data. The data +/// itself is shared among modules with the same `PYBIND11_INTERNALS_ID`. +inline internals **&get_internals_pp() { + static internals **internals_pp = nullptr; + return internals_pp; +} + +inline void translate_exception(std::exception_ptr p) { + try { + if (p) std::rethrow_exception(p); + } catch (error_already_set &e) { e.restore(); return; + } catch (const builtin_exception &e) { e.set_error(); return; + } catch (const std::bad_alloc &e) { PyErr_SetString(PyExc_MemoryError, e.what()); return; + } catch (const std::domain_error &e) { PyErr_SetString(PyExc_ValueError, e.what()); return; + } catch (const std::invalid_argument &e) { PyErr_SetString(PyExc_ValueError, e.what()); return; + } catch (const std::length_error &e) { PyErr_SetString(PyExc_ValueError, e.what()); return; + } catch (const std::out_of_range &e) { PyErr_SetString(PyExc_IndexError, e.what()); return; + } catch (const std::range_error &e) { PyErr_SetString(PyExc_ValueError, e.what()); return; + } catch (const std::exception &e) { PyErr_SetString(PyExc_RuntimeError, e.what()); return; + } catch (...) { + PyErr_SetString(PyExc_RuntimeError, "Caught an unknown exception!"); + return; + } +} + +#if !defined(__GLIBCXX__) +inline void translate_local_exception(std::exception_ptr p) { + try { + if (p) std::rethrow_exception(p); + } catch (error_already_set &e) { e.restore(); return; + } catch (const builtin_exception &e) { e.set_error(); return; + } +} +#endif + +/// Return a reference to the current `internals` data +PYBIND11_NOINLINE inline internals &get_internals() { + auto **&internals_pp = get_internals_pp(); + if (internals_pp && *internals_pp) + return **internals_pp; + + // Ensure that the GIL is held since we will need to make Python calls. + // Cannot use py::gil_scoped_acquire here since that constructor calls get_internals. + struct gil_scoped_acquire_local { + gil_scoped_acquire_local() : state (PyGILState_Ensure()) {} + ~gil_scoped_acquire_local() { PyGILState_Release(state); } + const PyGILState_STATE state; + } gil; + + constexpr auto *id = PYBIND11_INTERNALS_ID; + auto builtins = handle(PyEval_GetBuiltins()); + if (builtins.contains(id) && isinstance(builtins[id])) { + internals_pp = static_cast(capsule(builtins[id])); + + // We loaded builtins through python's builtins, which means that our `error_already_set` + // and `builtin_exception` may be different local classes than the ones set up in the + // initial exception translator, below, so add another for our local exception classes. + // + // libstdc++ doesn't require this (types there are identified only by name) +#if !defined(__GLIBCXX__) + (*internals_pp)->registered_exception_translators.push_front(&translate_local_exception); +#endif + } else { + if (!internals_pp) internals_pp = new internals*(); + auto *&internals_ptr = *internals_pp; + internals_ptr = new internals(); +#if defined(WITH_THREAD) + PyEval_InitThreads(); + PyThreadState *tstate = PyThreadState_Get(); + #if PY_VERSION_HEX >= 0x03070000 + internals_ptr->tstate = PyThread_tss_alloc(); + if (!internals_ptr->tstate || PyThread_tss_create(internals_ptr->tstate)) + pybind11_fail("get_internals: could not successfully initialize the TSS key!"); + PyThread_tss_set(internals_ptr->tstate, tstate); + #else + internals_ptr->tstate = PyThread_create_key(); + if (internals_ptr->tstate == -1) + pybind11_fail("get_internals: could not successfully initialize the TLS key!"); + PyThread_set_key_value(internals_ptr->tstate, tstate); + #endif + internals_ptr->istate = tstate->interp; +#endif + builtins[id] = capsule(internals_pp); + internals_ptr->registered_exception_translators.push_front(&translate_exception); + internals_ptr->static_property_type = make_static_property_type(); + internals_ptr->default_metaclass = make_default_metaclass(); + internals_ptr->instance_base = make_object_base_type(internals_ptr->default_metaclass); + } + return **internals_pp; +} + +/// Works like `internals.registered_types_cpp`, but for module-local registered types: +inline type_map ®istered_local_types_cpp() { + static type_map locals{}; + return locals; +} + +/// Constructs a std::string with the given arguments, stores it in `internals`, and returns its +/// `c_str()`. Such strings objects have a long storage duration -- the internal strings are only +/// cleared when the program exits or after interpreter shutdown (when embedding), and so are +/// suitable for c-style strings needed by Python internals (such as PyTypeObject's tp_name). +template +const char *c_str(Args &&...args) { + auto &strings = get_internals().static_strings; + strings.emplace_front(std::forward(args)...); + return strings.front().c_str(); +} + +NAMESPACE_END(detail) + +/// Returns a named pointer that is shared among all extension modules (using the same +/// pybind11 version) running in the current interpreter. Names starting with underscores +/// are reserved for internal usage. Returns `nullptr` if no matching entry was found. +inline PYBIND11_NOINLINE void *get_shared_data(const std::string &name) { + auto &internals = detail::get_internals(); + auto it = internals.shared_data.find(name); + return it != internals.shared_data.end() ? it->second : nullptr; +} + +/// Set the shared data that can be later recovered by `get_shared_data()`. +inline PYBIND11_NOINLINE void *set_shared_data(const std::string &name, void *data) { + detail::get_internals().shared_data[name] = data; + return data; +} + +/// Returns a typed reference to a shared data entry (by using `get_shared_data()`) if +/// such entry exists. Otherwise, a new object of default-constructible type `T` is +/// added to the shared data under the given name and a reference to it is returned. +template +T &get_or_create_shared_data(const std::string &name) { + auto &internals = detail::get_internals(); + auto it = internals.shared_data.find(name); + T *ptr = (T *) (it != internals.shared_data.end() ? it->second : nullptr); + if (!ptr) { + ptr = new T(); + internals.shared_data[name] = ptr; + } + return *ptr; +} + +NAMESPACE_END(PYBIND11_NAMESPACE) diff --git a/external/pybind11/include/pybind11/detail/typeid.h b/external/pybind11/include/pybind11/detail/typeid.h new file mode 100644 index 0000000..9c8a4fc --- /dev/null +++ b/external/pybind11/include/pybind11/detail/typeid.h @@ -0,0 +1,55 @@ +/* + pybind11/detail/typeid.h: Compiler-independent access to type identifiers + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#pragma once + +#include +#include + +#if defined(__GNUG__) +#include +#endif + +#include "common.h" + +NAMESPACE_BEGIN(PYBIND11_NAMESPACE) +NAMESPACE_BEGIN(detail) +/// Erase all occurrences of a substring +inline void erase_all(std::string &string, const std::string &search) { + for (size_t pos = 0;;) { + pos = string.find(search, pos); + if (pos == std::string::npos) break; + string.erase(pos, search.length()); + } +} + +PYBIND11_NOINLINE inline void clean_type_id(std::string &name) { +#if defined(__GNUG__) + int status = 0; + std::unique_ptr res { + abi::__cxa_demangle(name.c_str(), nullptr, nullptr, &status), std::free }; + if (status == 0) + name = res.get(); +#else + detail::erase_all(name, "class "); + detail::erase_all(name, "struct "); + detail::erase_all(name, "enum "); +#endif + detail::erase_all(name, "pybind11::"); +} +NAMESPACE_END(detail) + +/// Return a string representation of a C++ type +template static std::string type_id() { + std::string name(typeid(T).name()); + detail::clean_type_id(name); + return name; +} + +NAMESPACE_END(PYBIND11_NAMESPACE) diff --git a/external/pybind11/include/pybind11/eigen.h b/external/pybind11/include/pybind11/eigen.h new file mode 100644 index 0000000..d963d96 --- /dev/null +++ b/external/pybind11/include/pybind11/eigen.h @@ -0,0 +1,607 @@ +/* + pybind11/eigen.h: Transparent conversion for dense and sparse Eigen matrices + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#pragma once + +#include "numpy.h" + +#if defined(__INTEL_COMPILER) +# pragma warning(disable: 1682) // implicit conversion of a 64-bit integral type to a smaller integral type (potential portability problem) +#elif defined(__GNUG__) || defined(__clang__) +# pragma GCC diagnostic push +# pragma GCC diagnostic ignored "-Wconversion" +# pragma GCC diagnostic ignored "-Wdeprecated-declarations" +# ifdef __clang__ +// Eigen generates a bunch of implicit-copy-constructor-is-deprecated warnings with -Wdeprecated +// under Clang, so disable that warning here: +# pragma GCC diagnostic ignored "-Wdeprecated" +# endif +# if __GNUC__ >= 7 +# pragma GCC diagnostic ignored "-Wint-in-bool-context" +# endif +#endif + +#if defined(_MSC_VER) +# pragma warning(push) +# pragma warning(disable: 4127) // warning C4127: Conditional expression is constant +# pragma warning(disable: 4996) // warning C4996: std::unary_negate is deprecated in C++17 +#endif + +#include +#include + +// Eigen prior to 3.2.7 doesn't have proper move constructors--but worse, some classes get implicit +// move constructors that break things. We could detect this an explicitly copy, but an extra copy +// of matrices seems highly undesirable. +static_assert(EIGEN_VERSION_AT_LEAST(3,2,7), "Eigen support in pybind11 requires Eigen >= 3.2.7"); + +NAMESPACE_BEGIN(PYBIND11_NAMESPACE) + +// Provide a convenience alias for easier pass-by-ref usage with fully dynamic strides: +using EigenDStride = Eigen::Stride; +template using EigenDRef = Eigen::Ref; +template using EigenDMap = Eigen::Map; + +NAMESPACE_BEGIN(detail) + +#if EIGEN_VERSION_AT_LEAST(3,3,0) +using EigenIndex = Eigen::Index; +#else +using EigenIndex = EIGEN_DEFAULT_DENSE_INDEX_TYPE; +#endif + +// Matches Eigen::Map, Eigen::Ref, blocks, etc: +template using is_eigen_dense_map = all_of, std::is_base_of, T>>; +template using is_eigen_mutable_map = std::is_base_of, T>; +template using is_eigen_dense_plain = all_of>, is_template_base_of>; +template using is_eigen_sparse = is_template_base_of; +// Test for objects inheriting from EigenBase that aren't captured by the above. This +// basically covers anything that can be assigned to a dense matrix but that don't have a typical +// matrix data layout that can be copied from their .data(). For example, DiagonalMatrix and +// SelfAdjointView fall into this category. +template using is_eigen_other = all_of< + is_template_base_of, + negation, is_eigen_dense_plain, is_eigen_sparse>> +>; + +// Captures numpy/eigen conformability status (returned by EigenProps::conformable()): +template struct EigenConformable { + bool conformable = false; + EigenIndex rows = 0, cols = 0; + EigenDStride stride{0, 0}; // Only valid if negativestrides is false! + bool negativestrides = false; // If true, do not use stride! + + EigenConformable(bool fits = false) : conformable{fits} {} + // Matrix type: + EigenConformable(EigenIndex r, EigenIndex c, + EigenIndex rstride, EigenIndex cstride) : + conformable{true}, rows{r}, cols{c} { + // TODO: when Eigen bug #747 is fixed, remove the tests for non-negativity. http://eigen.tuxfamily.org/bz/show_bug.cgi?id=747 + if (rstride < 0 || cstride < 0) { + negativestrides = true; + } else { + stride = {EigenRowMajor ? rstride : cstride /* outer stride */, + EigenRowMajor ? cstride : rstride /* inner stride */ }; + } + } + // Vector type: + EigenConformable(EigenIndex r, EigenIndex c, EigenIndex stride) + : EigenConformable(r, c, r == 1 ? c*stride : stride, c == 1 ? r : r*stride) {} + + template bool stride_compatible() const { + // To have compatible strides, we need (on both dimensions) one of fully dynamic strides, + // matching strides, or a dimension size of 1 (in which case the stride value is irrelevant) + return + !negativestrides && + (props::inner_stride == Eigen::Dynamic || props::inner_stride == stride.inner() || + (EigenRowMajor ? cols : rows) == 1) && + (props::outer_stride == Eigen::Dynamic || props::outer_stride == stride.outer() || + (EigenRowMajor ? rows : cols) == 1); + } + operator bool() const { return conformable; } +}; + +template struct eigen_extract_stride { using type = Type; }; +template +struct eigen_extract_stride> { using type = StrideType; }; +template +struct eigen_extract_stride> { using type = StrideType; }; + +// Helper struct for extracting information from an Eigen type +template struct EigenProps { + using Type = Type_; + using Scalar = typename Type::Scalar; + using StrideType = typename eigen_extract_stride::type; + static constexpr EigenIndex + rows = Type::RowsAtCompileTime, + cols = Type::ColsAtCompileTime, + size = Type::SizeAtCompileTime; + static constexpr bool + row_major = Type::IsRowMajor, + vector = Type::IsVectorAtCompileTime, // At least one dimension has fixed size 1 + fixed_rows = rows != Eigen::Dynamic, + fixed_cols = cols != Eigen::Dynamic, + fixed = size != Eigen::Dynamic, // Fully-fixed size + dynamic = !fixed_rows && !fixed_cols; // Fully-dynamic size + + template using if_zero = std::integral_constant; + static constexpr EigenIndex inner_stride = if_zero::value, + outer_stride = if_zero::value; + static constexpr bool dynamic_stride = inner_stride == Eigen::Dynamic && outer_stride == Eigen::Dynamic; + static constexpr bool requires_row_major = !dynamic_stride && !vector && (row_major ? inner_stride : outer_stride) == 1; + static constexpr bool requires_col_major = !dynamic_stride && !vector && (row_major ? outer_stride : inner_stride) == 1; + + // Takes an input array and determines whether we can make it fit into the Eigen type. If + // the array is a vector, we attempt to fit it into either an Eigen 1xN or Nx1 vector + // (preferring the latter if it will fit in either, i.e. for a fully dynamic matrix type). + static EigenConformable conformable(const array &a) { + const auto dims = a.ndim(); + if (dims < 1 || dims > 2) + return false; + + if (dims == 2) { // Matrix type: require exact match (or dynamic) + + EigenIndex + np_rows = a.shape(0), + np_cols = a.shape(1), + np_rstride = a.strides(0) / static_cast(sizeof(Scalar)), + np_cstride = a.strides(1) / static_cast(sizeof(Scalar)); + if ((fixed_rows && np_rows != rows) || (fixed_cols && np_cols != cols)) + return false; + + return {np_rows, np_cols, np_rstride, np_cstride}; + } + + // Otherwise we're storing an n-vector. Only one of the strides will be used, but whichever + // is used, we want the (single) numpy stride value. + const EigenIndex n = a.shape(0), + stride = a.strides(0) / static_cast(sizeof(Scalar)); + + if (vector) { // Eigen type is a compile-time vector + if (fixed && size != n) + return false; // Vector size mismatch + return {rows == 1 ? 1 : n, cols == 1 ? 1 : n, stride}; + } + else if (fixed) { + // The type has a fixed size, but is not a vector: abort + return false; + } + else if (fixed_cols) { + // Since this isn't a vector, cols must be != 1. We allow this only if it exactly + // equals the number of elements (rows is Dynamic, and so 1 row is allowed). + if (cols != n) return false; + return {1, n, stride}; + } + else { + // Otherwise it's either fully dynamic, or column dynamic; both become a column vector + if (fixed_rows && rows != n) return false; + return {n, 1, stride}; + } + } + + static constexpr bool show_writeable = is_eigen_dense_map::value && is_eigen_mutable_map::value; + static constexpr bool show_order = is_eigen_dense_map::value; + static constexpr bool show_c_contiguous = show_order && requires_row_major; + static constexpr bool show_f_contiguous = !show_c_contiguous && show_order && requires_col_major; + + static constexpr auto descriptor = + _("numpy.ndarray[") + npy_format_descriptor::name + + _("[") + _(_<(size_t) rows>(), _("m")) + + _(", ") + _(_<(size_t) cols>(), _("n")) + + _("]") + + // For a reference type (e.g. Ref) we have other constraints that might need to be + // satisfied: writeable=True (for a mutable reference), and, depending on the map's stride + // options, possibly f_contiguous or c_contiguous. We include them in the descriptor output + // to provide some hint as to why a TypeError is occurring (otherwise it can be confusing to + // see that a function accepts a 'numpy.ndarray[float64[3,2]]' and an error message that you + // *gave* a numpy.ndarray of the right type and dimensions. + _(", flags.writeable", "") + + _(", flags.c_contiguous", "") + + _(", flags.f_contiguous", "") + + _("]"); +}; + +// Casts an Eigen type to numpy array. If given a base, the numpy array references the src data, +// otherwise it'll make a copy. writeable lets you turn off the writeable flag for the array. +template handle eigen_array_cast(typename props::Type const &src, handle base = handle(), bool writeable = true) { + constexpr ssize_t elem_size = sizeof(typename props::Scalar); + array a; + if (props::vector) + a = array({ src.size() }, { elem_size * src.innerStride() }, src.data(), base); + else + a = array({ src.rows(), src.cols() }, { elem_size * src.rowStride(), elem_size * src.colStride() }, + src.data(), base); + + if (!writeable) + array_proxy(a.ptr())->flags &= ~detail::npy_api::NPY_ARRAY_WRITEABLE_; + + return a.release(); +} + +// Takes an lvalue ref to some Eigen type and a (python) base object, creating a numpy array that +// reference the Eigen object's data with `base` as the python-registered base class (if omitted, +// the base will be set to None, and lifetime management is up to the caller). The numpy array is +// non-writeable if the given type is const. +template +handle eigen_ref_array(Type &src, handle parent = none()) { + // none here is to get past array's should-we-copy detection, which currently always + // copies when there is no base. Setting the base to None should be harmless. + return eigen_array_cast(src, parent, !std::is_const::value); +} + +// Takes a pointer to some dense, plain Eigen type, builds a capsule around it, then returns a numpy +// array that references the encapsulated data with a python-side reference to the capsule to tie +// its destruction to that of any dependent python objects. Const-ness is determined by whether or +// not the Type of the pointer given is const. +template ::value>> +handle eigen_encapsulate(Type *src) { + capsule base(src, [](void *o) { delete static_cast(o); }); + return eigen_ref_array(*src, base); +} + +// Type caster for regular, dense matrix types (e.g. MatrixXd), but not maps/refs/etc. of dense +// types. +template +struct type_caster::value>> { + using Scalar = typename Type::Scalar; + using props = EigenProps; + + bool load(handle src, bool convert) { + // If we're in no-convert mode, only load if given an array of the correct type + if (!convert && !isinstance>(src)) + return false; + + // Coerce into an array, but don't do type conversion yet; the copy below handles it. + auto buf = array::ensure(src); + + if (!buf) + return false; + + auto dims = buf.ndim(); + if (dims < 1 || dims > 2) + return false; + + auto fits = props::conformable(buf); + if (!fits) + return false; + + // Allocate the new type, then build a numpy reference into it + value = Type(fits.rows, fits.cols); + auto ref = reinterpret_steal(eigen_ref_array(value)); + if (dims == 1) ref = ref.squeeze(); + else if (ref.ndim() == 1) buf = buf.squeeze(); + + int result = detail::npy_api::get().PyArray_CopyInto_(ref.ptr(), buf.ptr()); + + if (result < 0) { // Copy failed! + PyErr_Clear(); + return false; + } + + return true; + } + +private: + + // Cast implementation + template + static handle cast_impl(CType *src, return_value_policy policy, handle parent) { + switch (policy) { + case return_value_policy::take_ownership: + case return_value_policy::automatic: + return eigen_encapsulate(src); + case return_value_policy::move: + return eigen_encapsulate(new CType(std::move(*src))); + case return_value_policy::copy: + return eigen_array_cast(*src); + case return_value_policy::reference: + case return_value_policy::automatic_reference: + return eigen_ref_array(*src); + case return_value_policy::reference_internal: + return eigen_ref_array(*src, parent); + default: + throw cast_error("unhandled return_value_policy: should not happen!"); + }; + } + +public: + + // Normal returned non-reference, non-const value: + static handle cast(Type &&src, return_value_policy /* policy */, handle parent) { + return cast_impl(&src, return_value_policy::move, parent); + } + // If you return a non-reference const, we mark the numpy array readonly: + static handle cast(const Type &&src, return_value_policy /* policy */, handle parent) { + return cast_impl(&src, return_value_policy::move, parent); + } + // lvalue reference return; default (automatic) becomes copy + static handle cast(Type &src, return_value_policy policy, handle parent) { + if (policy == return_value_policy::automatic || policy == return_value_policy::automatic_reference) + policy = return_value_policy::copy; + return cast_impl(&src, policy, parent); + } + // const lvalue reference return; default (automatic) becomes copy + static handle cast(const Type &src, return_value_policy policy, handle parent) { + if (policy == return_value_policy::automatic || policy == return_value_policy::automatic_reference) + policy = return_value_policy::copy; + return cast(&src, policy, parent); + } + // non-const pointer return + static handle cast(Type *src, return_value_policy policy, handle parent) { + return cast_impl(src, policy, parent); + } + // const pointer return + static handle cast(const Type *src, return_value_policy policy, handle parent) { + return cast_impl(src, policy, parent); + } + + static constexpr auto name = props::descriptor; + + operator Type*() { return &value; } + operator Type&() { return value; } + operator Type&&() && { return std::move(value); } + template using cast_op_type = movable_cast_op_type; + +private: + Type value; +}; + +// Base class for casting reference/map/block/etc. objects back to python. +template struct eigen_map_caster { +private: + using props = EigenProps; + +public: + + // Directly referencing a ref/map's data is a bit dangerous (whatever the map/ref points to has + // to stay around), but we'll allow it under the assumption that you know what you're doing (and + // have an appropriate keep_alive in place). We return a numpy array pointing directly at the + // ref's data (The numpy array ends up read-only if the ref was to a const matrix type.) Note + // that this means you need to ensure you don't destroy the object in some other way (e.g. with + // an appropriate keep_alive, or with a reference to a statically allocated matrix). + static handle cast(const MapType &src, return_value_policy policy, handle parent) { + switch (policy) { + case return_value_policy::copy: + return eigen_array_cast(src); + case return_value_policy::reference_internal: + return eigen_array_cast(src, parent, is_eigen_mutable_map::value); + case return_value_policy::reference: + case return_value_policy::automatic: + case return_value_policy::automatic_reference: + return eigen_array_cast(src, none(), is_eigen_mutable_map::value); + default: + // move, take_ownership don't make any sense for a ref/map: + pybind11_fail("Invalid return_value_policy for Eigen Map/Ref/Block type"); + } + } + + static constexpr auto name = props::descriptor; + + // Explicitly delete these: support python -> C++ conversion on these (i.e. these can be return + // types but not bound arguments). We still provide them (with an explicitly delete) so that + // you end up here if you try anyway. + bool load(handle, bool) = delete; + operator MapType() = delete; + template using cast_op_type = MapType; +}; + +// We can return any map-like object (but can only load Refs, specialized next): +template struct type_caster::value>> + : eigen_map_caster {}; + +// Loader for Ref<...> arguments. See the documentation for info on how to make this work without +// copying (it requires some extra effort in many cases). +template +struct type_caster< + Eigen::Ref, + enable_if_t>::value> +> : public eigen_map_caster> { +private: + using Type = Eigen::Ref; + using props = EigenProps; + using Scalar = typename props::Scalar; + using MapType = Eigen::Map; + using Array = array_t; + static constexpr bool need_writeable = is_eigen_mutable_map::value; + // Delay construction (these have no default constructor) + std::unique_ptr map; + std::unique_ptr ref; + // Our array. When possible, this is just a numpy array pointing to the source data, but + // sometimes we can't avoid copying (e.g. input is not a numpy array at all, has an incompatible + // layout, or is an array of a type that needs to be converted). Using a numpy temporary + // (rather than an Eigen temporary) saves an extra copy when we need both type conversion and + // storage order conversion. (Note that we refuse to use this temporary copy when loading an + // argument for a Ref with M non-const, i.e. a read-write reference). + Array copy_or_ref; +public: + bool load(handle src, bool convert) { + // First check whether what we have is already an array of the right type. If not, we can't + // avoid a copy (because the copy is also going to do type conversion). + bool need_copy = !isinstance(src); + + EigenConformable fits; + if (!need_copy) { + // We don't need a converting copy, but we also need to check whether the strides are + // compatible with the Ref's stride requirements + Array aref = reinterpret_borrow(src); + + if (aref && (!need_writeable || aref.writeable())) { + fits = props::conformable(aref); + if (!fits) return false; // Incompatible dimensions + if (!fits.template stride_compatible()) + need_copy = true; + else + copy_or_ref = std::move(aref); + } + else { + need_copy = true; + } + } + + if (need_copy) { + // We need to copy: If we need a mutable reference, or we're not supposed to convert + // (either because we're in the no-convert overload pass, or because we're explicitly + // instructed not to copy (via `py::arg().noconvert()`) we have to fail loading. + if (!convert || need_writeable) return false; + + Array copy = Array::ensure(src); + if (!copy) return false; + fits = props::conformable(copy); + if (!fits || !fits.template stride_compatible()) + return false; + copy_or_ref = std::move(copy); + loader_life_support::add_patient(copy_or_ref); + } + + ref.reset(); + map.reset(new MapType(data(copy_or_ref), fits.rows, fits.cols, make_stride(fits.stride.outer(), fits.stride.inner()))); + ref.reset(new Type(*map)); + + return true; + } + + operator Type*() { return ref.get(); } + operator Type&() { return *ref; } + template using cast_op_type = pybind11::detail::cast_op_type<_T>; + +private: + template ::value, int> = 0> + Scalar *data(Array &a) { return a.mutable_data(); } + + template ::value, int> = 0> + const Scalar *data(Array &a) { return a.data(); } + + // Attempt to figure out a constructor of `Stride` that will work. + // If both strides are fixed, use a default constructor: + template using stride_ctor_default = bool_constant< + S::InnerStrideAtCompileTime != Eigen::Dynamic && S::OuterStrideAtCompileTime != Eigen::Dynamic && + std::is_default_constructible::value>; + // Otherwise, if there is a two-index constructor, assume it is (outer,inner) like + // Eigen::Stride, and use it: + template using stride_ctor_dual = bool_constant< + !stride_ctor_default::value && std::is_constructible::value>; + // Otherwise, if there is a one-index constructor, and just one of the strides is dynamic, use + // it (passing whichever stride is dynamic). + template using stride_ctor_outer = bool_constant< + !any_of, stride_ctor_dual>::value && + S::OuterStrideAtCompileTime == Eigen::Dynamic && S::InnerStrideAtCompileTime != Eigen::Dynamic && + std::is_constructible::value>; + template using stride_ctor_inner = bool_constant< + !any_of, stride_ctor_dual>::value && + S::InnerStrideAtCompileTime == Eigen::Dynamic && S::OuterStrideAtCompileTime != Eigen::Dynamic && + std::is_constructible::value>; + + template ::value, int> = 0> + static S make_stride(EigenIndex, EigenIndex) { return S(); } + template ::value, int> = 0> + static S make_stride(EigenIndex outer, EigenIndex inner) { return S(outer, inner); } + template ::value, int> = 0> + static S make_stride(EigenIndex outer, EigenIndex) { return S(outer); } + template ::value, int> = 0> + static S make_stride(EigenIndex, EigenIndex inner) { return S(inner); } + +}; + +// type_caster for special matrix types (e.g. DiagonalMatrix), which are EigenBase, but not +// EigenDense (i.e. they don't have a data(), at least not with the usual matrix layout). +// load() is not supported, but we can cast them into the python domain by first copying to a +// regular Eigen::Matrix, then casting that. +template +struct type_caster::value>> { +protected: + using Matrix = Eigen::Matrix; + using props = EigenProps; +public: + static handle cast(const Type &src, return_value_policy /* policy */, handle /* parent */) { + handle h = eigen_encapsulate(new Matrix(src)); + return h; + } + static handle cast(const Type *src, return_value_policy policy, handle parent) { return cast(*src, policy, parent); } + + static constexpr auto name = props::descriptor; + + // Explicitly delete these: support python -> C++ conversion on these (i.e. these can be return + // types but not bound arguments). We still provide them (with an explicitly delete) so that + // you end up here if you try anyway. + bool load(handle, bool) = delete; + operator Type() = delete; + template using cast_op_type = Type; +}; + +template +struct type_caster::value>> { + typedef typename Type::Scalar Scalar; + typedef remove_reference_t().outerIndexPtr())> StorageIndex; + typedef typename Type::Index Index; + static constexpr bool rowMajor = Type::IsRowMajor; + + bool load(handle src, bool) { + if (!src) + return false; + + auto obj = reinterpret_borrow(src); + object sparse_module = module::import("scipy.sparse"); + object matrix_type = sparse_module.attr( + rowMajor ? "csr_matrix" : "csc_matrix"); + + if (!obj.get_type().is(matrix_type)) { + try { + obj = matrix_type(obj); + } catch (const error_already_set &) { + return false; + } + } + + auto values = array_t((object) obj.attr("data")); + auto innerIndices = array_t((object) obj.attr("indices")); + auto outerIndices = array_t((object) obj.attr("indptr")); + auto shape = pybind11::tuple((pybind11::object) obj.attr("shape")); + auto nnz = obj.attr("nnz").cast(); + + if (!values || !innerIndices || !outerIndices) + return false; + + value = Eigen::MappedSparseMatrix( + shape[0].cast(), shape[1].cast(), nnz, + outerIndices.mutable_data(), innerIndices.mutable_data(), values.mutable_data()); + + return true; + } + + static handle cast(const Type &src, return_value_policy /* policy */, handle /* parent */) { + const_cast(src).makeCompressed(); + + object matrix_type = module::import("scipy.sparse").attr( + rowMajor ? "csr_matrix" : "csc_matrix"); + + array data(src.nonZeros(), src.valuePtr()); + array outerIndices((rowMajor ? src.rows() : src.cols()) + 1, src.outerIndexPtr()); + array innerIndices(src.nonZeros(), src.innerIndexPtr()); + + return matrix_type( + std::make_tuple(data, innerIndices, outerIndices), + std::make_pair(src.rows(), src.cols()) + ).release(); + } + + PYBIND11_TYPE_CASTER(Type, _<(Type::IsRowMajor) != 0>("scipy.sparse.csr_matrix[", "scipy.sparse.csc_matrix[") + + npy_format_descriptor::name + _("]")); +}; + +NAMESPACE_END(detail) +NAMESPACE_END(PYBIND11_NAMESPACE) + +#if defined(__GNUG__) || defined(__clang__) +# pragma GCC diagnostic pop +#elif defined(_MSC_VER) +# pragma warning(pop) +#endif diff --git a/external/pybind11/include/pybind11/embed.h b/external/pybind11/include/pybind11/embed.h new file mode 100644 index 0000000..7265588 --- /dev/null +++ b/external/pybind11/include/pybind11/embed.h @@ -0,0 +1,200 @@ +/* + pybind11/embed.h: Support for embedding the interpreter + + Copyright (c) 2017 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#pragma once + +#include "pybind11.h" +#include "eval.h" + +#if defined(PYPY_VERSION) +# error Embedding the interpreter is not supported with PyPy +#endif + +#if PY_MAJOR_VERSION >= 3 +# define PYBIND11_EMBEDDED_MODULE_IMPL(name) \ + extern "C" PyObject *pybind11_init_impl_##name() { \ + return pybind11_init_wrapper_##name(); \ + } +#else +# define PYBIND11_EMBEDDED_MODULE_IMPL(name) \ + extern "C" void pybind11_init_impl_##name() { \ + pybind11_init_wrapper_##name(); \ + } +#endif + +/** \rst + Add a new module to the table of builtins for the interpreter. Must be + defined in global scope. The first macro parameter is the name of the + module (without quotes). The second parameter is the variable which will + be used as the interface to add functions and classes to the module. + + .. code-block:: cpp + + PYBIND11_EMBEDDED_MODULE(example, m) { + // ... initialize functions and classes here + m.def("foo", []() { + return "Hello, World!"; + }); + } + \endrst */ +#define PYBIND11_EMBEDDED_MODULE(name, variable) \ + static void PYBIND11_CONCAT(pybind11_init_, name)(pybind11::module &); \ + static PyObject PYBIND11_CONCAT(*pybind11_init_wrapper_, name)() { \ + auto m = pybind11::module(PYBIND11_TOSTRING(name)); \ + try { \ + PYBIND11_CONCAT(pybind11_init_, name)(m); \ + return m.ptr(); \ + } catch (pybind11::error_already_set &e) { \ + PyErr_SetString(PyExc_ImportError, e.what()); \ + return nullptr; \ + } catch (const std::exception &e) { \ + PyErr_SetString(PyExc_ImportError, e.what()); \ + return nullptr; \ + } \ + } \ + PYBIND11_EMBEDDED_MODULE_IMPL(name) \ + pybind11::detail::embedded_module name(PYBIND11_TOSTRING(name), \ + PYBIND11_CONCAT(pybind11_init_impl_, name)); \ + void PYBIND11_CONCAT(pybind11_init_, name)(pybind11::module &variable) + + +NAMESPACE_BEGIN(PYBIND11_NAMESPACE) +NAMESPACE_BEGIN(detail) + +/// Python 2.7/3.x compatible version of `PyImport_AppendInittab` and error checks. +struct embedded_module { +#if PY_MAJOR_VERSION >= 3 + using init_t = PyObject *(*)(); +#else + using init_t = void (*)(); +#endif + embedded_module(const char *name, init_t init) { + if (Py_IsInitialized()) + pybind11_fail("Can't add new modules after the interpreter has been initialized"); + + auto result = PyImport_AppendInittab(name, init); + if (result == -1) + pybind11_fail("Insufficient memory to add a new module"); + } +}; + +NAMESPACE_END(detail) + +/** \rst + Initialize the Python interpreter. No other pybind11 or CPython API functions can be + called before this is done; with the exception of `PYBIND11_EMBEDDED_MODULE`. The + optional parameter can be used to skip the registration of signal handlers (see the + `Python documentation`_ for details). Calling this function again after the interpreter + has already been initialized is a fatal error. + + If initializing the Python interpreter fails, then the program is terminated. (This + is controlled by the CPython runtime and is an exception to pybind11's normal behavior + of throwing exceptions on errors.) + + .. _Python documentation: https://docs.python.org/3/c-api/init.html#c.Py_InitializeEx + \endrst */ +inline void initialize_interpreter(bool init_signal_handlers = true) { + if (Py_IsInitialized()) + pybind11_fail("The interpreter is already running"); + + Py_InitializeEx(init_signal_handlers ? 1 : 0); + + // Make .py files in the working directory available by default + module::import("sys").attr("path").cast().append("."); +} + +/** \rst + Shut down the Python interpreter. No pybind11 or CPython API functions can be called + after this. In addition, pybind11 objects must not outlive the interpreter: + + .. code-block:: cpp + + { // BAD + py::initialize_interpreter(); + auto hello = py::str("Hello, World!"); + py::finalize_interpreter(); + } // <-- BOOM, hello's destructor is called after interpreter shutdown + + { // GOOD + py::initialize_interpreter(); + { // scoped + auto hello = py::str("Hello, World!"); + } // <-- OK, hello is cleaned up properly + py::finalize_interpreter(); + } + + { // BETTER + py::scoped_interpreter guard{}; + auto hello = py::str("Hello, World!"); + } + + .. warning:: + + The interpreter can be restarted by calling `initialize_interpreter` again. + Modules created using pybind11 can be safely re-initialized. However, Python + itself cannot completely unload binary extension modules and there are several + caveats with regard to interpreter restarting. All the details can be found + in the CPython documentation. In short, not all interpreter memory may be + freed, either due to reference cycles or user-created global data. + + \endrst */ +inline void finalize_interpreter() { + handle builtins(PyEval_GetBuiltins()); + const char *id = PYBIND11_INTERNALS_ID; + + // Get the internals pointer (without creating it if it doesn't exist). It's possible for the + // internals to be created during Py_Finalize() (e.g. if a py::capsule calls `get_internals()` + // during destruction), so we get the pointer-pointer here and check it after Py_Finalize(). + detail::internals **internals_ptr_ptr = detail::get_internals_pp(); + // It could also be stashed in builtins, so look there too: + if (builtins.contains(id) && isinstance(builtins[id])) + internals_ptr_ptr = capsule(builtins[id]); + + Py_Finalize(); + + if (internals_ptr_ptr) { + delete *internals_ptr_ptr; + *internals_ptr_ptr = nullptr; + } +} + +/** \rst + Scope guard version of `initialize_interpreter` and `finalize_interpreter`. + This a move-only guard and only a single instance can exist. + + .. code-block:: cpp + + #include + + int main() { + py::scoped_interpreter guard{}; + py::print(Hello, World!); + } // <-- interpreter shutdown + \endrst */ +class scoped_interpreter { +public: + scoped_interpreter(bool init_signal_handlers = true) { + initialize_interpreter(init_signal_handlers); + } + + scoped_interpreter(const scoped_interpreter &) = delete; + scoped_interpreter(scoped_interpreter &&other) noexcept { other.is_valid = false; } + scoped_interpreter &operator=(const scoped_interpreter &) = delete; + scoped_interpreter &operator=(scoped_interpreter &&) = delete; + + ~scoped_interpreter() { + if (is_valid) + finalize_interpreter(); + } + +private: + bool is_valid = true; +}; + +NAMESPACE_END(PYBIND11_NAMESPACE) diff --git a/external/pybind11/include/pybind11/eval.h b/external/pybind11/include/pybind11/eval.h new file mode 100644 index 0000000..ea85ba1 --- /dev/null +++ b/external/pybind11/include/pybind11/eval.h @@ -0,0 +1,117 @@ +/* + pybind11/exec.h: Support for evaluating Python expressions and statements + from strings and files + + Copyright (c) 2016 Klemens Morgenstern and + Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#pragma once + +#include "pybind11.h" + +NAMESPACE_BEGIN(PYBIND11_NAMESPACE) + +enum eval_mode { + /// Evaluate a string containing an isolated expression + eval_expr, + + /// Evaluate a string containing a single statement. Returns \c none + eval_single_statement, + + /// Evaluate a string containing a sequence of statement. Returns \c none + eval_statements +}; + +template +object eval(str expr, object global = globals(), object local = object()) { + if (!local) + local = global; + + /* PyRun_String does not accept a PyObject / encoding specifier, + this seems to be the only alternative */ + std::string buffer = "# -*- coding: utf-8 -*-\n" + (std::string) expr; + + int start; + switch (mode) { + case eval_expr: start = Py_eval_input; break; + case eval_single_statement: start = Py_single_input; break; + case eval_statements: start = Py_file_input; break; + default: pybind11_fail("invalid evaluation mode"); + } + + PyObject *result = PyRun_String(buffer.c_str(), start, global.ptr(), local.ptr()); + if (!result) + throw error_already_set(); + return reinterpret_steal(result); +} + +template +object eval(const char (&s)[N], object global = globals(), object local = object()) { + /* Support raw string literals by removing common leading whitespace */ + auto expr = (s[0] == '\n') ? str(module::import("textwrap").attr("dedent")(s)) + : str(s); + return eval(expr, global, local); +} + +inline void exec(str expr, object global = globals(), object local = object()) { + eval(expr, global, local); +} + +template +void exec(const char (&s)[N], object global = globals(), object local = object()) { + eval(s, global, local); +} + +template +object eval_file(str fname, object global = globals(), object local = object()) { + if (!local) + local = global; + + int start; + switch (mode) { + case eval_expr: start = Py_eval_input; break; + case eval_single_statement: start = Py_single_input; break; + case eval_statements: start = Py_file_input; break; + default: pybind11_fail("invalid evaluation mode"); + } + + int closeFile = 1; + std::string fname_str = (std::string) fname; +#if PY_VERSION_HEX >= 0x03040000 + FILE *f = _Py_fopen_obj(fname.ptr(), "r"); +#elif PY_VERSION_HEX >= 0x03000000 + FILE *f = _Py_fopen(fname.ptr(), "r"); +#else + /* No unicode support in open() :( */ + auto fobj = reinterpret_steal(PyFile_FromString( + const_cast(fname_str.c_str()), + const_cast("r"))); + FILE *f = nullptr; + if (fobj) + f = PyFile_AsFile(fobj.ptr()); + closeFile = 0; +#endif + if (!f) { + PyErr_Clear(); + pybind11_fail("File \"" + fname_str + "\" could not be opened!"); + } + +#if PY_VERSION_HEX < 0x03000000 && defined(PYPY_VERSION) + PyObject *result = PyRun_File(f, fname_str.c_str(), start, global.ptr(), + local.ptr()); + (void) closeFile; +#else + PyObject *result = PyRun_FileEx(f, fname_str.c_str(), start, global.ptr(), + local.ptr(), closeFile); +#endif + + if (!result) + throw error_already_set(); + return reinterpret_steal(result); +} + +NAMESPACE_END(PYBIND11_NAMESPACE) diff --git a/external/pybind11/include/pybind11/functional.h b/external/pybind11/include/pybind11/functional.h new file mode 100644 index 0000000..f8bda64 --- /dev/null +++ b/external/pybind11/include/pybind11/functional.h @@ -0,0 +1,101 @@ +/* + pybind11/functional.h: std::function<> support + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#pragma once + +#include "pybind11.h" +#include + +NAMESPACE_BEGIN(PYBIND11_NAMESPACE) +NAMESPACE_BEGIN(detail) + +template +struct type_caster> { + using type = std::function; + using retval_type = conditional_t::value, void_type, Return>; + using function_type = Return (*) (Args...); + +public: + bool load(handle src, bool convert) { + if (src.is_none()) { + // Defer accepting None to other overloads (if we aren't in convert mode): + if (!convert) return false; + return true; + } + + if (!isinstance(src)) + return false; + + auto func = reinterpret_borrow(src); + + /* + When passing a C++ function as an argument to another C++ + function via Python, every function call would normally involve + a full C++ -> Python -> C++ roundtrip, which can be prohibitive. + Here, we try to at least detect the case where the function is + stateless (i.e. function pointer or lambda function without + captured variables), in which case the roundtrip can be avoided. + */ + if (auto cfunc = func.cpp_function()) { + auto c = reinterpret_borrow(PyCFunction_GET_SELF(cfunc.ptr())); + auto rec = (function_record *) c; + + if (rec && rec->is_stateless && + same_type(typeid(function_type), *reinterpret_cast(rec->data[1]))) { + struct capture { function_type f; }; + value = ((capture *) &rec->data)->f; + return true; + } + } + + // ensure GIL is held during functor destruction + struct func_handle { + function f; + func_handle(function&& f_) : f(std::move(f_)) {} + func_handle(const func_handle&) = default; + ~func_handle() { + gil_scoped_acquire acq; + function kill_f(std::move(f)); + } + }; + + // to emulate 'move initialization capture' in C++11 + struct func_wrapper { + func_handle hfunc; + func_wrapper(func_handle&& hf): hfunc(std::move(hf)) {} + Return operator()(Args... args) const { + gil_scoped_acquire acq; + object retval(hfunc.f(std::forward(args)...)); + /* Visual studio 2015 parser issue: need parentheses around this expression */ + return (retval.template cast()); + } + }; + + value = func_wrapper(func_handle(std::move(func))); + return true; + } + + template + static handle cast(Func &&f_, return_value_policy policy, handle /* parent */) { + if (!f_) + return none().inc_ref(); + + auto result = f_.template target(); + if (result) + return cpp_function(*result, policy).release(); + else + return cpp_function(std::forward(f_), policy).release(); + } + + PYBIND11_TYPE_CASTER(type, _("Callable[[") + concat(make_caster::name...) + _("], ") + + make_caster::name + _("]")); +}; + +NAMESPACE_END(detail) +NAMESPACE_END(PYBIND11_NAMESPACE) diff --git a/external/pybind11/include/pybind11/iostream.h b/external/pybind11/include/pybind11/iostream.h new file mode 100644 index 0000000..c43b7c9 --- /dev/null +++ b/external/pybind11/include/pybind11/iostream.h @@ -0,0 +1,209 @@ +/* + pybind11/iostream.h -- Tools to assist with redirecting cout and cerr to Python + + Copyright (c) 2017 Henry F. Schreiner + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#pragma once + +#include "pybind11.h" + +#include +#include +#include +#include +#include + +NAMESPACE_BEGIN(PYBIND11_NAMESPACE) +NAMESPACE_BEGIN(detail) + +// Buffer that writes to Python instead of C++ +class pythonbuf : public std::streambuf { +private: + using traits_type = std::streambuf::traits_type; + + const size_t buf_size; + std::unique_ptr d_buffer; + object pywrite; + object pyflush; + + int overflow(int c) { + if (!traits_type::eq_int_type(c, traits_type::eof())) { + *pptr() = traits_type::to_char_type(c); + pbump(1); + } + return sync() == 0 ? traits_type::not_eof(c) : traits_type::eof(); + } + + int sync() { + if (pbase() != pptr()) { + // This subtraction cannot be negative, so dropping the sign + str line(pbase(), static_cast(pptr() - pbase())); + + { + gil_scoped_acquire tmp; + pywrite(line); + pyflush(); + } + + setp(pbase(), epptr()); + } + return 0; + } + +public: + + pythonbuf(object pyostream, size_t buffer_size = 1024) + : buf_size(buffer_size), + d_buffer(new char[buf_size]), + pywrite(pyostream.attr("write")), + pyflush(pyostream.attr("flush")) { + setp(d_buffer.get(), d_buffer.get() + buf_size - 1); + } + + pythonbuf(pythonbuf&&) = default; + + /// Sync before destroy + ~pythonbuf() { + sync(); + } +}; + +NAMESPACE_END(detail) + + +/** \rst + This a move-only guard that redirects output. + + .. code-block:: cpp + + #include + + ... + + { + py::scoped_ostream_redirect output; + std::cout << "Hello, World!"; // Python stdout + } // <-- return std::cout to normal + + You can explicitly pass the c++ stream and the python object, + for example to guard stderr instead. + + .. code-block:: cpp + + { + py::scoped_ostream_redirect output{std::cerr, py::module::import("sys").attr("stderr")}; + std::cerr << "Hello, World!"; + } + \endrst */ +class scoped_ostream_redirect { +protected: + std::streambuf *old; + std::ostream &costream; + detail::pythonbuf buffer; + +public: + scoped_ostream_redirect( + std::ostream &costream = std::cout, + object pyostream = module::import("sys").attr("stdout")) + : costream(costream), buffer(pyostream) { + old = costream.rdbuf(&buffer); + } + + ~scoped_ostream_redirect() { + costream.rdbuf(old); + } + + scoped_ostream_redirect(const scoped_ostream_redirect &) = delete; + scoped_ostream_redirect(scoped_ostream_redirect &&other) = default; + scoped_ostream_redirect &operator=(const scoped_ostream_redirect &) = delete; + scoped_ostream_redirect &operator=(scoped_ostream_redirect &&) = delete; +}; + + +/** \rst + Like `scoped_ostream_redirect`, but redirects cerr by default. This class + is provided primary to make ``py::call_guard`` easier to make. + + .. code-block:: cpp + + m.def("noisy_func", &noisy_func, + py::call_guard()); + +\endrst */ +class scoped_estream_redirect : public scoped_ostream_redirect { +public: + scoped_estream_redirect( + std::ostream &costream = std::cerr, + object pyostream = module::import("sys").attr("stderr")) + : scoped_ostream_redirect(costream,pyostream) {} +}; + + +NAMESPACE_BEGIN(detail) + +// Class to redirect output as a context manager. C++ backend. +class OstreamRedirect { + bool do_stdout_; + bool do_stderr_; + std::unique_ptr redirect_stdout; + std::unique_ptr redirect_stderr; + +public: + OstreamRedirect(bool do_stdout = true, bool do_stderr = true) + : do_stdout_(do_stdout), do_stderr_(do_stderr) {} + + void enter() { + if (do_stdout_) + redirect_stdout.reset(new scoped_ostream_redirect()); + if (do_stderr_) + redirect_stderr.reset(new scoped_estream_redirect()); + } + + void exit() { + redirect_stdout.reset(); + redirect_stderr.reset(); + } +}; + +NAMESPACE_END(detail) + +/** \rst + This is a helper function to add a C++ redirect context manager to Python + instead of using a C++ guard. To use it, add the following to your binding code: + + .. code-block:: cpp + + #include + + ... + + py::add_ostream_redirect(m, "ostream_redirect"); + + You now have a Python context manager that redirects your output: + + .. code-block:: python + + with m.ostream_redirect(): + m.print_to_cout_function() + + This manager can optionally be told which streams to operate on: + + .. code-block:: python + + with m.ostream_redirect(stdout=true, stderr=true): + m.noisy_function_with_error_printing() + + \endrst */ +inline class_ add_ostream_redirect(module m, std::string name = "ostream_redirect") { + return class_(m, name.c_str(), module_local()) + .def(init(), arg("stdout")=true, arg("stderr")=true) + .def("__enter__", &detail::OstreamRedirect::enter) + .def("__exit__", [](detail::OstreamRedirect &self_, args) { self_.exit(); }); +} + +NAMESPACE_END(PYBIND11_NAMESPACE) diff --git a/external/pybind11/include/pybind11/numpy.h b/external/pybind11/include/pybind11/numpy.h new file mode 100644 index 0000000..ba41a22 --- /dev/null +++ b/external/pybind11/include/pybind11/numpy.h @@ -0,0 +1,1642 @@ +/* + pybind11/numpy.h: Basic NumPy support, vectorize() wrapper + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#pragma once + +#include "pybind11.h" +#include "complex.h" +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#if defined(_MSC_VER) +# pragma warning(push) +# pragma warning(disable: 4127) // warning C4127: Conditional expression is constant +#endif + +/* This will be true on all flat address space platforms and allows us to reduce the + whole npy_intp / ssize_t / Py_intptr_t business down to just ssize_t for all size + and dimension types (e.g. shape, strides, indexing), instead of inflicting this + upon the library user. */ +static_assert(sizeof(ssize_t) == sizeof(Py_intptr_t), "ssize_t != Py_intptr_t"); + +NAMESPACE_BEGIN(PYBIND11_NAMESPACE) + +class array; // Forward declaration + +NAMESPACE_BEGIN(detail) +template struct npy_format_descriptor; + +struct PyArrayDescr_Proxy { + PyObject_HEAD + PyObject *typeobj; + char kind; + char type; + char byteorder; + char flags; + int type_num; + int elsize; + int alignment; + char *subarray; + PyObject *fields; + PyObject *names; +}; + +struct PyArray_Proxy { + PyObject_HEAD + char *data; + int nd; + ssize_t *dimensions; + ssize_t *strides; + PyObject *base; + PyObject *descr; + int flags; +}; + +struct PyVoidScalarObject_Proxy { + PyObject_VAR_HEAD + char *obval; + PyArrayDescr_Proxy *descr; + int flags; + PyObject *base; +}; + +struct numpy_type_info { + PyObject* dtype_ptr; + std::string format_str; +}; + +struct numpy_internals { + std::unordered_map registered_dtypes; + + numpy_type_info *get_type_info(const std::type_info& tinfo, bool throw_if_missing = true) { + auto it = registered_dtypes.find(std::type_index(tinfo)); + if (it != registered_dtypes.end()) + return &(it->second); + if (throw_if_missing) + pybind11_fail(std::string("NumPy type info missing for ") + tinfo.name()); + return nullptr; + } + + template numpy_type_info *get_type_info(bool throw_if_missing = true) { + return get_type_info(typeid(typename std::remove_cv::type), throw_if_missing); + } +}; + +inline PYBIND11_NOINLINE void load_numpy_internals(numpy_internals* &ptr) { + ptr = &get_or_create_shared_data("_numpy_internals"); +} + +inline numpy_internals& get_numpy_internals() { + static numpy_internals* ptr = nullptr; + if (!ptr) + load_numpy_internals(ptr); + return *ptr; +} + +template struct same_size { + template using as = bool_constant; +}; + +template constexpr int platform_lookup() { return -1; } + +// Lookup a type according to its size, and return a value corresponding to the NumPy typenum. +template +constexpr int platform_lookup(int I, Ints... Is) { + return sizeof(Concrete) == sizeof(T) ? I : platform_lookup(Is...); +} + +struct npy_api { + enum constants { + NPY_ARRAY_C_CONTIGUOUS_ = 0x0001, + NPY_ARRAY_F_CONTIGUOUS_ = 0x0002, + NPY_ARRAY_OWNDATA_ = 0x0004, + NPY_ARRAY_FORCECAST_ = 0x0010, + NPY_ARRAY_ENSUREARRAY_ = 0x0040, + NPY_ARRAY_ALIGNED_ = 0x0100, + NPY_ARRAY_WRITEABLE_ = 0x0400, + NPY_BOOL_ = 0, + NPY_BYTE_, NPY_UBYTE_, + NPY_SHORT_, NPY_USHORT_, + NPY_INT_, NPY_UINT_, + NPY_LONG_, NPY_ULONG_, + NPY_LONGLONG_, NPY_ULONGLONG_, + NPY_FLOAT_, NPY_DOUBLE_, NPY_LONGDOUBLE_, + NPY_CFLOAT_, NPY_CDOUBLE_, NPY_CLONGDOUBLE_, + NPY_OBJECT_ = 17, + NPY_STRING_, NPY_UNICODE_, NPY_VOID_, + // Platform-dependent normalization + NPY_INT8_ = NPY_BYTE_, + NPY_UINT8_ = NPY_UBYTE_, + NPY_INT16_ = NPY_SHORT_, + NPY_UINT16_ = NPY_USHORT_, + // `npy_common.h` defines the integer aliases. In order, it checks: + // NPY_BITSOF_LONG, NPY_BITSOF_LONGLONG, NPY_BITSOF_INT, NPY_BITSOF_SHORT, NPY_BITSOF_CHAR + // and assigns the alias to the first matching size, so we should check in this order. + NPY_INT32_ = platform_lookup( + NPY_LONG_, NPY_INT_, NPY_SHORT_), + NPY_UINT32_ = platform_lookup( + NPY_ULONG_, NPY_UINT_, NPY_USHORT_), + NPY_INT64_ = platform_lookup( + NPY_LONG_, NPY_LONGLONG_, NPY_INT_), + NPY_UINT64_ = platform_lookup( + NPY_ULONG_, NPY_ULONGLONG_, NPY_UINT_), + }; + + typedef struct { + Py_intptr_t *ptr; + int len; + } PyArray_Dims; + + static npy_api& get() { + static npy_api api = lookup(); + return api; + } + + bool PyArray_Check_(PyObject *obj) const { + return (bool) PyObject_TypeCheck(obj, PyArray_Type_); + } + bool PyArrayDescr_Check_(PyObject *obj) const { + return (bool) PyObject_TypeCheck(obj, PyArrayDescr_Type_); + } + + unsigned int (*PyArray_GetNDArrayCFeatureVersion_)(); + PyObject *(*PyArray_DescrFromType_)(int); + PyObject *(*PyArray_NewFromDescr_) + (PyTypeObject *, PyObject *, int, Py_intptr_t *, + Py_intptr_t *, void *, int, PyObject *); + PyObject *(*PyArray_DescrNewFromType_)(int); + int (*PyArray_CopyInto_)(PyObject *, PyObject *); + PyObject *(*PyArray_NewCopy_)(PyObject *, int); + PyTypeObject *PyArray_Type_; + PyTypeObject *PyVoidArrType_Type_; + PyTypeObject *PyArrayDescr_Type_; + PyObject *(*PyArray_DescrFromScalar_)(PyObject *); + PyObject *(*PyArray_FromAny_) (PyObject *, PyObject *, int, int, int, PyObject *); + int (*PyArray_DescrConverter_) (PyObject *, PyObject **); + bool (*PyArray_EquivTypes_) (PyObject *, PyObject *); + int (*PyArray_GetArrayParamsFromObject_)(PyObject *, PyObject *, char, PyObject **, int *, + Py_ssize_t *, PyObject **, PyObject *); + PyObject *(*PyArray_Squeeze_)(PyObject *); + int (*PyArray_SetBaseObject_)(PyObject *, PyObject *); + PyObject* (*PyArray_Resize_)(PyObject*, PyArray_Dims*, int, int); +private: + enum functions { + API_PyArray_GetNDArrayCFeatureVersion = 211, + API_PyArray_Type = 2, + API_PyArrayDescr_Type = 3, + API_PyVoidArrType_Type = 39, + API_PyArray_DescrFromType = 45, + API_PyArray_DescrFromScalar = 57, + API_PyArray_FromAny = 69, + API_PyArray_Resize = 80, + API_PyArray_CopyInto = 82, + API_PyArray_NewCopy = 85, + API_PyArray_NewFromDescr = 94, + API_PyArray_DescrNewFromType = 9, + API_PyArray_DescrConverter = 174, + API_PyArray_EquivTypes = 182, + API_PyArray_GetArrayParamsFromObject = 278, + API_PyArray_Squeeze = 136, + API_PyArray_SetBaseObject = 282 + }; + + static npy_api lookup() { + module m = module::import("numpy.core.multiarray"); + auto c = m.attr("_ARRAY_API"); +#if PY_MAJOR_VERSION >= 3 + void **api_ptr = (void **) PyCapsule_GetPointer(c.ptr(), NULL); +#else + void **api_ptr = (void **) PyCObject_AsVoidPtr(c.ptr()); +#endif + npy_api api; +#define DECL_NPY_API(Func) api.Func##_ = (decltype(api.Func##_)) api_ptr[API_##Func]; + DECL_NPY_API(PyArray_GetNDArrayCFeatureVersion); + if (api.PyArray_GetNDArrayCFeatureVersion_() < 0x7) + pybind11_fail("pybind11 numpy support requires numpy >= 1.7.0"); + DECL_NPY_API(PyArray_Type); + DECL_NPY_API(PyVoidArrType_Type); + DECL_NPY_API(PyArrayDescr_Type); + DECL_NPY_API(PyArray_DescrFromType); + DECL_NPY_API(PyArray_DescrFromScalar); + DECL_NPY_API(PyArray_FromAny); + DECL_NPY_API(PyArray_Resize); + DECL_NPY_API(PyArray_CopyInto); + DECL_NPY_API(PyArray_NewCopy); + DECL_NPY_API(PyArray_NewFromDescr); + DECL_NPY_API(PyArray_DescrNewFromType); + DECL_NPY_API(PyArray_DescrConverter); + DECL_NPY_API(PyArray_EquivTypes); + DECL_NPY_API(PyArray_GetArrayParamsFromObject); + DECL_NPY_API(PyArray_Squeeze); + DECL_NPY_API(PyArray_SetBaseObject); +#undef DECL_NPY_API + return api; + } +}; + +inline PyArray_Proxy* array_proxy(void* ptr) { + return reinterpret_cast(ptr); +} + +inline const PyArray_Proxy* array_proxy(const void* ptr) { + return reinterpret_cast(ptr); +} + +inline PyArrayDescr_Proxy* array_descriptor_proxy(PyObject* ptr) { + return reinterpret_cast(ptr); +} + +inline const PyArrayDescr_Proxy* array_descriptor_proxy(const PyObject* ptr) { + return reinterpret_cast(ptr); +} + +inline bool check_flags(const void* ptr, int flag) { + return (flag == (array_proxy(ptr)->flags & flag)); +} + +template struct is_std_array : std::false_type { }; +template struct is_std_array> : std::true_type { }; +template struct is_complex : std::false_type { }; +template struct is_complex> : std::true_type { }; + +template struct array_info_scalar { + typedef T type; + static constexpr bool is_array = false; + static constexpr bool is_empty = false; + static constexpr auto extents = _(""); + static void append_extents(list& /* shape */) { } +}; +// Computes underlying type and a comma-separated list of extents for array +// types (any mix of std::array and built-in arrays). An array of char is +// treated as scalar because it gets special handling. +template struct array_info : array_info_scalar { }; +template struct array_info> { + using type = typename array_info::type; + static constexpr bool is_array = true; + static constexpr bool is_empty = (N == 0) || array_info::is_empty; + static constexpr size_t extent = N; + + // appends the extents to shape + static void append_extents(list& shape) { + shape.append(N); + array_info::append_extents(shape); + } + + static constexpr auto extents = _::is_array>( + concat(_(), array_info::extents), _() + ); +}; +// For numpy we have special handling for arrays of characters, so we don't include +// the size in the array extents. +template struct array_info : array_info_scalar { }; +template struct array_info> : array_info_scalar> { }; +template struct array_info : array_info> { }; +template using remove_all_extents_t = typename array_info::type; + +template using is_pod_struct = all_of< + std::is_standard_layout, // since we're accessing directly in memory we need a standard layout type +#if !defined(__GNUG__) || defined(_LIBCPP_VERSION) || defined(_GLIBCXX_USE_CXX11_ABI) + // _GLIBCXX_USE_CXX11_ABI indicates that we're using libstdc++ from GCC 5 or newer, independent + // of the actual compiler (Clang can also use libstdc++, but it always defines __GNUC__ == 4). + std::is_trivially_copyable, +#else + // GCC 4 doesn't implement is_trivially_copyable, so approximate it + std::is_trivially_destructible, + satisfies_any_of, +#endif + satisfies_none_of +>; + +template ssize_t byte_offset_unsafe(const Strides &) { return 0; } +template +ssize_t byte_offset_unsafe(const Strides &strides, ssize_t i, Ix... index) { + return i * strides[Dim] + byte_offset_unsafe(strides, index...); +} + +/** + * Proxy class providing unsafe, unchecked const access to array data. This is constructed through + * the `unchecked()` method of `array` or the `unchecked()` method of `array_t`. `Dims` + * will be -1 for dimensions determined at runtime. + */ +template +class unchecked_reference { +protected: + static constexpr bool Dynamic = Dims < 0; + const unsigned char *data_; + // Storing the shape & strides in local variables (i.e. these arrays) allows the compiler to + // make large performance gains on big, nested loops, but requires compile-time dimensions + conditional_t> + shape_, strides_; + const ssize_t dims_; + + friend class pybind11::array; + // Constructor for compile-time dimensions: + template + unchecked_reference(const void *data, const ssize_t *shape, const ssize_t *strides, enable_if_t) + : data_{reinterpret_cast(data)}, dims_{Dims} { + for (size_t i = 0; i < (size_t) dims_; i++) { + shape_[i] = shape[i]; + strides_[i] = strides[i]; + } + } + // Constructor for runtime dimensions: + template + unchecked_reference(const void *data, const ssize_t *shape, const ssize_t *strides, enable_if_t dims) + : data_{reinterpret_cast(data)}, shape_{shape}, strides_{strides}, dims_{dims} {} + +public: + /** + * Unchecked const reference access to data at the given indices. For a compile-time known + * number of dimensions, this requires the correct number of arguments; for run-time + * dimensionality, this is not checked (and so is up to the caller to use safely). + */ + template const T &operator()(Ix... index) const { + static_assert(ssize_t{sizeof...(Ix)} == Dims || Dynamic, + "Invalid number of indices for unchecked array reference"); + return *reinterpret_cast(data_ + byte_offset_unsafe(strides_, ssize_t(index)...)); + } + /** + * Unchecked const reference access to data; this operator only participates if the reference + * is to a 1-dimensional array. When present, this is exactly equivalent to `obj(index)`. + */ + template > + const T &operator[](ssize_t index) const { return operator()(index); } + + /// Pointer access to the data at the given indices. + template const T *data(Ix... ix) const { return &operator()(ssize_t(ix)...); } + + /// Returns the item size, i.e. sizeof(T) + constexpr static ssize_t itemsize() { return sizeof(T); } + + /// Returns the shape (i.e. size) of dimension `dim` + ssize_t shape(ssize_t dim) const { return shape_[(size_t) dim]; } + + /// Returns the number of dimensions of the array + ssize_t ndim() const { return dims_; } + + /// Returns the total number of elements in the referenced array, i.e. the product of the shapes + template + enable_if_t size() const { + return std::accumulate(shape_.begin(), shape_.end(), (ssize_t) 1, std::multiplies()); + } + template + enable_if_t size() const { + return std::accumulate(shape_, shape_ + ndim(), (ssize_t) 1, std::multiplies()); + } + + /// Returns the total number of bytes used by the referenced data. Note that the actual span in + /// memory may be larger if the referenced array has non-contiguous strides (e.g. for a slice). + ssize_t nbytes() const { + return size() * itemsize(); + } +}; + +template +class unchecked_mutable_reference : public unchecked_reference { + friend class pybind11::array; + using ConstBase = unchecked_reference; + using ConstBase::ConstBase; + using ConstBase::Dynamic; +public: + /// Mutable, unchecked access to data at the given indices. + template T& operator()(Ix... index) { + static_assert(ssize_t{sizeof...(Ix)} == Dims || Dynamic, + "Invalid number of indices for unchecked array reference"); + return const_cast(ConstBase::operator()(index...)); + } + /** + * Mutable, unchecked access data at the given index; this operator only participates if the + * reference is to a 1-dimensional array (or has runtime dimensions). When present, this is + * exactly equivalent to `obj(index)`. + */ + template > + T &operator[](ssize_t index) { return operator()(index); } + + /// Mutable pointer access to the data at the given indices. + template T *mutable_data(Ix... ix) { return &operator()(ssize_t(ix)...); } +}; + +template +struct type_caster> { + static_assert(Dim == 0 && Dim > 0 /* always fail */, "unchecked array proxy object is not castable"); +}; +template +struct type_caster> : type_caster> {}; + +NAMESPACE_END(detail) + +class dtype : public object { +public: + PYBIND11_OBJECT_DEFAULT(dtype, object, detail::npy_api::get().PyArrayDescr_Check_); + + explicit dtype(const buffer_info &info) { + dtype descr(_dtype_from_pep3118()(PYBIND11_STR_TYPE(info.format))); + // If info.itemsize == 0, use the value calculated from the format string + m_ptr = descr.strip_padding(info.itemsize ? info.itemsize : descr.itemsize()).release().ptr(); + } + + explicit dtype(const std::string &format) { + m_ptr = from_args(pybind11::str(format)).release().ptr(); + } + + dtype(const char *format) : dtype(std::string(format)) { } + + dtype(list names, list formats, list offsets, ssize_t itemsize) { + dict args; + args["names"] = names; + args["formats"] = formats; + args["offsets"] = offsets; + args["itemsize"] = pybind11::int_(itemsize); + m_ptr = from_args(args).release().ptr(); + } + + /// This is essentially the same as calling numpy.dtype(args) in Python. + static dtype from_args(object args) { + PyObject *ptr = nullptr; + if (!detail::npy_api::get().PyArray_DescrConverter_(args.ptr(), &ptr) || !ptr) + throw error_already_set(); + return reinterpret_steal(ptr); + } + + /// Return dtype associated with a C++ type. + template static dtype of() { + return detail::npy_format_descriptor::type>::dtype(); + } + + /// Size of the data type in bytes. + ssize_t itemsize() const { + return detail::array_descriptor_proxy(m_ptr)->elsize; + } + + /// Returns true for structured data types. + bool has_fields() const { + return detail::array_descriptor_proxy(m_ptr)->names != nullptr; + } + + /// Single-character type code. + char kind() const { + return detail::array_descriptor_proxy(m_ptr)->kind; + } + +private: + static object _dtype_from_pep3118() { + static PyObject *obj = module::import("numpy.core._internal") + .attr("_dtype_from_pep3118").cast().release().ptr(); + return reinterpret_borrow(obj); + } + + dtype strip_padding(ssize_t itemsize) { + // Recursively strip all void fields with empty names that are generated for + // padding fields (as of NumPy v1.11). + if (!has_fields()) + return *this; + + struct field_descr { PYBIND11_STR_TYPE name; object format; pybind11::int_ offset; }; + std::vector field_descriptors; + + for (auto field : attr("fields").attr("items")()) { + auto spec = field.cast(); + auto name = spec[0].cast(); + auto format = spec[1].cast()[0].cast(); + auto offset = spec[1].cast()[1].cast(); + if (!len(name) && format.kind() == 'V') + continue; + field_descriptors.push_back({(PYBIND11_STR_TYPE) name, format.strip_padding(format.itemsize()), offset}); + } + + std::sort(field_descriptors.begin(), field_descriptors.end(), + [](const field_descr& a, const field_descr& b) { + return a.offset.cast() < b.offset.cast(); + }); + + list names, formats, offsets; + for (auto& descr : field_descriptors) { + names.append(descr.name); + formats.append(descr.format); + offsets.append(descr.offset); + } + return dtype(names, formats, offsets, itemsize); + } +}; + +class array : public buffer { +public: + PYBIND11_OBJECT_CVT(array, buffer, detail::npy_api::get().PyArray_Check_, raw_array) + + enum { + c_style = detail::npy_api::NPY_ARRAY_C_CONTIGUOUS_, + f_style = detail::npy_api::NPY_ARRAY_F_CONTIGUOUS_, + forcecast = detail::npy_api::NPY_ARRAY_FORCECAST_ + }; + + array() : array({{0}}, static_cast(nullptr)) {} + + using ShapeContainer = detail::any_container; + using StridesContainer = detail::any_container; + + // Constructs an array taking shape/strides from arbitrary container types + array(const pybind11::dtype &dt, ShapeContainer shape, StridesContainer strides, + const void *ptr = nullptr, handle base = handle()) { + + if (strides->empty()) + *strides = c_strides(*shape, dt.itemsize()); + + auto ndim = shape->size(); + if (ndim != strides->size()) + pybind11_fail("NumPy: shape ndim doesn't match strides ndim"); + auto descr = dt; + + int flags = 0; + if (base && ptr) { + if (isinstance(base)) + /* Copy flags from base (except ownership bit) */ + flags = reinterpret_borrow(base).flags() & ~detail::npy_api::NPY_ARRAY_OWNDATA_; + else + /* Writable by default, easy to downgrade later on if needed */ + flags = detail::npy_api::NPY_ARRAY_WRITEABLE_; + } + + auto &api = detail::npy_api::get(); + auto tmp = reinterpret_steal(api.PyArray_NewFromDescr_( + api.PyArray_Type_, descr.release().ptr(), (int) ndim, shape->data(), strides->data(), + const_cast(ptr), flags, nullptr)); + if (!tmp) + throw error_already_set(); + if (ptr) { + if (base) { + api.PyArray_SetBaseObject_(tmp.ptr(), base.inc_ref().ptr()); + } else { + tmp = reinterpret_steal(api.PyArray_NewCopy_(tmp.ptr(), -1 /* any order */)); + } + } + m_ptr = tmp.release().ptr(); + } + + array(const pybind11::dtype &dt, ShapeContainer shape, const void *ptr = nullptr, handle base = handle()) + : array(dt, std::move(shape), {}, ptr, base) { } + + template ::value && !std::is_same::value>> + array(const pybind11::dtype &dt, T count, const void *ptr = nullptr, handle base = handle()) + : array(dt, {{count}}, ptr, base) { } + + template + array(ShapeContainer shape, StridesContainer strides, const T *ptr, handle base = handle()) + : array(pybind11::dtype::of(), std::move(shape), std::move(strides), ptr, base) { } + + template + array(ShapeContainer shape, const T *ptr, handle base = handle()) + : array(std::move(shape), {}, ptr, base) { } + + template + explicit array(ssize_t count, const T *ptr, handle base = handle()) : array({count}, {}, ptr, base) { } + + explicit array(const buffer_info &info) + : array(pybind11::dtype(info), info.shape, info.strides, info.ptr) { } + + /// Array descriptor (dtype) + pybind11::dtype dtype() const { + return reinterpret_borrow(detail::array_proxy(m_ptr)->descr); + } + + /// Total number of elements + ssize_t size() const { + return std::accumulate(shape(), shape() + ndim(), (ssize_t) 1, std::multiplies()); + } + + /// Byte size of a single element + ssize_t itemsize() const { + return detail::array_descriptor_proxy(detail::array_proxy(m_ptr)->descr)->elsize; + } + + /// Total number of bytes + ssize_t nbytes() const { + return size() * itemsize(); + } + + /// Number of dimensions + ssize_t ndim() const { + return detail::array_proxy(m_ptr)->nd; + } + + /// Base object + object base() const { + return reinterpret_borrow(detail::array_proxy(m_ptr)->base); + } + + /// Dimensions of the array + const ssize_t* shape() const { + return detail::array_proxy(m_ptr)->dimensions; + } + + /// Dimension along a given axis + ssize_t shape(ssize_t dim) const { + if (dim >= ndim()) + fail_dim_check(dim, "invalid axis"); + return shape()[dim]; + } + + /// Strides of the array + const ssize_t* strides() const { + return detail::array_proxy(m_ptr)->strides; + } + + /// Stride along a given axis + ssize_t strides(ssize_t dim) const { + if (dim >= ndim()) + fail_dim_check(dim, "invalid axis"); + return strides()[dim]; + } + + /// Return the NumPy array flags + int flags() const { + return detail::array_proxy(m_ptr)->flags; + } + + /// If set, the array is writeable (otherwise the buffer is read-only) + bool writeable() const { + return detail::check_flags(m_ptr, detail::npy_api::NPY_ARRAY_WRITEABLE_); + } + + /// If set, the array owns the data (will be freed when the array is deleted) + bool owndata() const { + return detail::check_flags(m_ptr, detail::npy_api::NPY_ARRAY_OWNDATA_); + } + + /// Pointer to the contained data. If index is not provided, points to the + /// beginning of the buffer. May throw if the index would lead to out of bounds access. + template const void* data(Ix... index) const { + return static_cast(detail::array_proxy(m_ptr)->data + offset_at(index...)); + } + + /// Mutable pointer to the contained data. If index is not provided, points to the + /// beginning of the buffer. May throw if the index would lead to out of bounds access. + /// May throw if the array is not writeable. + template void* mutable_data(Ix... index) { + check_writeable(); + return static_cast(detail::array_proxy(m_ptr)->data + offset_at(index...)); + } + + /// Byte offset from beginning of the array to a given index (full or partial). + /// May throw if the index would lead to out of bounds access. + template ssize_t offset_at(Ix... index) const { + if ((ssize_t) sizeof...(index) > ndim()) + fail_dim_check(sizeof...(index), "too many indices for an array"); + return byte_offset(ssize_t(index)...); + } + + ssize_t offset_at() const { return 0; } + + /// Item count from beginning of the array to a given index (full or partial). + /// May throw if the index would lead to out of bounds access. + template ssize_t index_at(Ix... index) const { + return offset_at(index...) / itemsize(); + } + + /** + * Returns a proxy object that provides access to the array's data without bounds or + * dimensionality checking. Will throw if the array is missing the `writeable` flag. Use with + * care: the array must not be destroyed or reshaped for the duration of the returned object, + * and the caller must take care not to access invalid dimensions or dimension indices. + */ + template detail::unchecked_mutable_reference mutable_unchecked() & { + if (Dims >= 0 && ndim() != Dims) + throw std::domain_error("array has incorrect number of dimensions: " + std::to_string(ndim()) + + "; expected " + std::to_string(Dims)); + return detail::unchecked_mutable_reference(mutable_data(), shape(), strides(), ndim()); + } + + /** + * Returns a proxy object that provides const access to the array's data without bounds or + * dimensionality checking. Unlike `mutable_unchecked()`, this does not require that the + * underlying array have the `writable` flag. Use with care: the array must not be destroyed or + * reshaped for the duration of the returned object, and the caller must take care not to access + * invalid dimensions or dimension indices. + */ + template detail::unchecked_reference unchecked() const & { + if (Dims >= 0 && ndim() != Dims) + throw std::domain_error("array has incorrect number of dimensions: " + std::to_string(ndim()) + + "; expected " + std::to_string(Dims)); + return detail::unchecked_reference(data(), shape(), strides(), ndim()); + } + + /// Return a new view with all of the dimensions of length 1 removed + array squeeze() { + auto& api = detail::npy_api::get(); + return reinterpret_steal(api.PyArray_Squeeze_(m_ptr)); + } + + /// Resize array to given shape + /// If refcheck is true and more that one reference exist to this array + /// then resize will succeed only if it makes a reshape, i.e. original size doesn't change + void resize(ShapeContainer new_shape, bool refcheck = true) { + detail::npy_api::PyArray_Dims d = { + new_shape->data(), int(new_shape->size()) + }; + // try to resize, set ordering param to -1 cause it's not used anyway + object new_array = reinterpret_steal( + detail::npy_api::get().PyArray_Resize_(m_ptr, &d, int(refcheck), -1) + ); + if (!new_array) throw error_already_set(); + if (isinstance(new_array)) { *this = std::move(new_array); } + } + + /// Ensure that the argument is a NumPy array + /// In case of an error, nullptr is returned and the Python error is cleared. + static array ensure(handle h, int ExtraFlags = 0) { + auto result = reinterpret_steal(raw_array(h.ptr(), ExtraFlags)); + if (!result) + PyErr_Clear(); + return result; + } + +protected: + template friend struct detail::npy_format_descriptor; + + void fail_dim_check(ssize_t dim, const std::string& msg) const { + throw index_error(msg + ": " + std::to_string(dim) + + " (ndim = " + std::to_string(ndim()) + ")"); + } + + template ssize_t byte_offset(Ix... index) const { + check_dimensions(index...); + return detail::byte_offset_unsafe(strides(), ssize_t(index)...); + } + + void check_writeable() const { + if (!writeable()) + throw std::domain_error("array is not writeable"); + } + + // Default, C-style strides + static std::vector c_strides(const std::vector &shape, ssize_t itemsize) { + auto ndim = shape.size(); + std::vector strides(ndim, itemsize); + if (ndim > 0) + for (size_t i = ndim - 1; i > 0; --i) + strides[i - 1] = strides[i] * shape[i]; + return strides; + } + + // F-style strides; default when constructing an array_t with `ExtraFlags & f_style` + static std::vector f_strides(const std::vector &shape, ssize_t itemsize) { + auto ndim = shape.size(); + std::vector strides(ndim, itemsize); + for (size_t i = 1; i < ndim; ++i) + strides[i] = strides[i - 1] * shape[i - 1]; + return strides; + } + + template void check_dimensions(Ix... index) const { + check_dimensions_impl(ssize_t(0), shape(), ssize_t(index)...); + } + + void check_dimensions_impl(ssize_t, const ssize_t*) const { } + + template void check_dimensions_impl(ssize_t axis, const ssize_t* shape, ssize_t i, Ix... index) const { + if (i >= *shape) { + throw index_error(std::string("index ") + std::to_string(i) + + " is out of bounds for axis " + std::to_string(axis) + + " with size " + std::to_string(*shape)); + } + check_dimensions_impl(axis + 1, shape + 1, index...); + } + + /// Create array from any object -- always returns a new reference + static PyObject *raw_array(PyObject *ptr, int ExtraFlags = 0) { + if (ptr == nullptr) { + PyErr_SetString(PyExc_ValueError, "cannot create a pybind11::array from a nullptr"); + return nullptr; + } + return detail::npy_api::get().PyArray_FromAny_( + ptr, nullptr, 0, 0, detail::npy_api::NPY_ARRAY_ENSUREARRAY_ | ExtraFlags, nullptr); + } +}; + +template class array_t : public array { +private: + struct private_ctor {}; + // Delegating constructor needed when both moving and accessing in the same constructor + array_t(private_ctor, ShapeContainer &&shape, StridesContainer &&strides, const T *ptr, handle base) + : array(std::move(shape), std::move(strides), ptr, base) {} +public: + static_assert(!detail::array_info::is_array, "Array types cannot be used with array_t"); + + using value_type = T; + + array_t() : array(0, static_cast(nullptr)) {} + array_t(handle h, borrowed_t) : array(h, borrowed_t{}) { } + array_t(handle h, stolen_t) : array(h, stolen_t{}) { } + + PYBIND11_DEPRECATED("Use array_t::ensure() instead") + array_t(handle h, bool is_borrowed) : array(raw_array_t(h.ptr()), stolen_t{}) { + if (!m_ptr) PyErr_Clear(); + if (!is_borrowed) Py_XDECREF(h.ptr()); + } + + array_t(const object &o) : array(raw_array_t(o.ptr()), stolen_t{}) { + if (!m_ptr) throw error_already_set(); + } + + explicit array_t(const buffer_info& info) : array(info) { } + + array_t(ShapeContainer shape, StridesContainer strides, const T *ptr = nullptr, handle base = handle()) + : array(std::move(shape), std::move(strides), ptr, base) { } + + explicit array_t(ShapeContainer shape, const T *ptr = nullptr, handle base = handle()) + : array_t(private_ctor{}, std::move(shape), + ExtraFlags & f_style ? f_strides(*shape, itemsize()) : c_strides(*shape, itemsize()), + ptr, base) { } + + explicit array_t(size_t count, const T *ptr = nullptr, handle base = handle()) + : array({count}, {}, ptr, base) { } + + constexpr ssize_t itemsize() const { + return sizeof(T); + } + + template ssize_t index_at(Ix... index) const { + return offset_at(index...) / itemsize(); + } + + template const T* data(Ix... index) const { + return static_cast(array::data(index...)); + } + + template T* mutable_data(Ix... index) { + return static_cast(array::mutable_data(index...)); + } + + // Reference to element at a given index + template const T& at(Ix... index) const { + if ((ssize_t) sizeof...(index) != ndim()) + fail_dim_check(sizeof...(index), "index dimension mismatch"); + return *(static_cast(array::data()) + byte_offset(ssize_t(index)...) / itemsize()); + } + + // Mutable reference to element at a given index + template T& mutable_at(Ix... index) { + if ((ssize_t) sizeof...(index) != ndim()) + fail_dim_check(sizeof...(index), "index dimension mismatch"); + return *(static_cast(array::mutable_data()) + byte_offset(ssize_t(index)...) / itemsize()); + } + + /** + * Returns a proxy object that provides access to the array's data without bounds or + * dimensionality checking. Will throw if the array is missing the `writeable` flag. Use with + * care: the array must not be destroyed or reshaped for the duration of the returned object, + * and the caller must take care not to access invalid dimensions or dimension indices. + */ + template detail::unchecked_mutable_reference mutable_unchecked() & { + return array::mutable_unchecked(); + } + + /** + * Returns a proxy object that provides const access to the array's data without bounds or + * dimensionality checking. Unlike `unchecked()`, this does not require that the underlying + * array have the `writable` flag. Use with care: the array must not be destroyed or reshaped + * for the duration of the returned object, and the caller must take care not to access invalid + * dimensions or dimension indices. + */ + template detail::unchecked_reference unchecked() const & { + return array::unchecked(); + } + + /// Ensure that the argument is a NumPy array of the correct dtype (and if not, try to convert + /// it). In case of an error, nullptr is returned and the Python error is cleared. + static array_t ensure(handle h) { + auto result = reinterpret_steal(raw_array_t(h.ptr())); + if (!result) + PyErr_Clear(); + return result; + } + + static bool check_(handle h) { + const auto &api = detail::npy_api::get(); + return api.PyArray_Check_(h.ptr()) + && api.PyArray_EquivTypes_(detail::array_proxy(h.ptr())->descr, dtype::of().ptr()); + } + +protected: + /// Create array from any object -- always returns a new reference + static PyObject *raw_array_t(PyObject *ptr) { + if (ptr == nullptr) { + PyErr_SetString(PyExc_ValueError, "cannot create a pybind11::array_t from a nullptr"); + return nullptr; + } + return detail::npy_api::get().PyArray_FromAny_( + ptr, dtype::of().release().ptr(), 0, 0, + detail::npy_api::NPY_ARRAY_ENSUREARRAY_ | ExtraFlags, nullptr); + } +}; + +template +struct format_descriptor::value>> { + static std::string format() { + return detail::npy_format_descriptor::type>::format(); + } +}; + +template struct format_descriptor { + static std::string format() { return std::to_string(N) + "s"; } +}; +template struct format_descriptor> { + static std::string format() { return std::to_string(N) + "s"; } +}; + +template +struct format_descriptor::value>> { + static std::string format() { + return format_descriptor< + typename std::remove_cv::type>::type>::format(); + } +}; + +template +struct format_descriptor::is_array>> { + static std::string format() { + using namespace detail; + static constexpr auto extents = _("(") + array_info::extents + _(")"); + return extents.text + format_descriptor>::format(); + } +}; + +NAMESPACE_BEGIN(detail) +template +struct pyobject_caster> { + using type = array_t; + + bool load(handle src, bool convert) { + if (!convert && !type::check_(src)) + return false; + value = type::ensure(src); + return static_cast(value); + } + + static handle cast(const handle &src, return_value_policy /* policy */, handle /* parent */) { + return src.inc_ref(); + } + PYBIND11_TYPE_CASTER(type, handle_type_name::name); +}; + +template +struct compare_buffer_info::value>> { + static bool compare(const buffer_info& b) { + return npy_api::get().PyArray_EquivTypes_(dtype::of().ptr(), dtype(b).ptr()); + } +}; + +template +struct npy_format_descriptor_name; + +template +struct npy_format_descriptor_name::value>> { + static constexpr auto name = _::value>( + _("bool"), _::value>("int", "uint") + _() + ); +}; + +template +struct npy_format_descriptor_name::value>> { + static constexpr auto name = _::value || std::is_same::value>( + _("float") + _(), _("longdouble") + ); +}; + +template +struct npy_format_descriptor_name::value>> { + static constexpr auto name = _::value + || std::is_same::value>( + _("complex") + _(), _("longcomplex") + ); +}; + +template +struct npy_format_descriptor::value>> + : npy_format_descriptor_name { +private: + // NB: the order here must match the one in common.h + constexpr static const int values[15] = { + npy_api::NPY_BOOL_, + npy_api::NPY_BYTE_, npy_api::NPY_UBYTE_, npy_api::NPY_INT16_, npy_api::NPY_UINT16_, + npy_api::NPY_INT32_, npy_api::NPY_UINT32_, npy_api::NPY_INT64_, npy_api::NPY_UINT64_, + npy_api::NPY_FLOAT_, npy_api::NPY_DOUBLE_, npy_api::NPY_LONGDOUBLE_, + npy_api::NPY_CFLOAT_, npy_api::NPY_CDOUBLE_, npy_api::NPY_CLONGDOUBLE_ + }; + +public: + static constexpr int value = values[detail::is_fmt_numeric::index]; + + static pybind11::dtype dtype() { + if (auto ptr = npy_api::get().PyArray_DescrFromType_(value)) + return reinterpret_steal(ptr); + pybind11_fail("Unsupported buffer format!"); + } +}; + +#define PYBIND11_DECL_CHAR_FMT \ + static constexpr auto name = _("S") + _(); \ + static pybind11::dtype dtype() { return pybind11::dtype(std::string("S") + std::to_string(N)); } +template struct npy_format_descriptor { PYBIND11_DECL_CHAR_FMT }; +template struct npy_format_descriptor> { PYBIND11_DECL_CHAR_FMT }; +#undef PYBIND11_DECL_CHAR_FMT + +template struct npy_format_descriptor::is_array>> { +private: + using base_descr = npy_format_descriptor::type>; +public: + static_assert(!array_info::is_empty, "Zero-sized arrays are not supported"); + + static constexpr auto name = _("(") + array_info::extents + _(")") + base_descr::name; + static pybind11::dtype dtype() { + list shape; + array_info::append_extents(shape); + return pybind11::dtype::from_args(pybind11::make_tuple(base_descr::dtype(), shape)); + } +}; + +template struct npy_format_descriptor::value>> { +private: + using base_descr = npy_format_descriptor::type>; +public: + static constexpr auto name = base_descr::name; + static pybind11::dtype dtype() { return base_descr::dtype(); } +}; + +struct field_descriptor { + const char *name; + ssize_t offset; + ssize_t size; + std::string format; + dtype descr; +}; + +inline PYBIND11_NOINLINE void register_structured_dtype( + any_container fields, + const std::type_info& tinfo, ssize_t itemsize, + bool (*direct_converter)(PyObject *, void *&)) { + + auto& numpy_internals = get_numpy_internals(); + if (numpy_internals.get_type_info(tinfo, false)) + pybind11_fail("NumPy: dtype is already registered"); + + // Use ordered fields because order matters as of NumPy 1.14: + // https://docs.scipy.org/doc/numpy/release.html#multiple-field-indexing-assignment-of-structured-arrays + std::vector ordered_fields(std::move(fields)); + std::sort(ordered_fields.begin(), ordered_fields.end(), + [](const field_descriptor &a, const field_descriptor &b) { return a.offset < b.offset; }); + + list names, formats, offsets; + for (auto& field : ordered_fields) { + if (!field.descr) + pybind11_fail(std::string("NumPy: unsupported field dtype: `") + + field.name + "` @ " + tinfo.name()); + names.append(PYBIND11_STR_TYPE(field.name)); + formats.append(field.descr); + offsets.append(pybind11::int_(field.offset)); + } + auto dtype_ptr = pybind11::dtype(names, formats, offsets, itemsize).release().ptr(); + + // There is an existing bug in NumPy (as of v1.11): trailing bytes are + // not encoded explicitly into the format string. This will supposedly + // get fixed in v1.12; for further details, see these: + // - https://github.com/numpy/numpy/issues/7797 + // - https://github.com/numpy/numpy/pull/7798 + // Because of this, we won't use numpy's logic to generate buffer format + // strings and will just do it ourselves. + ssize_t offset = 0; + std::ostringstream oss; + // mark the structure as unaligned with '^', because numpy and C++ don't + // always agree about alignment (particularly for complex), and we're + // explicitly listing all our padding. This depends on none of the fields + // overriding the endianness. Putting the ^ in front of individual fields + // isn't guaranteed to work due to https://github.com/numpy/numpy/issues/9049 + oss << "^T{"; + for (auto& field : ordered_fields) { + if (field.offset > offset) + oss << (field.offset - offset) << 'x'; + oss << field.format << ':' << field.name << ':'; + offset = field.offset + field.size; + } + if (itemsize > offset) + oss << (itemsize - offset) << 'x'; + oss << '}'; + auto format_str = oss.str(); + + // Sanity check: verify that NumPy properly parses our buffer format string + auto& api = npy_api::get(); + auto arr = array(buffer_info(nullptr, itemsize, format_str, 1)); + if (!api.PyArray_EquivTypes_(dtype_ptr, arr.dtype().ptr())) + pybind11_fail("NumPy: invalid buffer descriptor!"); + + auto tindex = std::type_index(tinfo); + numpy_internals.registered_dtypes[tindex] = { dtype_ptr, format_str }; + get_internals().direct_conversions[tindex].push_back(direct_converter); +} + +template struct npy_format_descriptor { + static_assert(is_pod_struct::value, "Attempt to use a non-POD or unimplemented POD type as a numpy dtype"); + + static constexpr auto name = make_caster::name; + + static pybind11::dtype dtype() { + return reinterpret_borrow(dtype_ptr()); + } + + static std::string format() { + static auto format_str = get_numpy_internals().get_type_info(true)->format_str; + return format_str; + } + + static void register_dtype(any_container fields) { + register_structured_dtype(std::move(fields), typeid(typename std::remove_cv::type), + sizeof(T), &direct_converter); + } + +private: + static PyObject* dtype_ptr() { + static PyObject* ptr = get_numpy_internals().get_type_info(true)->dtype_ptr; + return ptr; + } + + static bool direct_converter(PyObject *obj, void*& value) { + auto& api = npy_api::get(); + if (!PyObject_TypeCheck(obj, api.PyVoidArrType_Type_)) + return false; + if (auto descr = reinterpret_steal(api.PyArray_DescrFromScalar_(obj))) { + if (api.PyArray_EquivTypes_(dtype_ptr(), descr.ptr())) { + value = ((PyVoidScalarObject_Proxy *) obj)->obval; + return true; + } + } + return false; + } +}; + +#ifdef __CLION_IDE__ // replace heavy macro with dummy code for the IDE (doesn't affect code) +# define PYBIND11_NUMPY_DTYPE(Type, ...) ((void)0) +# define PYBIND11_NUMPY_DTYPE_EX(Type, ...) ((void)0) +#else + +#define PYBIND11_FIELD_DESCRIPTOR_EX(T, Field, Name) \ + ::pybind11::detail::field_descriptor { \ + Name, offsetof(T, Field), sizeof(decltype(std::declval().Field)), \ + ::pybind11::format_descriptor().Field)>::format(), \ + ::pybind11::detail::npy_format_descriptor().Field)>::dtype() \ + } + +// Extract name, offset and format descriptor for a struct field +#define PYBIND11_FIELD_DESCRIPTOR(T, Field) PYBIND11_FIELD_DESCRIPTOR_EX(T, Field, #Field) + +// The main idea of this macro is borrowed from https://github.com/swansontec/map-macro +// (C) William Swanson, Paul Fultz +#define PYBIND11_EVAL0(...) __VA_ARGS__ +#define PYBIND11_EVAL1(...) PYBIND11_EVAL0 (PYBIND11_EVAL0 (PYBIND11_EVAL0 (__VA_ARGS__))) +#define PYBIND11_EVAL2(...) PYBIND11_EVAL1 (PYBIND11_EVAL1 (PYBIND11_EVAL1 (__VA_ARGS__))) +#define PYBIND11_EVAL3(...) PYBIND11_EVAL2 (PYBIND11_EVAL2 (PYBIND11_EVAL2 (__VA_ARGS__))) +#define PYBIND11_EVAL4(...) PYBIND11_EVAL3 (PYBIND11_EVAL3 (PYBIND11_EVAL3 (__VA_ARGS__))) +#define PYBIND11_EVAL(...) PYBIND11_EVAL4 (PYBIND11_EVAL4 (PYBIND11_EVAL4 (__VA_ARGS__))) +#define PYBIND11_MAP_END(...) +#define PYBIND11_MAP_OUT +#define PYBIND11_MAP_COMMA , +#define PYBIND11_MAP_GET_END() 0, PYBIND11_MAP_END +#define PYBIND11_MAP_NEXT0(test, next, ...) next PYBIND11_MAP_OUT +#define PYBIND11_MAP_NEXT1(test, next) PYBIND11_MAP_NEXT0 (test, next, 0) +#define PYBIND11_MAP_NEXT(test, next) PYBIND11_MAP_NEXT1 (PYBIND11_MAP_GET_END test, next) +#ifdef _MSC_VER // MSVC is not as eager to expand macros, hence this workaround +#define PYBIND11_MAP_LIST_NEXT1(test, next) \ + PYBIND11_EVAL0 (PYBIND11_MAP_NEXT0 (test, PYBIND11_MAP_COMMA next, 0)) +#else +#define PYBIND11_MAP_LIST_NEXT1(test, next) \ + PYBIND11_MAP_NEXT0 (test, PYBIND11_MAP_COMMA next, 0) +#endif +#define PYBIND11_MAP_LIST_NEXT(test, next) \ + PYBIND11_MAP_LIST_NEXT1 (PYBIND11_MAP_GET_END test, next) +#define PYBIND11_MAP_LIST0(f, t, x, peek, ...) \ + f(t, x) PYBIND11_MAP_LIST_NEXT (peek, PYBIND11_MAP_LIST1) (f, t, peek, __VA_ARGS__) +#define PYBIND11_MAP_LIST1(f, t, x, peek, ...) \ + f(t, x) PYBIND11_MAP_LIST_NEXT (peek, PYBIND11_MAP_LIST0) (f, t, peek, __VA_ARGS__) +// PYBIND11_MAP_LIST(f, t, a1, a2, ...) expands to f(t, a1), f(t, a2), ... +#define PYBIND11_MAP_LIST(f, t, ...) \ + PYBIND11_EVAL (PYBIND11_MAP_LIST1 (f, t, __VA_ARGS__, (), 0)) + +#define PYBIND11_NUMPY_DTYPE(Type, ...) \ + ::pybind11::detail::npy_format_descriptor::register_dtype \ + (::std::vector<::pybind11::detail::field_descriptor> \ + {PYBIND11_MAP_LIST (PYBIND11_FIELD_DESCRIPTOR, Type, __VA_ARGS__)}) + +#ifdef _MSC_VER +#define PYBIND11_MAP2_LIST_NEXT1(test, next) \ + PYBIND11_EVAL0 (PYBIND11_MAP_NEXT0 (test, PYBIND11_MAP_COMMA next, 0)) +#else +#define PYBIND11_MAP2_LIST_NEXT1(test, next) \ + PYBIND11_MAP_NEXT0 (test, PYBIND11_MAP_COMMA next, 0) +#endif +#define PYBIND11_MAP2_LIST_NEXT(test, next) \ + PYBIND11_MAP2_LIST_NEXT1 (PYBIND11_MAP_GET_END test, next) +#define PYBIND11_MAP2_LIST0(f, t, x1, x2, peek, ...) \ + f(t, x1, x2) PYBIND11_MAP2_LIST_NEXT (peek, PYBIND11_MAP2_LIST1) (f, t, peek, __VA_ARGS__) +#define PYBIND11_MAP2_LIST1(f, t, x1, x2, peek, ...) \ + f(t, x1, x2) PYBIND11_MAP2_LIST_NEXT (peek, PYBIND11_MAP2_LIST0) (f, t, peek, __VA_ARGS__) +// PYBIND11_MAP2_LIST(f, t, a1, a2, ...) expands to f(t, a1, a2), f(t, a3, a4), ... +#define PYBIND11_MAP2_LIST(f, t, ...) \ + PYBIND11_EVAL (PYBIND11_MAP2_LIST1 (f, t, __VA_ARGS__, (), 0)) + +#define PYBIND11_NUMPY_DTYPE_EX(Type, ...) \ + ::pybind11::detail::npy_format_descriptor::register_dtype \ + (::std::vector<::pybind11::detail::field_descriptor> \ + {PYBIND11_MAP2_LIST (PYBIND11_FIELD_DESCRIPTOR_EX, Type, __VA_ARGS__)}) + +#endif // __CLION_IDE__ + +template +using array_iterator = typename std::add_pointer::type; + +template +array_iterator array_begin(const buffer_info& buffer) { + return array_iterator(reinterpret_cast(buffer.ptr)); +} + +template +array_iterator array_end(const buffer_info& buffer) { + return array_iterator(reinterpret_cast(buffer.ptr) + buffer.size); +} + +class common_iterator { +public: + using container_type = std::vector; + using value_type = container_type::value_type; + using size_type = container_type::size_type; + + common_iterator() : p_ptr(0), m_strides() {} + + common_iterator(void* ptr, const container_type& strides, const container_type& shape) + : p_ptr(reinterpret_cast(ptr)), m_strides(strides.size()) { + m_strides.back() = static_cast(strides.back()); + for (size_type i = m_strides.size() - 1; i != 0; --i) { + size_type j = i - 1; + value_type s = static_cast(shape[i]); + m_strides[j] = strides[j] + m_strides[i] - strides[i] * s; + } + } + + void increment(size_type dim) { + p_ptr += m_strides[dim]; + } + + void* data() const { + return p_ptr; + } + +private: + char* p_ptr; + container_type m_strides; +}; + +template class multi_array_iterator { +public: + using container_type = std::vector; + + multi_array_iterator(const std::array &buffers, + const container_type &shape) + : m_shape(shape.size()), m_index(shape.size(), 0), + m_common_iterator() { + + // Manual copy to avoid conversion warning if using std::copy + for (size_t i = 0; i < shape.size(); ++i) + m_shape[i] = shape[i]; + + container_type strides(shape.size()); + for (size_t i = 0; i < N; ++i) + init_common_iterator(buffers[i], shape, m_common_iterator[i], strides); + } + + multi_array_iterator& operator++() { + for (size_t j = m_index.size(); j != 0; --j) { + size_t i = j - 1; + if (++m_index[i] != m_shape[i]) { + increment_common_iterator(i); + break; + } else { + m_index[i] = 0; + } + } + return *this; + } + + template T* data() const { + return reinterpret_cast(m_common_iterator[K].data()); + } + +private: + + using common_iter = common_iterator; + + void init_common_iterator(const buffer_info &buffer, + const container_type &shape, + common_iter &iterator, + container_type &strides) { + auto buffer_shape_iter = buffer.shape.rbegin(); + auto buffer_strides_iter = buffer.strides.rbegin(); + auto shape_iter = shape.rbegin(); + auto strides_iter = strides.rbegin(); + + while (buffer_shape_iter != buffer.shape.rend()) { + if (*shape_iter == *buffer_shape_iter) + *strides_iter = *buffer_strides_iter; + else + *strides_iter = 0; + + ++buffer_shape_iter; + ++buffer_strides_iter; + ++shape_iter; + ++strides_iter; + } + + std::fill(strides_iter, strides.rend(), 0); + iterator = common_iter(buffer.ptr, strides, shape); + } + + void increment_common_iterator(size_t dim) { + for (auto &iter : m_common_iterator) + iter.increment(dim); + } + + container_type m_shape; + container_type m_index; + std::array m_common_iterator; +}; + +enum class broadcast_trivial { non_trivial, c_trivial, f_trivial }; + +// Populates the shape and number of dimensions for the set of buffers. Returns a broadcast_trivial +// enum value indicating whether the broadcast is "trivial"--that is, has each buffer being either a +// singleton or a full-size, C-contiguous (`c_trivial`) or Fortran-contiguous (`f_trivial`) storage +// buffer; returns `non_trivial` otherwise. +template +broadcast_trivial broadcast(const std::array &buffers, ssize_t &ndim, std::vector &shape) { + ndim = std::accumulate(buffers.begin(), buffers.end(), ssize_t(0), [](ssize_t res, const buffer_info &buf) { + return std::max(res, buf.ndim); + }); + + shape.clear(); + shape.resize((size_t) ndim, 1); + + // Figure out the output size, and make sure all input arrays conform (i.e. are either size 1 or + // the full size). + for (size_t i = 0; i < N; ++i) { + auto res_iter = shape.rbegin(); + auto end = buffers[i].shape.rend(); + for (auto shape_iter = buffers[i].shape.rbegin(); shape_iter != end; ++shape_iter, ++res_iter) { + const auto &dim_size_in = *shape_iter; + auto &dim_size_out = *res_iter; + + // Each input dimension can either be 1 or `n`, but `n` values must match across buffers + if (dim_size_out == 1) + dim_size_out = dim_size_in; + else if (dim_size_in != 1 && dim_size_in != dim_size_out) + pybind11_fail("pybind11::vectorize: incompatible size/dimension of inputs!"); + } + } + + bool trivial_broadcast_c = true; + bool trivial_broadcast_f = true; + for (size_t i = 0; i < N && (trivial_broadcast_c || trivial_broadcast_f); ++i) { + if (buffers[i].size == 1) + continue; + + // Require the same number of dimensions: + if (buffers[i].ndim != ndim) + return broadcast_trivial::non_trivial; + + // Require all dimensions be full-size: + if (!std::equal(buffers[i].shape.cbegin(), buffers[i].shape.cend(), shape.cbegin())) + return broadcast_trivial::non_trivial; + + // Check for C contiguity (but only if previous inputs were also C contiguous) + if (trivial_broadcast_c) { + ssize_t expect_stride = buffers[i].itemsize; + auto end = buffers[i].shape.crend(); + for (auto shape_iter = buffers[i].shape.crbegin(), stride_iter = buffers[i].strides.crbegin(); + trivial_broadcast_c && shape_iter != end; ++shape_iter, ++stride_iter) { + if (expect_stride == *stride_iter) + expect_stride *= *shape_iter; + else + trivial_broadcast_c = false; + } + } + + // Check for Fortran contiguity (if previous inputs were also F contiguous) + if (trivial_broadcast_f) { + ssize_t expect_stride = buffers[i].itemsize; + auto end = buffers[i].shape.cend(); + for (auto shape_iter = buffers[i].shape.cbegin(), stride_iter = buffers[i].strides.cbegin(); + trivial_broadcast_f && shape_iter != end; ++shape_iter, ++stride_iter) { + if (expect_stride == *stride_iter) + expect_stride *= *shape_iter; + else + trivial_broadcast_f = false; + } + } + } + + return + trivial_broadcast_c ? broadcast_trivial::c_trivial : + trivial_broadcast_f ? broadcast_trivial::f_trivial : + broadcast_trivial::non_trivial; +} + +template +struct vectorize_arg { + static_assert(!std::is_rvalue_reference::value, "Functions with rvalue reference arguments cannot be vectorized"); + // The wrapped function gets called with this type: + using call_type = remove_reference_t; + // Is this a vectorized argument? + static constexpr bool vectorize = + satisfies_any_of::value && + satisfies_none_of::value && + (!std::is_reference::value || + (std::is_lvalue_reference::value && std::is_const::value)); + // Accept this type: an array for vectorized types, otherwise the type as-is: + using type = conditional_t, array::forcecast>, T>; +}; + +template +struct vectorize_helper { +private: + static constexpr size_t N = sizeof...(Args); + static constexpr size_t NVectorized = constexpr_sum(vectorize_arg::vectorize...); + static_assert(NVectorized >= 1, + "pybind11::vectorize(...) requires a function with at least one vectorizable argument"); + +public: + template + explicit vectorize_helper(T &&f) : f(std::forward(f)) { } + + object operator()(typename vectorize_arg::type... args) { + return run(args..., + make_index_sequence(), + select_indices::vectorize...>(), + make_index_sequence()); + } + +private: + remove_reference_t f; + + // Internal compiler error in MSVC 19.16.27025.1 (Visual Studio 2017 15.9.4), when compiling with "/permissive-" flag + // when arg_call_types is manually inlined. + using arg_call_types = std::tuple::call_type...>; + template using param_n_t = typename std::tuple_element::type; + + // Runs a vectorized function given arguments tuple and three index sequences: + // - Index is the full set of 0 ... (N-1) argument indices; + // - VIndex is the subset of argument indices with vectorized parameters, letting us access + // vectorized arguments (anything not in this sequence is passed through) + // - BIndex is a incremental sequence (beginning at 0) of the same size as VIndex, so that + // we can store vectorized buffer_infos in an array (argument VIndex has its buffer at + // index BIndex in the array). + template object run( + typename vectorize_arg::type &...args, + index_sequence i_seq, index_sequence vi_seq, index_sequence bi_seq) { + + // Pointers to values the function was called with; the vectorized ones set here will start + // out as array_t pointers, but they will be changed them to T pointers before we make + // call the wrapped function. Non-vectorized pointers are left as-is. + std::array params{{ &args... }}; + + // The array of `buffer_info`s of vectorized arguments: + std::array buffers{{ reinterpret_cast(params[VIndex])->request()... }}; + + /* Determine dimensions parameters of output array */ + ssize_t nd = 0; + std::vector shape(0); + auto trivial = broadcast(buffers, nd, shape); + size_t ndim = (size_t) nd; + + size_t size = std::accumulate(shape.begin(), shape.end(), (size_t) 1, std::multiplies()); + + // If all arguments are 0-dimension arrays (i.e. single values) return a plain value (i.e. + // not wrapped in an array). + if (size == 1 && ndim == 0) { + PYBIND11_EXPAND_SIDE_EFFECTS(params[VIndex] = buffers[BIndex].ptr); + return cast(f(*reinterpret_cast *>(params[Index])...)); + } + + array_t result; + if (trivial == broadcast_trivial::f_trivial) result = array_t(shape); + else result = array_t(shape); + + if (size == 0) return std::move(result); + + /* Call the function */ + if (trivial == broadcast_trivial::non_trivial) + apply_broadcast(buffers, params, result, i_seq, vi_seq, bi_seq); + else + apply_trivial(buffers, params, result.mutable_data(), size, i_seq, vi_seq, bi_seq); + + return std::move(result); + } + + template + void apply_trivial(std::array &buffers, + std::array ¶ms, + Return *out, + size_t size, + index_sequence, index_sequence, index_sequence) { + + // Initialize an array of mutable byte references and sizes with references set to the + // appropriate pointer in `params`; as we iterate, we'll increment each pointer by its size + // (except for singletons, which get an increment of 0). + std::array, NVectorized> vecparams{{ + std::pair( + reinterpret_cast(params[VIndex] = buffers[BIndex].ptr), + buffers[BIndex].size == 1 ? 0 : sizeof(param_n_t) + )... + }}; + + for (size_t i = 0; i < size; ++i) { + out[i] = f(*reinterpret_cast *>(params[Index])...); + for (auto &x : vecparams) x.first += x.second; + } + } + + template + void apply_broadcast(std::array &buffers, + std::array ¶ms, + array_t &output_array, + index_sequence, index_sequence, index_sequence) { + + buffer_info output = output_array.request(); + multi_array_iterator input_iter(buffers, output.shape); + + for (array_iterator iter = array_begin(output), end = array_end(output); + iter != end; + ++iter, ++input_iter) { + PYBIND11_EXPAND_SIDE_EFFECTS(( + params[VIndex] = input_iter.template data() + )); + *iter = f(*reinterpret_cast *>(std::get(params))...); + } + } +}; + +template +vectorize_helper +vectorize_extractor(const Func &f, Return (*) (Args ...)) { + return detail::vectorize_helper(f); +} + +template struct handle_type_name> { + static constexpr auto name = _("numpy.ndarray[") + npy_format_descriptor::name + _("]"); +}; + +NAMESPACE_END(detail) + +// Vanilla pointer vectorizer: +template +detail::vectorize_helper +vectorize(Return (*f) (Args ...)) { + return detail::vectorize_helper(f); +} + +// lambda vectorizer: +template ::value, int> = 0> +auto vectorize(Func &&f) -> decltype( + detail::vectorize_extractor(std::forward(f), (detail::function_signature_t *) nullptr)) { + return detail::vectorize_extractor(std::forward(f), (detail::function_signature_t *) nullptr); +} + +// Vectorize a class method (non-const): +template ())), Return, Class *, Args...>> +Helper vectorize(Return (Class::*f)(Args...)) { + return Helper(std::mem_fn(f)); +} + +// Vectorize a class method (const): +template ())), Return, const Class *, Args...>> +Helper vectorize(Return (Class::*f)(Args...) const) { + return Helper(std::mem_fn(f)); +} + +NAMESPACE_END(PYBIND11_NAMESPACE) + +#if defined(_MSC_VER) +#pragma warning(pop) +#endif diff --git a/external/pybind11/include/pybind11/operators.h b/external/pybind11/include/pybind11/operators.h new file mode 100644 index 0000000..b3dd62c --- /dev/null +++ b/external/pybind11/include/pybind11/operators.h @@ -0,0 +1,168 @@ +/* + pybind11/operator.h: Metatemplates for operator overloading + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#pragma once + +#include "pybind11.h" + +#if defined(__clang__) && !defined(__INTEL_COMPILER) +# pragma clang diagnostic ignored "-Wunsequenced" // multiple unsequenced modifications to 'self' (when using def(py::self OP Type())) +#elif defined(_MSC_VER) +# pragma warning(push) +# pragma warning(disable: 4127) // warning C4127: Conditional expression is constant +#endif + +NAMESPACE_BEGIN(PYBIND11_NAMESPACE) +NAMESPACE_BEGIN(detail) + +/// Enumeration with all supported operator types +enum op_id : int { + op_add, op_sub, op_mul, op_div, op_mod, op_divmod, op_pow, op_lshift, + op_rshift, op_and, op_xor, op_or, op_neg, op_pos, op_abs, op_invert, + op_int, op_long, op_float, op_str, op_cmp, op_gt, op_ge, op_lt, op_le, + op_eq, op_ne, op_iadd, op_isub, op_imul, op_idiv, op_imod, op_ilshift, + op_irshift, op_iand, op_ixor, op_ior, op_complex, op_bool, op_nonzero, + op_repr, op_truediv, op_itruediv, op_hash +}; + +enum op_type : int { + op_l, /* base type on left */ + op_r, /* base type on right */ + op_u /* unary operator */ +}; + +struct self_t { }; +static const self_t self = self_t(); + +/// Type for an unused type slot +struct undefined_t { }; + +/// Don't warn about an unused variable +inline self_t __self() { return self; } + +/// base template of operator implementations +template struct op_impl { }; + +/// Operator implementation generator +template struct op_ { + template void execute(Class &cl, const Extra&... extra) const { + using Base = typename Class::type; + using L_type = conditional_t::value, Base, L>; + using R_type = conditional_t::value, Base, R>; + using op = op_impl; + cl.def(op::name(), &op::execute, is_operator(), extra...); + #if PY_MAJOR_VERSION < 3 + if (id == op_truediv || id == op_itruediv) + cl.def(id == op_itruediv ? "__idiv__" : ot == op_l ? "__div__" : "__rdiv__", + &op::execute, is_operator(), extra...); + #endif + } + template void execute_cast(Class &cl, const Extra&... extra) const { + using Base = typename Class::type; + using L_type = conditional_t::value, Base, L>; + using R_type = conditional_t::value, Base, R>; + using op = op_impl; + cl.def(op::name(), &op::execute_cast, is_operator(), extra...); + #if PY_MAJOR_VERSION < 3 + if (id == op_truediv || id == op_itruediv) + cl.def(id == op_itruediv ? "__idiv__" : ot == op_l ? "__div__" : "__rdiv__", + &op::execute, is_operator(), extra...); + #endif + } +}; + +#define PYBIND11_BINARY_OPERATOR(id, rid, op, expr) \ +template struct op_impl { \ + static char const* name() { return "__" #id "__"; } \ + static auto execute(const L &l, const R &r) -> decltype(expr) { return (expr); } \ + static B execute_cast(const L &l, const R &r) { return B(expr); } \ +}; \ +template struct op_impl { \ + static char const* name() { return "__" #rid "__"; } \ + static auto execute(const R &r, const L &l) -> decltype(expr) { return (expr); } \ + static B execute_cast(const R &r, const L &l) { return B(expr); } \ +}; \ +inline op_ op(const self_t &, const self_t &) { \ + return op_(); \ +} \ +template op_ op(const self_t &, const T &) { \ + return op_(); \ +} \ +template op_ op(const T &, const self_t &) { \ + return op_(); \ +} + +#define PYBIND11_INPLACE_OPERATOR(id, op, expr) \ +template struct op_impl { \ + static char const* name() { return "__" #id "__"; } \ + static auto execute(L &l, const R &r) -> decltype(expr) { return expr; } \ + static B execute_cast(L &l, const R &r) { return B(expr); } \ +}; \ +template op_ op(const self_t &, const T &) { \ + return op_(); \ +} + +#define PYBIND11_UNARY_OPERATOR(id, op, expr) \ +template struct op_impl { \ + static char const* name() { return "__" #id "__"; } \ + static auto execute(const L &l) -> decltype(expr) { return expr; } \ + static B execute_cast(const L &l) { return B(expr); } \ +}; \ +inline op_ op(const self_t &) { \ + return op_(); \ +} + +PYBIND11_BINARY_OPERATOR(sub, rsub, operator-, l - r) +PYBIND11_BINARY_OPERATOR(add, radd, operator+, l + r) +PYBIND11_BINARY_OPERATOR(mul, rmul, operator*, l * r) +PYBIND11_BINARY_OPERATOR(truediv, rtruediv, operator/, l / r) +PYBIND11_BINARY_OPERATOR(mod, rmod, operator%, l % r) +PYBIND11_BINARY_OPERATOR(lshift, rlshift, operator<<, l << r) +PYBIND11_BINARY_OPERATOR(rshift, rrshift, operator>>, l >> r) +PYBIND11_BINARY_OPERATOR(and, rand, operator&, l & r) +PYBIND11_BINARY_OPERATOR(xor, rxor, operator^, l ^ r) +PYBIND11_BINARY_OPERATOR(eq, eq, operator==, l == r) +PYBIND11_BINARY_OPERATOR(ne, ne, operator!=, l != r) +PYBIND11_BINARY_OPERATOR(or, ror, operator|, l | r) +PYBIND11_BINARY_OPERATOR(gt, lt, operator>, l > r) +PYBIND11_BINARY_OPERATOR(ge, le, operator>=, l >= r) +PYBIND11_BINARY_OPERATOR(lt, gt, operator<, l < r) +PYBIND11_BINARY_OPERATOR(le, ge, operator<=, l <= r) +//PYBIND11_BINARY_OPERATOR(pow, rpow, pow, std::pow(l, r)) +PYBIND11_INPLACE_OPERATOR(iadd, operator+=, l += r) +PYBIND11_INPLACE_OPERATOR(isub, operator-=, l -= r) +PYBIND11_INPLACE_OPERATOR(imul, operator*=, l *= r) +PYBIND11_INPLACE_OPERATOR(itruediv, operator/=, l /= r) +PYBIND11_INPLACE_OPERATOR(imod, operator%=, l %= r) +PYBIND11_INPLACE_OPERATOR(ilshift, operator<<=, l <<= r) +PYBIND11_INPLACE_OPERATOR(irshift, operator>>=, l >>= r) +PYBIND11_INPLACE_OPERATOR(iand, operator&=, l &= r) +PYBIND11_INPLACE_OPERATOR(ixor, operator^=, l ^= r) +PYBIND11_INPLACE_OPERATOR(ior, operator|=, l |= r) +PYBIND11_UNARY_OPERATOR(neg, operator-, -l) +PYBIND11_UNARY_OPERATOR(pos, operator+, +l) +PYBIND11_UNARY_OPERATOR(abs, abs, std::abs(l)) +PYBIND11_UNARY_OPERATOR(hash, hash, std::hash()(l)) +PYBIND11_UNARY_OPERATOR(invert, operator~, (~l)) +PYBIND11_UNARY_OPERATOR(bool, operator!, !!l) +PYBIND11_UNARY_OPERATOR(int, int_, (int) l) +PYBIND11_UNARY_OPERATOR(float, float_, (double) l) + +#undef PYBIND11_BINARY_OPERATOR +#undef PYBIND11_INPLACE_OPERATOR +#undef PYBIND11_UNARY_OPERATOR +NAMESPACE_END(detail) + +using detail::self; + +NAMESPACE_END(PYBIND11_NAMESPACE) + +#if defined(_MSC_VER) +# pragma warning(pop) +#endif diff --git a/external/pybind11/include/pybind11/options.h b/external/pybind11/include/pybind11/options.h new file mode 100644 index 0000000..cc1e1f6 --- /dev/null +++ b/external/pybind11/include/pybind11/options.h @@ -0,0 +1,65 @@ +/* + pybind11/options.h: global settings that are configurable at runtime. + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#pragma once + +#include "detail/common.h" + +NAMESPACE_BEGIN(PYBIND11_NAMESPACE) + +class options { +public: + + // Default RAII constructor, which leaves settings as they currently are. + options() : previous_state(global_state()) {} + + // Class is non-copyable. + options(const options&) = delete; + options& operator=(const options&) = delete; + + // Destructor, which restores settings that were in effect before. + ~options() { + global_state() = previous_state; + } + + // Setter methods (affect the global state): + + options& disable_user_defined_docstrings() & { global_state().show_user_defined_docstrings = false; return *this; } + + options& enable_user_defined_docstrings() & { global_state().show_user_defined_docstrings = true; return *this; } + + options& disable_function_signatures() & { global_state().show_function_signatures = false; return *this; } + + options& enable_function_signatures() & { global_state().show_function_signatures = true; return *this; } + + // Getter methods (return the global state): + + static bool show_user_defined_docstrings() { return global_state().show_user_defined_docstrings; } + + static bool show_function_signatures() { return global_state().show_function_signatures; } + + // This type is not meant to be allocated on the heap. + void* operator new(size_t) = delete; + +private: + + struct state { + bool show_user_defined_docstrings = true; //< Include user-supplied texts in docstrings. + bool show_function_signatures = true; //< Include auto-generated function signatures in docstrings. + }; + + static state &global_state() { + static state instance; + return instance; + } + + state previous_state; +}; + +NAMESPACE_END(PYBIND11_NAMESPACE) diff --git a/external/pybind11/include/pybind11/pybind11.h b/external/pybind11/include/pybind11/pybind11.h new file mode 100644 index 0000000..c623705 --- /dev/null +++ b/external/pybind11/include/pybind11/pybind11.h @@ -0,0 +1,2176 @@ +/* + pybind11/pybind11.h: Main header file of the C++11 python + binding generator library + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#pragma once + +#if defined(__INTEL_COMPILER) +# pragma warning push +# pragma warning disable 68 // integer conversion resulted in a change of sign +# pragma warning disable 186 // pointless comparison of unsigned integer with zero +# pragma warning disable 878 // incompatible exception specifications +# pragma warning disable 1334 // the "template" keyword used for syntactic disambiguation may only be used within a template +# pragma warning disable 1682 // implicit conversion of a 64-bit integral type to a smaller integral type (potential portability problem) +# pragma warning disable 1786 // function "strdup" was declared deprecated +# pragma warning disable 1875 // offsetof applied to non-POD (Plain Old Data) types is nonstandard +# pragma warning disable 2196 // warning #2196: routine is both "inline" and "noinline" +#elif defined(_MSC_VER) +# pragma warning(push) +# pragma warning(disable: 4100) // warning C4100: Unreferenced formal parameter +# pragma warning(disable: 4127) // warning C4127: Conditional expression is constant +# pragma warning(disable: 4512) // warning C4512: Assignment operator was implicitly defined as deleted +# pragma warning(disable: 4800) // warning C4800: 'int': forcing value to bool 'true' or 'false' (performance warning) +# pragma warning(disable: 4996) // warning C4996: The POSIX name for this item is deprecated. Instead, use the ISO C and C++ conformant name +# pragma warning(disable: 4702) // warning C4702: unreachable code +# pragma warning(disable: 4522) // warning C4522: multiple assignment operators specified +#elif defined(__GNUG__) && !defined(__clang__) +# pragma GCC diagnostic push +# pragma GCC diagnostic ignored "-Wunused-but-set-parameter" +# pragma GCC diagnostic ignored "-Wunused-but-set-variable" +# pragma GCC diagnostic ignored "-Wmissing-field-initializers" +# pragma GCC diagnostic ignored "-Wstrict-aliasing" +# pragma GCC diagnostic ignored "-Wattributes" +# if __GNUC__ >= 7 +# pragma GCC diagnostic ignored "-Wnoexcept-type" +# endif +#endif + +#include "attr.h" +#include "options.h" +#include "detail/class.h" +#include "detail/init.h" + +#if defined(__GNUG__) && !defined(__clang__) +# include +#endif + +NAMESPACE_BEGIN(PYBIND11_NAMESPACE) + +/// Wraps an arbitrary C++ function/method/lambda function/.. into a callable Python object +class cpp_function : public function { +public: + cpp_function() { } + cpp_function(std::nullptr_t) { } + + /// Construct a cpp_function from a vanilla function pointer + template + cpp_function(Return (*f)(Args...), const Extra&... extra) { + initialize(f, f, extra...); + } + + /// Construct a cpp_function from a lambda function (possibly with internal state) + template ::value>> + cpp_function(Func &&f, const Extra&... extra) { + initialize(std::forward(f), + (detail::function_signature_t *) nullptr, extra...); + } + + /// Construct a cpp_function from a class method (non-const) + template + cpp_function(Return (Class::*f)(Arg...), const Extra&... extra) { + initialize([f](Class *c, Arg... args) -> Return { return (c->*f)(args...); }, + (Return (*) (Class *, Arg...)) nullptr, extra...); + } + + /// Construct a cpp_function from a class method (const) + template + cpp_function(Return (Class::*f)(Arg...) const, const Extra&... extra) { + initialize([f](const Class *c, Arg... args) -> Return { return (c->*f)(args...); }, + (Return (*)(const Class *, Arg ...)) nullptr, extra...); + } + + /// Return the function name + object name() const { return attr("__name__"); } + +protected: + /// Space optimization: don't inline this frequently instantiated fragment + PYBIND11_NOINLINE detail::function_record *make_function_record() { + return new detail::function_record(); + } + + /// Special internal constructor for functors, lambda functions, etc. + template + void initialize(Func &&f, Return (*)(Args...), const Extra&... extra) { + using namespace detail; + struct capture { remove_reference_t f; }; + + /* Store the function including any extra state it might have (e.g. a lambda capture object) */ + auto rec = make_function_record(); + + /* Store the capture object directly in the function record if there is enough space */ + if (sizeof(capture) <= sizeof(rec->data)) { + /* Without these pragmas, GCC warns that there might not be + enough space to use the placement new operator. However, the + 'if' statement above ensures that this is the case. */ +#if defined(__GNUG__) && !defined(__clang__) && __GNUC__ >= 6 +# pragma GCC diagnostic push +# pragma GCC diagnostic ignored "-Wplacement-new" +#endif + new ((capture *) &rec->data) capture { std::forward(f) }; +#if defined(__GNUG__) && !defined(__clang__) && __GNUC__ >= 6 +# pragma GCC diagnostic pop +#endif + if (!std::is_trivially_destructible::value) + rec->free_data = [](function_record *r) { ((capture *) &r->data)->~capture(); }; + } else { + rec->data[0] = new capture { std::forward(f) }; + rec->free_data = [](function_record *r) { delete ((capture *) r->data[0]); }; + } + + /* Type casters for the function arguments and return value */ + using cast_in = argument_loader; + using cast_out = make_caster< + conditional_t::value, void_type, Return> + >; + + static_assert(expected_num_args(sizeof...(Args), cast_in::has_args, cast_in::has_kwargs), + "The number of argument annotations does not match the number of function arguments"); + + /* Dispatch code which converts function arguments and performs the actual function call */ + rec->impl = [](function_call &call) -> handle { + cast_in args_converter; + + /* Try to cast the function arguments into the C++ domain */ + if (!args_converter.load_args(call)) + return PYBIND11_TRY_NEXT_OVERLOAD; + + /* Invoke call policy pre-call hook */ + process_attributes::precall(call); + + /* Get a pointer to the capture object */ + auto data = (sizeof(capture) <= sizeof(call.func.data) + ? &call.func.data : call.func.data[0]); + capture *cap = const_cast(reinterpret_cast(data)); + + /* Override policy for rvalues -- usually to enforce rvp::move on an rvalue */ + return_value_policy policy = return_value_policy_override::policy(call.func.policy); + + /* Function scope guard -- defaults to the compile-to-nothing `void_type` */ + using Guard = extract_guard_t; + + /* Perform the function call */ + handle result = cast_out::cast( + std::move(args_converter).template call(cap->f), policy, call.parent); + + /* Invoke call policy post-call hook */ + process_attributes::postcall(call, result); + + return result; + }; + + /* Process any user-provided function attributes */ + process_attributes::init(extra..., rec); + + /* Generate a readable signature describing the function's arguments and return value types */ + static constexpr auto signature = _("(") + cast_in::arg_names + _(") -> ") + cast_out::name; + PYBIND11_DESCR_CONSTEXPR auto types = decltype(signature)::types(); + + /* Register the function with Python from generic (non-templated) code */ + initialize_generic(rec, signature.text, types.data(), sizeof...(Args)); + + if (cast_in::has_args) rec->has_args = true; + if (cast_in::has_kwargs) rec->has_kwargs = true; + + /* Stash some additional information used by an important optimization in 'functional.h' */ + using FunctionType = Return (*)(Args...); + constexpr bool is_function_ptr = + std::is_convertible::value && + sizeof(capture) == sizeof(void *); + if (is_function_ptr) { + rec->is_stateless = true; + rec->data[1] = const_cast(reinterpret_cast(&typeid(FunctionType))); + } + } + + /// Register a function call with Python (generic non-templated code goes here) + void initialize_generic(detail::function_record *rec, const char *text, + const std::type_info *const *types, size_t args) { + + /* Create copies of all referenced C-style strings */ + rec->name = strdup(rec->name ? rec->name : ""); + if (rec->doc) rec->doc = strdup(rec->doc); + for (auto &a: rec->args) { + if (a.name) + a.name = strdup(a.name); + if (a.descr) + a.descr = strdup(a.descr); + else if (a.value) + a.descr = strdup(a.value.attr("__repr__")().cast().c_str()); + } + + rec->is_constructor = !strcmp(rec->name, "__init__") || !strcmp(rec->name, "__setstate__"); + +#if !defined(NDEBUG) && !defined(PYBIND11_DISABLE_NEW_STYLE_INIT_WARNING) + if (rec->is_constructor && !rec->is_new_style_constructor) { + const auto class_name = std::string(((PyTypeObject *) rec->scope.ptr())->tp_name); + const auto func_name = std::string(rec->name); + PyErr_WarnEx( + PyExc_FutureWarning, + ("pybind11-bound class '" + class_name + "' is using an old-style " + "placement-new '" + func_name + "' which has been deprecated. See " + "the upgrade guide in pybind11's docs. This message is only visible " + "when compiled in debug mode.").c_str(), 0 + ); + } +#endif + + /* Generate a proper function signature */ + std::string signature; + size_t type_index = 0, arg_index = 0; + for (auto *pc = text; *pc != '\0'; ++pc) { + const auto c = *pc; + + if (c == '{') { + // Write arg name for everything except *args and **kwargs. + if (*(pc + 1) == '*') + continue; + + if (arg_index < rec->args.size() && rec->args[arg_index].name) { + signature += rec->args[arg_index].name; + } else if (arg_index == 0 && rec->is_method) { + signature += "self"; + } else { + signature += "arg" + std::to_string(arg_index - (rec->is_method ? 1 : 0)); + } + signature += ": "; + } else if (c == '}') { + // Write default value if available. + if (arg_index < rec->args.size() && rec->args[arg_index].descr) { + signature += " = "; + signature += rec->args[arg_index].descr; + } + arg_index++; + } else if (c == '%') { + const std::type_info *t = types[type_index++]; + if (!t) + pybind11_fail("Internal error while parsing type signature (1)"); + if (auto tinfo = detail::get_type_info(*t)) { + handle th((PyObject *) tinfo->type); + signature += + th.attr("__module__").cast() + "." + + th.attr("__qualname__").cast(); // Python 3.3+, but we backport it to earlier versions + } else if (rec->is_new_style_constructor && arg_index == 0) { + // A new-style `__init__` takes `self` as `value_and_holder`. + // Rewrite it to the proper class type. + signature += + rec->scope.attr("__module__").cast() + "." + + rec->scope.attr("__qualname__").cast(); + } else { + std::string tname(t->name()); + detail::clean_type_id(tname); + signature += tname; + } + } else { + signature += c; + } + } + if (arg_index != args || types[type_index] != nullptr) + pybind11_fail("Internal error while parsing type signature (2)"); + +#if PY_MAJOR_VERSION < 3 + if (strcmp(rec->name, "__next__") == 0) { + std::free(rec->name); + rec->name = strdup("next"); + } else if (strcmp(rec->name, "__bool__") == 0) { + std::free(rec->name); + rec->name = strdup("__nonzero__"); + } +#endif + rec->signature = strdup(signature.c_str()); + rec->args.shrink_to_fit(); + rec->nargs = (std::uint16_t) args; + + if (rec->sibling && PYBIND11_INSTANCE_METHOD_CHECK(rec->sibling.ptr())) + rec->sibling = PYBIND11_INSTANCE_METHOD_GET_FUNCTION(rec->sibling.ptr()); + + detail::function_record *chain = nullptr, *chain_start = rec; + if (rec->sibling) { + if (PyCFunction_Check(rec->sibling.ptr())) { + auto rec_capsule = reinterpret_borrow(PyCFunction_GET_SELF(rec->sibling.ptr())); + chain = (detail::function_record *) rec_capsule; + /* Never append a method to an overload chain of a parent class; + instead, hide the parent's overloads in this case */ + if (!chain->scope.is(rec->scope)) + chain = nullptr; + } + // Don't trigger for things like the default __init__, which are wrapper_descriptors that we are intentionally replacing + else if (!rec->sibling.is_none() && rec->name[0] != '_') + pybind11_fail("Cannot overload existing non-function object \"" + std::string(rec->name) + + "\" with a function of the same name"); + } + + if (!chain) { + /* No existing overload was found, create a new function object */ + rec->def = new PyMethodDef(); + std::memset(rec->def, 0, sizeof(PyMethodDef)); + rec->def->ml_name = rec->name; + rec->def->ml_meth = reinterpret_cast(reinterpret_cast(*dispatcher)); + rec->def->ml_flags = METH_VARARGS | METH_KEYWORDS; + + capsule rec_capsule(rec, [](void *ptr) { + destruct((detail::function_record *) ptr); + }); + + object scope_module; + if (rec->scope) { + if (hasattr(rec->scope, "__module__")) { + scope_module = rec->scope.attr("__module__"); + } else if (hasattr(rec->scope, "__name__")) { + scope_module = rec->scope.attr("__name__"); + } + } + + m_ptr = PyCFunction_NewEx(rec->def, rec_capsule.ptr(), scope_module.ptr()); + if (!m_ptr) + pybind11_fail("cpp_function::cpp_function(): Could not allocate function object"); + } else { + /* Append at the end of the overload chain */ + m_ptr = rec->sibling.ptr(); + inc_ref(); + chain_start = chain; + if (chain->is_method != rec->is_method) + pybind11_fail("overloading a method with both static and instance methods is not supported; " + #if defined(NDEBUG) + "compile in debug mode for more details" + #else + "error while attempting to bind " + std::string(rec->is_method ? "instance" : "static") + " method " + + std::string(pybind11::str(rec->scope.attr("__name__"))) + "." + std::string(rec->name) + signature + #endif + ); + while (chain->next) + chain = chain->next; + chain->next = rec; + } + + std::string signatures; + int index = 0; + /* Create a nice pydoc rec including all signatures and + docstrings of the functions in the overload chain */ + if (chain && options::show_function_signatures()) { + // First a generic signature + signatures += rec->name; + signatures += "(*args, **kwargs)\n"; + signatures += "Overloaded function.\n\n"; + } + // Then specific overload signatures + bool first_user_def = true; + for (auto it = chain_start; it != nullptr; it = it->next) { + if (options::show_function_signatures()) { + if (index > 0) signatures += "\n"; + if (chain) + signatures += std::to_string(++index) + ". "; + signatures += rec->name; + signatures += it->signature; + signatures += "\n"; + } + if (it->doc && strlen(it->doc) > 0 && options::show_user_defined_docstrings()) { + // If we're appending another docstring, and aren't printing function signatures, we + // need to append a newline first: + if (!options::show_function_signatures()) { + if (first_user_def) first_user_def = false; + else signatures += "\n"; + } + if (options::show_function_signatures()) signatures += "\n"; + signatures += it->doc; + if (options::show_function_signatures()) signatures += "\n"; + } + } + + /* Install docstring */ + PyCFunctionObject *func = (PyCFunctionObject *) m_ptr; + if (func->m_ml->ml_doc) + std::free(const_cast(func->m_ml->ml_doc)); + func->m_ml->ml_doc = strdup(signatures.c_str()); + + if (rec->is_method) { + m_ptr = PYBIND11_INSTANCE_METHOD_NEW(m_ptr, rec->scope.ptr()); + if (!m_ptr) + pybind11_fail("cpp_function::cpp_function(): Could not allocate instance method object"); + Py_DECREF(func); + } + } + + /// When a cpp_function is GCed, release any memory allocated by pybind11 + static void destruct(detail::function_record *rec) { + while (rec) { + detail::function_record *next = rec->next; + if (rec->free_data) + rec->free_data(rec); + std::free((char *) rec->name); + std::free((char *) rec->doc); + std::free((char *) rec->signature); + for (auto &arg: rec->args) { + std::free(const_cast(arg.name)); + std::free(const_cast(arg.descr)); + arg.value.dec_ref(); + } + if (rec->def) { + std::free(const_cast(rec->def->ml_doc)); + delete rec->def; + } + delete rec; + rec = next; + } + } + + /// Main dispatch logic for calls to functions bound using pybind11 + static PyObject *dispatcher(PyObject *self, PyObject *args_in, PyObject *kwargs_in) { + using namespace detail; + + /* Iterator over the list of potentially admissible overloads */ + const function_record *overloads = (function_record *) PyCapsule_GetPointer(self, nullptr), + *it = overloads; + + /* Need to know how many arguments + keyword arguments there are to pick the right overload */ + const size_t n_args_in = (size_t) PyTuple_GET_SIZE(args_in); + + handle parent = n_args_in > 0 ? PyTuple_GET_ITEM(args_in, 0) : nullptr, + result = PYBIND11_TRY_NEXT_OVERLOAD; + + auto self_value_and_holder = value_and_holder(); + if (overloads->is_constructor) { + const auto tinfo = get_type_info((PyTypeObject *) overloads->scope.ptr()); + const auto pi = reinterpret_cast(parent.ptr()); + self_value_and_holder = pi->get_value_and_holder(tinfo, false); + + if (!self_value_and_holder.type || !self_value_and_holder.inst) { + PyErr_SetString(PyExc_TypeError, "__init__(self, ...) called with invalid `self` argument"); + return nullptr; + } + + // If this value is already registered it must mean __init__ is invoked multiple times; + // we really can't support that in C++, so just ignore the second __init__. + if (self_value_and_holder.instance_registered()) + return none().release().ptr(); + } + + try { + // We do this in two passes: in the first pass, we load arguments with `convert=false`; + // in the second, we allow conversion (except for arguments with an explicit + // py::arg().noconvert()). This lets us prefer calls without conversion, with + // conversion as a fallback. + std::vector second_pass; + + // However, if there are no overloads, we can just skip the no-convert pass entirely + const bool overloaded = it != nullptr && it->next != nullptr; + + for (; it != nullptr; it = it->next) { + + /* For each overload: + 1. Copy all positional arguments we were given, also checking to make sure that + named positional arguments weren't *also* specified via kwarg. + 2. If we weren't given enough, try to make up the omitted ones by checking + whether they were provided by a kwarg matching the `py::arg("name")` name. If + so, use it (and remove it from kwargs; if not, see if the function binding + provided a default that we can use. + 3. Ensure that either all keyword arguments were "consumed", or that the function + takes a kwargs argument to accept unconsumed kwargs. + 4. Any positional arguments still left get put into a tuple (for args), and any + leftover kwargs get put into a dict. + 5. Pack everything into a vector; if we have py::args or py::kwargs, they are an + extra tuple or dict at the end of the positional arguments. + 6. Call the function call dispatcher (function_record::impl) + + If one of these fail, move on to the next overload and keep trying until we get a + result other than PYBIND11_TRY_NEXT_OVERLOAD. + */ + + const function_record &func = *it; + size_t pos_args = func.nargs; // Number of positional arguments that we need + if (func.has_args) --pos_args; // (but don't count py::args + if (func.has_kwargs) --pos_args; // or py::kwargs) + + if (!func.has_args && n_args_in > pos_args) + continue; // Too many arguments for this overload + + if (n_args_in < pos_args && func.args.size() < pos_args) + continue; // Not enough arguments given, and not enough defaults to fill in the blanks + + function_call call(func, parent); + + size_t args_to_copy = (std::min)(pos_args, n_args_in); // Protect std::min with parentheses + size_t args_copied = 0; + + // 0. Inject new-style `self` argument + if (func.is_new_style_constructor) { + // The `value` may have been preallocated by an old-style `__init__` + // if it was a preceding candidate for overload resolution. + if (self_value_and_holder) + self_value_and_holder.type->dealloc(self_value_and_holder); + + call.init_self = PyTuple_GET_ITEM(args_in, 0); + call.args.push_back(reinterpret_cast(&self_value_and_holder)); + call.args_convert.push_back(false); + ++args_copied; + } + + // 1. Copy any position arguments given. + bool bad_arg = false; + for (; args_copied < args_to_copy; ++args_copied) { + const argument_record *arg_rec = args_copied < func.args.size() ? &func.args[args_copied] : nullptr; + if (kwargs_in && arg_rec && arg_rec->name && PyDict_GetItemString(kwargs_in, arg_rec->name)) { + bad_arg = true; + break; + } + + handle arg(PyTuple_GET_ITEM(args_in, args_copied)); + if (arg_rec && !arg_rec->none && arg.is_none()) { + bad_arg = true; + break; + } + call.args.push_back(arg); + call.args_convert.push_back(arg_rec ? arg_rec->convert : true); + } + if (bad_arg) + continue; // Maybe it was meant for another overload (issue #688) + + // We'll need to copy this if we steal some kwargs for defaults + dict kwargs = reinterpret_borrow(kwargs_in); + + // 2. Check kwargs and, failing that, defaults that may help complete the list + if (args_copied < pos_args) { + bool copied_kwargs = false; + + for (; args_copied < pos_args; ++args_copied) { + const auto &arg = func.args[args_copied]; + + handle value; + if (kwargs_in && arg.name) + value = PyDict_GetItemString(kwargs.ptr(), arg.name); + + if (value) { + // Consume a kwargs value + if (!copied_kwargs) { + kwargs = reinterpret_steal(PyDict_Copy(kwargs.ptr())); + copied_kwargs = true; + } + PyDict_DelItemString(kwargs.ptr(), arg.name); + } else if (arg.value) { + value = arg.value; + } + + if (value) { + call.args.push_back(value); + call.args_convert.push_back(arg.convert); + } + else + break; + } + + if (args_copied < pos_args) + continue; // Not enough arguments, defaults, or kwargs to fill the positional arguments + } + + // 3. Check everything was consumed (unless we have a kwargs arg) + if (kwargs && kwargs.size() > 0 && !func.has_kwargs) + continue; // Unconsumed kwargs, but no py::kwargs argument to accept them + + // 4a. If we have a py::args argument, create a new tuple with leftovers + if (func.has_args) { + tuple extra_args; + if (args_to_copy == 0) { + // We didn't copy out any position arguments from the args_in tuple, so we + // can reuse it directly without copying: + extra_args = reinterpret_borrow(args_in); + } else if (args_copied >= n_args_in) { + extra_args = tuple(0); + } else { + size_t args_size = n_args_in - args_copied; + extra_args = tuple(args_size); + for (size_t i = 0; i < args_size; ++i) { + extra_args[i] = PyTuple_GET_ITEM(args_in, args_copied + i); + } + } + call.args.push_back(extra_args); + call.args_convert.push_back(false); + call.args_ref = std::move(extra_args); + } + + // 4b. If we have a py::kwargs, pass on any remaining kwargs + if (func.has_kwargs) { + if (!kwargs.ptr()) + kwargs = dict(); // If we didn't get one, send an empty one + call.args.push_back(kwargs); + call.args_convert.push_back(false); + call.kwargs_ref = std::move(kwargs); + } + + // 5. Put everything in a vector. Not technically step 5, we've been building it + // in `call.args` all along. + #if !defined(NDEBUG) + if (call.args.size() != func.nargs || call.args_convert.size() != func.nargs) + pybind11_fail("Internal error: function call dispatcher inserted wrong number of arguments!"); + #endif + + std::vector second_pass_convert; + if (overloaded) { + // We're in the first no-convert pass, so swap out the conversion flags for a + // set of all-false flags. If the call fails, we'll swap the flags back in for + // the conversion-allowed call below. + second_pass_convert.resize(func.nargs, false); + call.args_convert.swap(second_pass_convert); + } + + // 6. Call the function. + try { + loader_life_support guard{}; + result = func.impl(call); + } catch (reference_cast_error &) { + result = PYBIND11_TRY_NEXT_OVERLOAD; + } + + if (result.ptr() != PYBIND11_TRY_NEXT_OVERLOAD) + break; + + if (overloaded) { + // The (overloaded) call failed; if the call has at least one argument that + // permits conversion (i.e. it hasn't been explicitly specified `.noconvert()`) + // then add this call to the list of second pass overloads to try. + for (size_t i = func.is_method ? 1 : 0; i < pos_args; i++) { + if (second_pass_convert[i]) { + // Found one: swap the converting flags back in and store the call for + // the second pass. + call.args_convert.swap(second_pass_convert); + second_pass.push_back(std::move(call)); + break; + } + } + } + } + + if (overloaded && !second_pass.empty() && result.ptr() == PYBIND11_TRY_NEXT_OVERLOAD) { + // The no-conversion pass finished without success, try again with conversion allowed + for (auto &call : second_pass) { + try { + loader_life_support guard{}; + result = call.func.impl(call); + } catch (reference_cast_error &) { + result = PYBIND11_TRY_NEXT_OVERLOAD; + } + + if (result.ptr() != PYBIND11_TRY_NEXT_OVERLOAD) { + // The error reporting logic below expects 'it' to be valid, as it would be + // if we'd encountered this failure in the first-pass loop. + if (!result) + it = &call.func; + break; + } + } + } + } catch (error_already_set &e) { + e.restore(); + return nullptr; +#if defined(__GNUG__) && !defined(__clang__) + } catch ( abi::__forced_unwind& ) { + throw; +#endif + } catch (...) { + /* When an exception is caught, give each registered exception + translator a chance to translate it to a Python exception + in reverse order of registration. + + A translator may choose to do one of the following: + + - catch the exception and call PyErr_SetString or PyErr_SetObject + to set a standard (or custom) Python exception, or + - do nothing and let the exception fall through to the next translator, or + - delegate translation to the next translator by throwing a new type of exception. */ + + auto last_exception = std::current_exception(); + auto ®istered_exception_translators = get_internals().registered_exception_translators; + for (auto& translator : registered_exception_translators) { + try { + translator(last_exception); + } catch (...) { + last_exception = std::current_exception(); + continue; + } + return nullptr; + } + PyErr_SetString(PyExc_SystemError, "Exception escaped from default exception translator!"); + return nullptr; + } + + auto append_note_if_missing_header_is_suspected = [](std::string &msg) { + if (msg.find("std::") != std::string::npos) { + msg += "\n\n" + "Did you forget to `#include `? Or ,\n" + ", , etc. Some automatic\n" + "conversions are optional and require extra headers to be included\n" + "when compiling your pybind11 module."; + } + }; + + if (result.ptr() == PYBIND11_TRY_NEXT_OVERLOAD) { + if (overloads->is_operator) + return handle(Py_NotImplemented).inc_ref().ptr(); + + std::string msg = std::string(overloads->name) + "(): incompatible " + + std::string(overloads->is_constructor ? "constructor" : "function") + + " arguments. The following argument types are supported:\n"; + + int ctr = 0; + for (const function_record *it2 = overloads; it2 != nullptr; it2 = it2->next) { + msg += " "+ std::to_string(++ctr) + ". "; + + bool wrote_sig = false; + if (overloads->is_constructor) { + // For a constructor, rewrite `(self: Object, arg0, ...) -> NoneType` as `Object(arg0, ...)` + std::string sig = it2->signature; + size_t start = sig.find('(') + 7; // skip "(self: " + if (start < sig.size()) { + // End at the , for the next argument + size_t end = sig.find(", "), next = end + 2; + size_t ret = sig.rfind(" -> "); + // Or the ), if there is no comma: + if (end >= sig.size()) next = end = sig.find(')'); + if (start < end && next < sig.size()) { + msg.append(sig, start, end - start); + msg += '('; + msg.append(sig, next, ret - next); + wrote_sig = true; + } + } + } + if (!wrote_sig) msg += it2->signature; + + msg += "\n"; + } + msg += "\nInvoked with: "; + auto args_ = reinterpret_borrow(args_in); + bool some_args = false; + for (size_t ti = overloads->is_constructor ? 1 : 0; ti < args_.size(); ++ti) { + if (!some_args) some_args = true; + else msg += ", "; + msg += pybind11::repr(args_[ti]); + } + if (kwargs_in) { + auto kwargs = reinterpret_borrow(kwargs_in); + if (kwargs.size() > 0) { + if (some_args) msg += "; "; + msg += "kwargs: "; + bool first = true; + for (auto kwarg : kwargs) { + if (first) first = false; + else msg += ", "; + msg += pybind11::str("{}={!r}").format(kwarg.first, kwarg.second); + } + } + } + + append_note_if_missing_header_is_suspected(msg); + PyErr_SetString(PyExc_TypeError, msg.c_str()); + return nullptr; + } else if (!result) { + std::string msg = "Unable to convert function return value to a " + "Python type! The signature was\n\t"; + msg += it->signature; + append_note_if_missing_header_is_suspected(msg); + PyErr_SetString(PyExc_TypeError, msg.c_str()); + return nullptr; + } else { + if (overloads->is_constructor && !self_value_and_holder.holder_constructed()) { + auto *pi = reinterpret_cast(parent.ptr()); + self_value_and_holder.type->init_instance(pi, nullptr); + } + return result.ptr(); + } + } +}; + +/// Wrapper for Python extension modules +class module : public object { +public: + PYBIND11_OBJECT_DEFAULT(module, object, PyModule_Check) + + /// Create a new top-level Python module with the given name and docstring + explicit module(const char *name, const char *doc = nullptr) { + if (!options::show_user_defined_docstrings()) doc = nullptr; +#if PY_MAJOR_VERSION >= 3 + PyModuleDef *def = new PyModuleDef(); + std::memset(def, 0, sizeof(PyModuleDef)); + def->m_name = name; + def->m_doc = doc; + def->m_size = -1; + Py_INCREF(def); + m_ptr = PyModule_Create(def); +#else + m_ptr = Py_InitModule3(name, nullptr, doc); +#endif + if (m_ptr == nullptr) + pybind11_fail("Internal error in module::module()"); + inc_ref(); + } + + /** \rst + Create Python binding for a new function within the module scope. ``Func`` + can be a plain C++ function, a function pointer, or a lambda function. For + details on the ``Extra&& ... extra`` argument, see section :ref:`extras`. + \endrst */ + template + module &def(const char *name_, Func &&f, const Extra& ... extra) { + cpp_function func(std::forward(f), name(name_), scope(*this), + sibling(getattr(*this, name_, none())), extra...); + // NB: allow overwriting here because cpp_function sets up a chain with the intention of + // overwriting (and has already checked internally that it isn't overwriting non-functions). + add_object(name_, func, true /* overwrite */); + return *this; + } + + /** \rst + Create and return a new Python submodule with the given name and docstring. + This also works recursively, i.e. + + .. code-block:: cpp + + py::module m("example", "pybind11 example plugin"); + py::module m2 = m.def_submodule("sub", "A submodule of 'example'"); + py::module m3 = m2.def_submodule("subsub", "A submodule of 'example.sub'"); + \endrst */ + module def_submodule(const char *name, const char *doc = nullptr) { + std::string full_name = std::string(PyModule_GetName(m_ptr)) + + std::string(".") + std::string(name); + auto result = reinterpret_borrow(PyImport_AddModule(full_name.c_str())); + if (doc && options::show_user_defined_docstrings()) + result.attr("__doc__") = pybind11::str(doc); + attr(name) = result; + return result; + } + + /// Import and return a module or throws `error_already_set`. + static module import(const char *name) { + PyObject *obj = PyImport_ImportModule(name); + if (!obj) + throw error_already_set(); + return reinterpret_steal(obj); + } + + /// Reload the module or throws `error_already_set`. + void reload() { + PyObject *obj = PyImport_ReloadModule(ptr()); + if (!obj) + throw error_already_set(); + *this = reinterpret_steal(obj); + } + + // Adds an object to the module using the given name. Throws if an object with the given name + // already exists. + // + // overwrite should almost always be false: attempting to overwrite objects that pybind11 has + // established will, in most cases, break things. + PYBIND11_NOINLINE void add_object(const char *name, handle obj, bool overwrite = false) { + if (!overwrite && hasattr(*this, name)) + pybind11_fail("Error during initialization: multiple incompatible definitions with name \"" + + std::string(name) + "\""); + + PyModule_AddObject(ptr(), name, obj.inc_ref().ptr() /* steals a reference */); + } +}; + +/// \ingroup python_builtins +/// Return a dictionary representing the global variables in the current execution frame, +/// or ``__main__.__dict__`` if there is no frame (usually when the interpreter is embedded). +inline dict globals() { + PyObject *p = PyEval_GetGlobals(); + return reinterpret_borrow(p ? p : module::import("__main__").attr("__dict__").ptr()); +} + +NAMESPACE_BEGIN(detail) +/// Generic support for creating new Python heap types +class generic_type : public object { + template friend class class_; +public: + PYBIND11_OBJECT_DEFAULT(generic_type, object, PyType_Check) +protected: + void initialize(const type_record &rec) { + if (rec.scope && hasattr(rec.scope, rec.name)) + pybind11_fail("generic_type: cannot initialize type \"" + std::string(rec.name) + + "\": an object with that name is already defined"); + + if (rec.module_local ? get_local_type_info(*rec.type) : get_global_type_info(*rec.type)) + pybind11_fail("generic_type: type \"" + std::string(rec.name) + + "\" is already registered!"); + + m_ptr = make_new_python_type(rec); + + /* Register supplemental type information in C++ dict */ + auto *tinfo = new detail::type_info(); + tinfo->type = (PyTypeObject *) m_ptr; + tinfo->cpptype = rec.type; + tinfo->type_size = rec.type_size; + tinfo->type_align = rec.type_align; + tinfo->operator_new = rec.operator_new; + tinfo->holder_size_in_ptrs = size_in_ptrs(rec.holder_size); + tinfo->init_instance = rec.init_instance; + tinfo->dealloc = rec.dealloc; + tinfo->simple_type = true; + tinfo->simple_ancestors = true; + tinfo->default_holder = rec.default_holder; + tinfo->module_local = rec.module_local; + + auto &internals = get_internals(); + auto tindex = std::type_index(*rec.type); + tinfo->direct_conversions = &internals.direct_conversions[tindex]; + if (rec.module_local) + registered_local_types_cpp()[tindex] = tinfo; + else + internals.registered_types_cpp[tindex] = tinfo; + internals.registered_types_py[(PyTypeObject *) m_ptr] = { tinfo }; + + if (rec.bases.size() > 1 || rec.multiple_inheritance) { + mark_parents_nonsimple(tinfo->type); + tinfo->simple_ancestors = false; + } + else if (rec.bases.size() == 1) { + auto parent_tinfo = get_type_info((PyTypeObject *) rec.bases[0].ptr()); + tinfo->simple_ancestors = parent_tinfo->simple_ancestors; + } + + if (rec.module_local) { + // Stash the local typeinfo and loader so that external modules can access it. + tinfo->module_local_load = &type_caster_generic::local_load; + setattr(m_ptr, PYBIND11_MODULE_LOCAL_ID, capsule(tinfo)); + } + } + + /// Helper function which tags all parents of a type using mult. inheritance + void mark_parents_nonsimple(PyTypeObject *value) { + auto t = reinterpret_borrow(value->tp_bases); + for (handle h : t) { + auto tinfo2 = get_type_info((PyTypeObject *) h.ptr()); + if (tinfo2) + tinfo2->simple_type = false; + mark_parents_nonsimple((PyTypeObject *) h.ptr()); + } + } + + void install_buffer_funcs( + buffer_info *(*get_buffer)(PyObject *, void *), + void *get_buffer_data) { + PyHeapTypeObject *type = (PyHeapTypeObject*) m_ptr; + auto tinfo = detail::get_type_info(&type->ht_type); + + if (!type->ht_type.tp_as_buffer) + pybind11_fail( + "To be able to register buffer protocol support for the type '" + + std::string(tinfo->type->tp_name) + + "' the associated class<>(..) invocation must " + "include the pybind11::buffer_protocol() annotation!"); + + tinfo->get_buffer = get_buffer; + tinfo->get_buffer_data = get_buffer_data; + } + + // rec_func must be set for either fget or fset. + void def_property_static_impl(const char *name, + handle fget, handle fset, + detail::function_record *rec_func) { + const auto is_static = rec_func && !(rec_func->is_method && rec_func->scope); + const auto has_doc = rec_func && rec_func->doc && pybind11::options::show_user_defined_docstrings(); + auto property = handle((PyObject *) (is_static ? get_internals().static_property_type + : &PyProperty_Type)); + attr(name) = property(fget.ptr() ? fget : none(), + fset.ptr() ? fset : none(), + /*deleter*/none(), + pybind11::str(has_doc ? rec_func->doc : "")); + } +}; + +/// Set the pointer to operator new if it exists. The cast is needed because it can be overloaded. +template (T::operator new))>> +void set_operator_new(type_record *r) { r->operator_new = &T::operator new; } + +template void set_operator_new(...) { } + +template struct has_operator_delete : std::false_type { }; +template struct has_operator_delete(T::operator delete))>> + : std::true_type { }; +template struct has_operator_delete_size : std::false_type { }; +template struct has_operator_delete_size(T::operator delete))>> + : std::true_type { }; +/// Call class-specific delete if it exists or global otherwise. Can also be an overload set. +template ::value, int> = 0> +void call_operator_delete(T *p, size_t, size_t) { T::operator delete(p); } +template ::value && has_operator_delete_size::value, int> = 0> +void call_operator_delete(T *p, size_t s, size_t) { T::operator delete(p, s); } + +inline void call_operator_delete(void *p, size_t s, size_t a) { + (void)s; (void)a; +#if defined(PYBIND11_CPP17) + if (a > __STDCPP_DEFAULT_NEW_ALIGNMENT__) + ::operator delete(p, s, std::align_val_t(a)); + else + ::operator delete(p, s); +#else + ::operator delete(p); +#endif +} + +NAMESPACE_END(detail) + +/// Given a pointer to a member function, cast it to its `Derived` version. +/// Forward everything else unchanged. +template +auto method_adaptor(F &&f) -> decltype(std::forward(f)) { return std::forward(f); } + +template +auto method_adaptor(Return (Class::*pmf)(Args...)) -> Return (Derived::*)(Args...) { + static_assert(detail::is_accessible_base_of::value, + "Cannot bind an inaccessible base class method; use a lambda definition instead"); + return pmf; +} + +template +auto method_adaptor(Return (Class::*pmf)(Args...) const) -> Return (Derived::*)(Args...) const { + static_assert(detail::is_accessible_base_of::value, + "Cannot bind an inaccessible base class method; use a lambda definition instead"); + return pmf; +} + +template +class class_ : public detail::generic_type { + template using is_holder = detail::is_holder_type; + template using is_subtype = detail::is_strict_base_of; + template using is_base = detail::is_strict_base_of; + // struct instead of using here to help MSVC: + template struct is_valid_class_option : + detail::any_of, is_subtype, is_base> {}; + +public: + using type = type_; + using type_alias = detail::exactly_one_t; + constexpr static bool has_alias = !std::is_void::value; + using holder_type = detail::exactly_one_t, options...>; + + static_assert(detail::all_of...>::value, + "Unknown/invalid class_ template parameters provided"); + + static_assert(!has_alias || std::is_polymorphic::value, + "Cannot use an alias class with a non-polymorphic type"); + + PYBIND11_OBJECT(class_, generic_type, PyType_Check) + + template + class_(handle scope, const char *name, const Extra &... extra) { + using namespace detail; + + // MI can only be specified via class_ template options, not constructor parameters + static_assert( + none_of...>::value || // no base class arguments, or: + ( constexpr_sum(is_pyobject::value...) == 1 && // Exactly one base + constexpr_sum(is_base::value...) == 0 && // no template option bases + none_of...>::value), // no multiple_inheritance attr + "Error: multiple inheritance bases must be specified via class_ template options"); + + type_record record; + record.scope = scope; + record.name = name; + record.type = &typeid(type); + record.type_size = sizeof(conditional_t); + record.type_align = alignof(conditional_t&); + record.holder_size = sizeof(holder_type); + record.init_instance = init_instance; + record.dealloc = dealloc; + record.default_holder = detail::is_instantiation::value; + + set_operator_new(&record); + + /* Register base classes specified via template arguments to class_, if any */ + PYBIND11_EXPAND_SIDE_EFFECTS(add_base(record)); + + /* Process optional arguments, if any */ + process_attributes::init(extra..., &record); + + generic_type::initialize(record); + + if (has_alias) { + auto &instances = record.module_local ? registered_local_types_cpp() : get_internals().registered_types_cpp; + instances[std::type_index(typeid(type_alias))] = instances[std::type_index(typeid(type))]; + } + } + + template ::value, int> = 0> + static void add_base(detail::type_record &rec) { + rec.add_base(typeid(Base), [](void *src) -> void * { + return static_cast(reinterpret_cast(src)); + }); + } + + template ::value, int> = 0> + static void add_base(detail::type_record &) { } + + template + class_ &def(const char *name_, Func&& f, const Extra&... extra) { + cpp_function cf(method_adaptor(std::forward(f)), name(name_), is_method(*this), + sibling(getattr(*this, name_, none())), extra...); + attr(cf.name()) = cf; + return *this; + } + + template class_ & + def_static(const char *name_, Func &&f, const Extra&... extra) { + static_assert(!std::is_member_function_pointer::value, + "def_static(...) called with a non-static member function pointer"); + cpp_function cf(std::forward(f), name(name_), scope(*this), + sibling(getattr(*this, name_, none())), extra...); + attr(cf.name()) = staticmethod(cf); + return *this; + } + + template + class_ &def(const detail::op_ &op, const Extra&... extra) { + op.execute(*this, extra...); + return *this; + } + + template + class_ & def_cast(const detail::op_ &op, const Extra&... extra) { + op.execute_cast(*this, extra...); + return *this; + } + + template + class_ &def(const detail::initimpl::constructor &init, const Extra&... extra) { + init.execute(*this, extra...); + return *this; + } + + template + class_ &def(const detail::initimpl::alias_constructor &init, const Extra&... extra) { + init.execute(*this, extra...); + return *this; + } + + template + class_ &def(detail::initimpl::factory &&init, const Extra&... extra) { + std::move(init).execute(*this, extra...); + return *this; + } + + template + class_ &def(detail::initimpl::pickle_factory &&pf, const Extra &...extra) { + std::move(pf).execute(*this, extra...); + return *this; + } + + template class_& def_buffer(Func &&func) { + struct capture { Func func; }; + capture *ptr = new capture { std::forward(func) }; + install_buffer_funcs([](PyObject *obj, void *ptr) -> buffer_info* { + detail::make_caster caster; + if (!caster.load(obj, false)) + return nullptr; + return new buffer_info(((capture *) ptr)->func(caster)); + }, ptr); + return *this; + } + + template + class_ &def_buffer(Return (Class::*func)(Args...)) { + return def_buffer([func] (type &obj) { return (obj.*func)(); }); + } + + template + class_ &def_buffer(Return (Class::*func)(Args...) const) { + return def_buffer([func] (const type &obj) { return (obj.*func)(); }); + } + + template + class_ &def_readwrite(const char *name, D C::*pm, const Extra&... extra) { + static_assert(std::is_same::value || std::is_base_of::value, "def_readwrite() requires a class member (or base class member)"); + cpp_function fget([pm](const type &c) -> const D &{ return c.*pm; }, is_method(*this)), + fset([pm](type &c, const D &value) { c.*pm = value; }, is_method(*this)); + def_property(name, fget, fset, return_value_policy::reference_internal, extra...); + return *this; + } + + template + class_ &def_readonly(const char *name, const D C::*pm, const Extra& ...extra) { + static_assert(std::is_same::value || std::is_base_of::value, "def_readonly() requires a class member (or base class member)"); + cpp_function fget([pm](const type &c) -> const D &{ return c.*pm; }, is_method(*this)); + def_property_readonly(name, fget, return_value_policy::reference_internal, extra...); + return *this; + } + + template + class_ &def_readwrite_static(const char *name, D *pm, const Extra& ...extra) { + cpp_function fget([pm](object) -> const D &{ return *pm; }, scope(*this)), + fset([pm](object, const D &value) { *pm = value; }, scope(*this)); + def_property_static(name, fget, fset, return_value_policy::reference, extra...); + return *this; + } + + template + class_ &def_readonly_static(const char *name, const D *pm, const Extra& ...extra) { + cpp_function fget([pm](object) -> const D &{ return *pm; }, scope(*this)); + def_property_readonly_static(name, fget, return_value_policy::reference, extra...); + return *this; + } + + /// Uses return_value_policy::reference_internal by default + template + class_ &def_property_readonly(const char *name, const Getter &fget, const Extra& ...extra) { + return def_property_readonly(name, cpp_function(method_adaptor(fget)), + return_value_policy::reference_internal, extra...); + } + + /// Uses cpp_function's return_value_policy by default + template + class_ &def_property_readonly(const char *name, const cpp_function &fget, const Extra& ...extra) { + return def_property(name, fget, nullptr, extra...); + } + + /// Uses return_value_policy::reference by default + template + class_ &def_property_readonly_static(const char *name, const Getter &fget, const Extra& ...extra) { + return def_property_readonly_static(name, cpp_function(fget), return_value_policy::reference, extra...); + } + + /// Uses cpp_function's return_value_policy by default + template + class_ &def_property_readonly_static(const char *name, const cpp_function &fget, const Extra& ...extra) { + return def_property_static(name, fget, nullptr, extra...); + } + + /// Uses return_value_policy::reference_internal by default + template + class_ &def_property(const char *name, const Getter &fget, const Setter &fset, const Extra& ...extra) { + return def_property(name, fget, cpp_function(method_adaptor(fset)), extra...); + } + template + class_ &def_property(const char *name, const Getter &fget, const cpp_function &fset, const Extra& ...extra) { + return def_property(name, cpp_function(method_adaptor(fget)), fset, + return_value_policy::reference_internal, extra...); + } + + /// Uses cpp_function's return_value_policy by default + template + class_ &def_property(const char *name, const cpp_function &fget, const cpp_function &fset, const Extra& ...extra) { + return def_property_static(name, fget, fset, is_method(*this), extra...); + } + + /// Uses return_value_policy::reference by default + template + class_ &def_property_static(const char *name, const Getter &fget, const cpp_function &fset, const Extra& ...extra) { + return def_property_static(name, cpp_function(fget), fset, return_value_policy::reference, extra...); + } + + /// Uses cpp_function's return_value_policy by default + template + class_ &def_property_static(const char *name, const cpp_function &fget, const cpp_function &fset, const Extra& ...extra) { + static_assert( 0 == detail::constexpr_sum(std::is_base_of::value...), + "Argument annotations are not allowed for properties"); + auto rec_fget = get_function_record(fget), rec_fset = get_function_record(fset); + auto *rec_active = rec_fget; + if (rec_fget) { + char *doc_prev = rec_fget->doc; /* 'extra' field may include a property-specific documentation string */ + detail::process_attributes::init(extra..., rec_fget); + if (rec_fget->doc && rec_fget->doc != doc_prev) { + free(doc_prev); + rec_fget->doc = strdup(rec_fget->doc); + } + } + if (rec_fset) { + char *doc_prev = rec_fset->doc; + detail::process_attributes::init(extra..., rec_fset); + if (rec_fset->doc && rec_fset->doc != doc_prev) { + free(doc_prev); + rec_fset->doc = strdup(rec_fset->doc); + } + if (! rec_active) rec_active = rec_fset; + } + def_property_static_impl(name, fget, fset, rec_active); + return *this; + } + +private: + /// Initialize holder object, variant 1: object derives from enable_shared_from_this + template + static void init_holder(detail::instance *inst, detail::value_and_holder &v_h, + const holder_type * /* unused */, const std::enable_shared_from_this * /* dummy */) { + try { + auto sh = std::dynamic_pointer_cast( + v_h.value_ptr()->shared_from_this()); + if (sh) { + new (std::addressof(v_h.holder())) holder_type(std::move(sh)); + v_h.set_holder_constructed(); + } + } catch (const std::bad_weak_ptr &) {} + + if (!v_h.holder_constructed() && inst->owned) { + new (std::addressof(v_h.holder())) holder_type(v_h.value_ptr()); + v_h.set_holder_constructed(); + } + } + + static void init_holder_from_existing(const detail::value_and_holder &v_h, + const holder_type *holder_ptr, std::true_type /*is_copy_constructible*/) { + new (std::addressof(v_h.holder())) holder_type(*reinterpret_cast(holder_ptr)); + } + + static void init_holder_from_existing(const detail::value_and_holder &v_h, + const holder_type *holder_ptr, std::false_type /*is_copy_constructible*/) { + new (std::addressof(v_h.holder())) holder_type(std::move(*const_cast(holder_ptr))); + } + + /// Initialize holder object, variant 2: try to construct from existing holder object, if possible + static void init_holder(detail::instance *inst, detail::value_and_holder &v_h, + const holder_type *holder_ptr, const void * /* dummy -- not enable_shared_from_this) */) { + if (holder_ptr) { + init_holder_from_existing(v_h, holder_ptr, std::is_copy_constructible()); + v_h.set_holder_constructed(); + } else if (inst->owned || detail::always_construct_holder::value) { + new (std::addressof(v_h.holder())) holder_type(v_h.value_ptr()); + v_h.set_holder_constructed(); + } + } + + /// Performs instance initialization including constructing a holder and registering the known + /// instance. Should be called as soon as the `type` value_ptr is set for an instance. Takes an + /// optional pointer to an existing holder to use; if not specified and the instance is + /// `.owned`, a new holder will be constructed to manage the value pointer. + static void init_instance(detail::instance *inst, const void *holder_ptr) { + auto v_h = inst->get_value_and_holder(detail::get_type_info(typeid(type))); + if (!v_h.instance_registered()) { + register_instance(inst, v_h.value_ptr(), v_h.type); + v_h.set_instance_registered(); + } + init_holder(inst, v_h, (const holder_type *) holder_ptr, v_h.value_ptr()); + } + + /// Deallocates an instance; via holder, if constructed; otherwise via operator delete. + static void dealloc(detail::value_and_holder &v_h) { + if (v_h.holder_constructed()) { + v_h.holder().~holder_type(); + v_h.set_holder_constructed(false); + } + else { + detail::call_operator_delete(v_h.value_ptr(), + v_h.type->type_size, + v_h.type->type_align + ); + } + v_h.value_ptr() = nullptr; + } + + static detail::function_record *get_function_record(handle h) { + h = detail::get_function(h); + return h ? (detail::function_record *) reinterpret_borrow(PyCFunction_GET_SELF(h.ptr())) + : nullptr; + } +}; + +/// Binds an existing constructor taking arguments Args... +template detail::initimpl::constructor init() { return {}; } +/// Like `init()`, but the instance is always constructed through the alias class (even +/// when not inheriting on the Python side). +template detail::initimpl::alias_constructor init_alias() { return {}; } + +/// Binds a factory function as a constructor +template > +Ret init(Func &&f) { return {std::forward(f)}; } + +/// Dual-argument factory function: the first function is called when no alias is needed, the second +/// when an alias is needed (i.e. due to python-side inheritance). Arguments must be identical. +template > +Ret init(CFunc &&c, AFunc &&a) { + return {std::forward(c), std::forward(a)}; +} + +/// Binds pickling functions `__getstate__` and `__setstate__` and ensures that the type +/// returned by `__getstate__` is the same as the argument accepted by `__setstate__`. +template +detail::initimpl::pickle_factory pickle(GetState &&g, SetState &&s) { + return {std::forward(g), std::forward(s)}; +} + +NAMESPACE_BEGIN(detail) +struct enum_base { + enum_base(handle base, handle parent) : m_base(base), m_parent(parent) { } + + PYBIND11_NOINLINE void init(bool is_arithmetic, bool is_convertible) { + m_base.attr("__entries") = dict(); + auto property = handle((PyObject *) &PyProperty_Type); + auto static_property = handle((PyObject *) get_internals().static_property_type); + + m_base.attr("__repr__") = cpp_function( + [](handle arg) -> str { + handle type = arg.get_type(); + object type_name = type.attr("__name__"); + dict entries = type.attr("__entries"); + for (const auto &kv : entries) { + object other = kv.second[int_(0)]; + if (other.equal(arg)) + return pybind11::str("{}.{}").format(type_name, kv.first); + } + return pybind11::str("{}.???").format(type_name); + }, is_method(m_base) + ); + + m_base.attr("name") = property(cpp_function( + [](handle arg) -> str { + dict entries = arg.get_type().attr("__entries"); + for (const auto &kv : entries) { + if (handle(kv.second[int_(0)]).equal(arg)) + return pybind11::str(kv.first); + } + return "???"; + }, is_method(m_base) + )); + + m_base.attr("__doc__") = static_property(cpp_function( + [](handle arg) -> std::string { + std::string docstring; + dict entries = arg.attr("__entries"); + if (((PyTypeObject *) arg.ptr())->tp_doc) + docstring += std::string(((PyTypeObject *) arg.ptr())->tp_doc) + "\n\n"; + docstring += "Members:"; + for (const auto &kv : entries) { + auto key = std::string(pybind11::str(kv.first)); + auto comment = kv.second[int_(1)]; + docstring += "\n\n " + key; + if (!comment.is_none()) + docstring += " : " + (std::string) pybind11::str(comment); + } + return docstring; + } + ), none(), none(), ""); + + m_base.attr("__members__") = static_property(cpp_function( + [](handle arg) -> dict { + dict entries = arg.attr("__entries"), m; + for (const auto &kv : entries) + m[kv.first] = kv.second[int_(0)]; + return m; + }), none(), none(), "" + ); + + #define PYBIND11_ENUM_OP_STRICT(op, expr, strict_behavior) \ + m_base.attr(op) = cpp_function( \ + [](object a, object b) { \ + if (!a.get_type().is(b.get_type())) \ + strict_behavior; \ + return expr; \ + }, \ + is_method(m_base)) + + #define PYBIND11_ENUM_OP_CONV(op, expr) \ + m_base.attr(op) = cpp_function( \ + [](object a_, object b_) { \ + int_ a(a_), b(b_); \ + return expr; \ + }, \ + is_method(m_base)) + + #define PYBIND11_ENUM_OP_CONV_LHS(op, expr) \ + m_base.attr(op) = cpp_function( \ + [](object a_, object b) { \ + int_ a(a_); \ + return expr; \ + }, \ + is_method(m_base)) + + if (is_convertible) { + PYBIND11_ENUM_OP_CONV_LHS("__eq__", !b.is_none() && a.equal(b)); + PYBIND11_ENUM_OP_CONV_LHS("__ne__", b.is_none() || !a.equal(b)); + + if (is_arithmetic) { + PYBIND11_ENUM_OP_CONV("__lt__", a < b); + PYBIND11_ENUM_OP_CONV("__gt__", a > b); + PYBIND11_ENUM_OP_CONV("__le__", a <= b); + PYBIND11_ENUM_OP_CONV("__ge__", a >= b); + PYBIND11_ENUM_OP_CONV("__and__", a & b); + PYBIND11_ENUM_OP_CONV("__rand__", a & b); + PYBIND11_ENUM_OP_CONV("__or__", a | b); + PYBIND11_ENUM_OP_CONV("__ror__", a | b); + PYBIND11_ENUM_OP_CONV("__xor__", a ^ b); + PYBIND11_ENUM_OP_CONV("__rxor__", a ^ b); + m_base.attr("__invert__") = cpp_function( + [](object arg) { return ~(int_(arg)); }, is_method(m_base)); + } + } else { + PYBIND11_ENUM_OP_STRICT("__eq__", int_(a).equal(int_(b)), return false); + PYBIND11_ENUM_OP_STRICT("__ne__", !int_(a).equal(int_(b)), return true); + + if (is_arithmetic) { + #define PYBIND11_THROW throw type_error("Expected an enumeration of matching type!"); + PYBIND11_ENUM_OP_STRICT("__lt__", int_(a) < int_(b), PYBIND11_THROW); + PYBIND11_ENUM_OP_STRICT("__gt__", int_(a) > int_(b), PYBIND11_THROW); + PYBIND11_ENUM_OP_STRICT("__le__", int_(a) <= int_(b), PYBIND11_THROW); + PYBIND11_ENUM_OP_STRICT("__ge__", int_(a) >= int_(b), PYBIND11_THROW); + #undef PYBIND11_THROW + } + } + + #undef PYBIND11_ENUM_OP_CONV_LHS + #undef PYBIND11_ENUM_OP_CONV + #undef PYBIND11_ENUM_OP_STRICT + + object getstate = cpp_function( + [](object arg) { return int_(arg); }, is_method(m_base)); + + m_base.attr("__getstate__") = getstate; + m_base.attr("__hash__") = getstate; + } + + PYBIND11_NOINLINE void value(char const* name_, object value, const char *doc = nullptr) { + dict entries = m_base.attr("__entries"); + str name(name_); + if (entries.contains(name)) { + std::string type_name = (std::string) str(m_base.attr("__name__")); + throw value_error(type_name + ": element \"" + std::string(name_) + "\" already exists!"); + } + + entries[name] = std::make_pair(value, doc); + m_base.attr(name) = value; + } + + PYBIND11_NOINLINE void export_values() { + dict entries = m_base.attr("__entries"); + for (const auto &kv : entries) + m_parent.attr(kv.first) = kv.second[int_(0)]; + } + + handle m_base; + handle m_parent; +}; + +NAMESPACE_END(detail) + +/// Binds C++ enumerations and enumeration classes to Python +template class enum_ : public class_ { +public: + using Base = class_; + using Base::def; + using Base::attr; + using Base::def_property_readonly; + using Base::def_property_readonly_static; + using Scalar = typename std::underlying_type::type; + + template + enum_(const handle &scope, const char *name, const Extra&... extra) + : class_(scope, name, extra...), m_base(*this, scope) { + constexpr bool is_arithmetic = detail::any_of...>::value; + constexpr bool is_convertible = std::is_convertible::value; + m_base.init(is_arithmetic, is_convertible); + + def(init([](Scalar i) { return static_cast(i); })); + def("__int__", [](Type value) { return (Scalar) value; }); + #if PY_MAJOR_VERSION < 3 + def("__long__", [](Type value) { return (Scalar) value; }); + #endif + #if PY_MAJOR_VERSION > 3 || (PY_MAJOR_VERSION == 3 && PY_MINOR_VERSION >= 8) + def("__index__", [](Type value) { return (Scalar) value; }); + #endif + + cpp_function setstate( + [](Type &value, Scalar arg) { value = static_cast(arg); }, + is_method(*this)); + attr("__setstate__") = setstate; + } + + /// Export enumeration entries into the parent scope + enum_& export_values() { + m_base.export_values(); + return *this; + } + + /// Add an enumeration entry + enum_& value(char const* name, Type value, const char *doc = nullptr) { + m_base.value(name, pybind11::cast(value, return_value_policy::copy), doc); + return *this; + } + +private: + detail::enum_base m_base; +}; + +NAMESPACE_BEGIN(detail) + + +inline void keep_alive_impl(handle nurse, handle patient) { + if (!nurse || !patient) + pybind11_fail("Could not activate keep_alive!"); + + if (patient.is_none() || nurse.is_none()) + return; /* Nothing to keep alive or nothing to be kept alive by */ + + auto tinfo = all_type_info(Py_TYPE(nurse.ptr())); + if (!tinfo.empty()) { + /* It's a pybind-registered type, so we can store the patient in the + * internal list. */ + add_patient(nurse.ptr(), patient.ptr()); + } + else { + /* Fall back to clever approach based on weak references taken from + * Boost.Python. This is not used for pybind-registered types because + * the objects can be destroyed out-of-order in a GC pass. */ + cpp_function disable_lifesupport( + [patient](handle weakref) { patient.dec_ref(); weakref.dec_ref(); }); + + weakref wr(nurse, disable_lifesupport); + + patient.inc_ref(); /* reference patient and leak the weak reference */ + (void) wr.release(); + } +} + +PYBIND11_NOINLINE inline void keep_alive_impl(size_t Nurse, size_t Patient, function_call &call, handle ret) { + auto get_arg = [&](size_t n) { + if (n == 0) + return ret; + else if (n == 1 && call.init_self) + return call.init_self; + else if (n <= call.args.size()) + return call.args[n - 1]; + return handle(); + }; + + keep_alive_impl(get_arg(Nurse), get_arg(Patient)); +} + +inline std::pair all_type_info_get_cache(PyTypeObject *type) { + auto res = get_internals().registered_types_py +#ifdef __cpp_lib_unordered_map_try_emplace + .try_emplace(type); +#else + .emplace(type, std::vector()); +#endif + if (res.second) { + // New cache entry created; set up a weak reference to automatically remove it if the type + // gets destroyed: + weakref((PyObject *) type, cpp_function([type](handle wr) { + get_internals().registered_types_py.erase(type); + wr.dec_ref(); + })).release(); + } + + return res; +} + +template +struct iterator_state { + Iterator it; + Sentinel end; + bool first_or_done; +}; + +NAMESPACE_END(detail) + +/// Makes a python iterator from a first and past-the-end C++ InputIterator. +template ()), + typename... Extra> +iterator make_iterator(Iterator first, Sentinel last, Extra &&... extra) { + typedef detail::iterator_state state; + + if (!detail::get_type_info(typeid(state), false)) { + class_(handle(), "iterator", pybind11::module_local()) + .def("__iter__", [](state &s) -> state& { return s; }) + .def("__next__", [](state &s) -> ValueType { + if (!s.first_or_done) + ++s.it; + else + s.first_or_done = false; + if (s.it == s.end) { + s.first_or_done = true; + throw stop_iteration(); + } + return *s.it; + }, std::forward(extra)..., Policy); + } + + return cast(state{first, last, true}); +} + +/// Makes an python iterator over the keys (`.first`) of a iterator over pairs from a +/// first and past-the-end InputIterator. +template ()).first), + typename... Extra> +iterator make_key_iterator(Iterator first, Sentinel last, Extra &&... extra) { + typedef detail::iterator_state state; + + if (!detail::get_type_info(typeid(state), false)) { + class_(handle(), "iterator", pybind11::module_local()) + .def("__iter__", [](state &s) -> state& { return s; }) + .def("__next__", [](state &s) -> KeyType { + if (!s.first_or_done) + ++s.it; + else + s.first_or_done = false; + if (s.it == s.end) { + s.first_or_done = true; + throw stop_iteration(); + } + return (*s.it).first; + }, std::forward(extra)..., Policy); + } + + return cast(state{first, last, true}); +} + +/// Makes an iterator over values of an stl container or other container supporting +/// `std::begin()`/`std::end()` +template iterator make_iterator(Type &value, Extra&&... extra) { + return make_iterator(std::begin(value), std::end(value), extra...); +} + +/// Makes an iterator over the keys (`.first`) of a stl map-like container supporting +/// `std::begin()`/`std::end()` +template iterator make_key_iterator(Type &value, Extra&&... extra) { + return make_key_iterator(std::begin(value), std::end(value), extra...); +} + +template void implicitly_convertible() { + struct set_flag { + bool &flag; + set_flag(bool &flag) : flag(flag) { flag = true; } + ~set_flag() { flag = false; } + }; + auto implicit_caster = [](PyObject *obj, PyTypeObject *type) -> PyObject * { + static bool currently_used = false; + if (currently_used) // implicit conversions are non-reentrant + return nullptr; + set_flag flag_helper(currently_used); + if (!detail::make_caster().load(obj, false)) + return nullptr; + tuple args(1); + args[0] = obj; + PyObject *result = PyObject_Call((PyObject *) type, args.ptr(), nullptr); + if (result == nullptr) + PyErr_Clear(); + return result; + }; + + if (auto tinfo = detail::get_type_info(typeid(OutputType))) + tinfo->implicit_conversions.push_back(implicit_caster); + else + pybind11_fail("implicitly_convertible: Unable to find type " + type_id()); +} + +template +void register_exception_translator(ExceptionTranslator&& translator) { + detail::get_internals().registered_exception_translators.push_front( + std::forward(translator)); +} + +/** + * Wrapper to generate a new Python exception type. + * + * This should only be used with PyErr_SetString for now. + * It is not (yet) possible to use as a py::base. + * Template type argument is reserved for future use. + */ +template +class exception : public object { +public: + exception() = default; + exception(handle scope, const char *name, PyObject *base = PyExc_Exception) { + std::string full_name = scope.attr("__name__").cast() + + std::string(".") + name; + m_ptr = PyErr_NewException(const_cast(full_name.c_str()), base, NULL); + if (hasattr(scope, name)) + pybind11_fail("Error during initialization: multiple incompatible " + "definitions with name \"" + std::string(name) + "\""); + scope.attr(name) = *this; + } + + // Sets the current python exception to this exception object with the given message + void operator()(const char *message) { + PyErr_SetString(m_ptr, message); + } +}; + +NAMESPACE_BEGIN(detail) +// Returns a reference to a function-local static exception object used in the simple +// register_exception approach below. (It would be simpler to have the static local variable +// directly in register_exception, but that makes clang <3.5 segfault - issue #1349). +template +exception &get_exception_object() { static exception ex; return ex; } +NAMESPACE_END(detail) + +/** + * Registers a Python exception in `m` of the given `name` and installs an exception translator to + * translate the C++ exception to the created Python exception using the exceptions what() method. + * This is intended for simple exception translations; for more complex translation, register the + * exception object and translator directly. + */ +template +exception ®ister_exception(handle scope, + const char *name, + PyObject *base = PyExc_Exception) { + auto &ex = detail::get_exception_object(); + if (!ex) ex = exception(scope, name, base); + + register_exception_translator([](std::exception_ptr p) { + if (!p) return; + try { + std::rethrow_exception(p); + } catch (const CppException &e) { + detail::get_exception_object()(e.what()); + } + }); + return ex; +} + +NAMESPACE_BEGIN(detail) +PYBIND11_NOINLINE inline void print(tuple args, dict kwargs) { + auto strings = tuple(args.size()); + for (size_t i = 0; i < args.size(); ++i) { + strings[i] = str(args[i]); + } + auto sep = kwargs.contains("sep") ? kwargs["sep"] : cast(" "); + auto line = sep.attr("join")(strings); + + object file; + if (kwargs.contains("file")) { + file = kwargs["file"].cast(); + } else { + try { + file = module::import("sys").attr("stdout"); + } catch (const error_already_set &) { + /* If print() is called from code that is executed as + part of garbage collection during interpreter shutdown, + importing 'sys' can fail. Give up rather than crashing the + interpreter in this case. */ + return; + } + } + + auto write = file.attr("write"); + write(line); + write(kwargs.contains("end") ? kwargs["end"] : cast("\n")); + + if (kwargs.contains("flush") && kwargs["flush"].cast()) + file.attr("flush")(); +} +NAMESPACE_END(detail) + +template +void print(Args &&...args) { + auto c = detail::collect_arguments(std::forward(args)...); + detail::print(c.args(), c.kwargs()); +} + +#if defined(WITH_THREAD) && !defined(PYPY_VERSION) + +/* The functions below essentially reproduce the PyGILState_* API using a RAII + * pattern, but there are a few important differences: + * + * 1. When acquiring the GIL from an non-main thread during the finalization + * phase, the GILState API blindly terminates the calling thread, which + * is often not what is wanted. This API does not do this. + * + * 2. The gil_scoped_release function can optionally cut the relationship + * of a PyThreadState and its associated thread, which allows moving it to + * another thread (this is a fairly rare/advanced use case). + * + * 3. The reference count of an acquired thread state can be controlled. This + * can be handy to prevent cases where callbacks issued from an external + * thread would otherwise constantly construct and destroy thread state data + * structures. + * + * See the Python bindings of NanoGUI (http://github.com/wjakob/nanogui) for an + * example which uses features 2 and 3 to migrate the Python thread of + * execution to another thread (to run the event loop on the original thread, + * in this case). + */ + +class gil_scoped_acquire { +public: + PYBIND11_NOINLINE gil_scoped_acquire() { + auto const &internals = detail::get_internals(); + tstate = (PyThreadState *) PYBIND11_TLS_GET_VALUE(internals.tstate); + + if (!tstate) { + /* Check if the GIL was acquired using the PyGILState_* API instead (e.g. if + calling from a Python thread). Since we use a different key, this ensures + we don't create a new thread state and deadlock in PyEval_AcquireThread + below. Note we don't save this state with internals.tstate, since we don't + create it we would fail to clear it (its reference count should be > 0). */ + tstate = PyGILState_GetThisThreadState(); + } + + if (!tstate) { + tstate = PyThreadState_New(internals.istate); + #if !defined(NDEBUG) + if (!tstate) + pybind11_fail("scoped_acquire: could not create thread state!"); + #endif + tstate->gilstate_counter = 0; + PYBIND11_TLS_REPLACE_VALUE(internals.tstate, tstate); + } else { + release = detail::get_thread_state_unchecked() != tstate; + } + + if (release) { + /* Work around an annoying assertion in PyThreadState_Swap */ + #if defined(Py_DEBUG) + PyInterpreterState *interp = tstate->interp; + tstate->interp = nullptr; + #endif + PyEval_AcquireThread(tstate); + #if defined(Py_DEBUG) + tstate->interp = interp; + #endif + } + + inc_ref(); + } + + void inc_ref() { + ++tstate->gilstate_counter; + } + + PYBIND11_NOINLINE void dec_ref() { + --tstate->gilstate_counter; + #if !defined(NDEBUG) + if (detail::get_thread_state_unchecked() != tstate) + pybind11_fail("scoped_acquire::dec_ref(): thread state must be current!"); + if (tstate->gilstate_counter < 0) + pybind11_fail("scoped_acquire::dec_ref(): reference count underflow!"); + #endif + if (tstate->gilstate_counter == 0) { + #if !defined(NDEBUG) + if (!release) + pybind11_fail("scoped_acquire::dec_ref(): internal error!"); + #endif + PyThreadState_Clear(tstate); + PyThreadState_DeleteCurrent(); + PYBIND11_TLS_DELETE_VALUE(detail::get_internals().tstate); + release = false; + } + } + + PYBIND11_NOINLINE ~gil_scoped_acquire() { + dec_ref(); + if (release) + PyEval_SaveThread(); + } +private: + PyThreadState *tstate = nullptr; + bool release = true; +}; + +class gil_scoped_release { +public: + explicit gil_scoped_release(bool disassoc = false) : disassoc(disassoc) { + // `get_internals()` must be called here unconditionally in order to initialize + // `internals.tstate` for subsequent `gil_scoped_acquire` calls. Otherwise, an + // initialization race could occur as multiple threads try `gil_scoped_acquire`. + const auto &internals = detail::get_internals(); + tstate = PyEval_SaveThread(); + if (disassoc) { + auto key = internals.tstate; + PYBIND11_TLS_DELETE_VALUE(key); + } + } + ~gil_scoped_release() { + if (!tstate) + return; + PyEval_RestoreThread(tstate); + if (disassoc) { + auto key = detail::get_internals().tstate; + PYBIND11_TLS_REPLACE_VALUE(key, tstate); + } + } +private: + PyThreadState *tstate; + bool disassoc; +}; +#elif defined(PYPY_VERSION) +class gil_scoped_acquire { + PyGILState_STATE state; +public: + gil_scoped_acquire() { state = PyGILState_Ensure(); } + ~gil_scoped_acquire() { PyGILState_Release(state); } +}; + +class gil_scoped_release { + PyThreadState *state; +public: + gil_scoped_release() { state = PyEval_SaveThread(); } + ~gil_scoped_release() { PyEval_RestoreThread(state); } +}; +#else +class gil_scoped_acquire { }; +class gil_scoped_release { }; +#endif + +error_already_set::~error_already_set() { + if (m_type) { + gil_scoped_acquire gil; + error_scope scope; + m_type.release().dec_ref(); + m_value.release().dec_ref(); + m_trace.release().dec_ref(); + } +} + +inline function get_type_overload(const void *this_ptr, const detail::type_info *this_type, const char *name) { + handle self = detail::get_object_handle(this_ptr, this_type); + if (!self) + return function(); + handle type = self.get_type(); + auto key = std::make_pair(type.ptr(), name); + + /* Cache functions that aren't overloaded in Python to avoid + many costly Python dictionary lookups below */ + auto &cache = detail::get_internals().inactive_overload_cache; + if (cache.find(key) != cache.end()) + return function(); + + function overload = getattr(self, name, function()); + if (overload.is_cpp_function()) { + cache.insert(key); + return function(); + } + + /* Don't call dispatch code if invoked from overridden function. + Unfortunately this doesn't work on PyPy. */ +#if !defined(PYPY_VERSION) + PyFrameObject *frame = PyThreadState_Get()->frame; + if (frame && (std::string) str(frame->f_code->co_name) == name && + frame->f_code->co_argcount > 0) { + PyFrame_FastToLocals(frame); + PyObject *self_caller = PyDict_GetItem( + frame->f_locals, PyTuple_GET_ITEM(frame->f_code->co_varnames, 0)); + if (self_caller == self.ptr()) + return function(); + } +#else + /* PyPy currently doesn't provide a detailed cpyext emulation of + frame objects, so we have to emulate this using Python. This + is going to be slow..*/ + dict d; d["self"] = self; d["name"] = pybind11::str(name); + PyObject *result = PyRun_String( + "import inspect\n" + "frame = inspect.currentframe()\n" + "if frame is not None:\n" + " frame = frame.f_back\n" + " if frame is not None and str(frame.f_code.co_name) == name and " + "frame.f_code.co_argcount > 0:\n" + " self_caller = frame.f_locals[frame.f_code.co_varnames[0]]\n" + " if self_caller == self:\n" + " self = None\n", + Py_file_input, d.ptr(), d.ptr()); + if (result == nullptr) + throw error_already_set(); + if (d["self"].is_none()) + return function(); + Py_DECREF(result); +#endif + + return overload; +} + +/** \rst + Try to retrieve a python method by the provided name from the instance pointed to by the this_ptr. + + :this_ptr: The pointer to the object the overload should be retrieved for. This should be the first + non-trampoline class encountered in the inheritance chain. + :name: The name of the overloaded Python method to retrieve. + :return: The Python method by this name from the object or an empty function wrapper. + \endrst */ +template function get_overload(const T *this_ptr, const char *name) { + auto tinfo = detail::get_type_info(typeid(T)); + return tinfo ? get_type_overload(this_ptr, tinfo, name) : function(); +} + +#define PYBIND11_OVERLOAD_INT(ret_type, cname, name, ...) { \ + pybind11::gil_scoped_acquire gil; \ + pybind11::function overload = pybind11::get_overload(static_cast(this), name); \ + if (overload) { \ + auto o = overload(__VA_ARGS__); \ + if (pybind11::detail::cast_is_temporary_value_reference::value) { \ + static pybind11::detail::overload_caster_t caster; \ + return pybind11::detail::cast_ref(std::move(o), caster); \ + } \ + else return pybind11::detail::cast_safe(std::move(o)); \ + } \ + } + +/** \rst + Macro to populate the virtual method in the trampoline class. This macro tries to look up a method named 'fn' + from the Python side, deals with the :ref:`gil` and necessary argument conversions to call this method and return + the appropriate type. See :ref:`overriding_virtuals` for more information. This macro should be used when the method + name in C is not the same as the method name in Python. For example with `__str__`. + + .. code-block:: cpp + + std::string toString() override { + PYBIND11_OVERLOAD_NAME( + std::string, // Return type (ret_type) + Animal, // Parent class (cname) + toString, // Name of function in C++ (name) + "__str__", // Name of method in Python (fn) + ); + } +\endrst */ +#define PYBIND11_OVERLOAD_NAME(ret_type, cname, name, fn, ...) \ + PYBIND11_OVERLOAD_INT(PYBIND11_TYPE(ret_type), PYBIND11_TYPE(cname), name, __VA_ARGS__) \ + return cname::fn(__VA_ARGS__) + +/** \rst + Macro for pure virtual functions, this function is identical to :c:macro:`PYBIND11_OVERLOAD_NAME`, except that it + throws if no overload can be found. +\endrst */ +#define PYBIND11_OVERLOAD_PURE_NAME(ret_type, cname, name, fn, ...) \ + PYBIND11_OVERLOAD_INT(PYBIND11_TYPE(ret_type), PYBIND11_TYPE(cname), name, __VA_ARGS__) \ + pybind11::pybind11_fail("Tried to call pure virtual function \"" PYBIND11_STRINGIFY(cname) "::" name "\""); + +/** \rst + Macro to populate the virtual method in the trampoline class. This macro tries to look up the method + from the Python side, deals with the :ref:`gil` and necessary argument conversions to call this method and return + the appropriate type. This macro should be used if the method name in C and in Python are identical. + See :ref:`overriding_virtuals` for more information. + + .. code-block:: cpp + + class PyAnimal : public Animal { + public: + // Inherit the constructors + using Animal::Animal; + + // Trampoline (need one for each virtual function) + std::string go(int n_times) override { + PYBIND11_OVERLOAD_PURE( + std::string, // Return type (ret_type) + Animal, // Parent class (cname) + go, // Name of function in C++ (must match Python name) (fn) + n_times // Argument(s) (...) + ); + } + }; +\endrst */ +#define PYBIND11_OVERLOAD(ret_type, cname, fn, ...) \ + PYBIND11_OVERLOAD_NAME(PYBIND11_TYPE(ret_type), PYBIND11_TYPE(cname), #fn, fn, __VA_ARGS__) + +/** \rst + Macro for pure virtual functions, this function is identical to :c:macro:`PYBIND11_OVERLOAD`, except that it throws + if no overload can be found. +\endrst */ +#define PYBIND11_OVERLOAD_PURE(ret_type, cname, fn, ...) \ + PYBIND11_OVERLOAD_PURE_NAME(PYBIND11_TYPE(ret_type), PYBIND11_TYPE(cname), #fn, fn, __VA_ARGS__) + +NAMESPACE_END(PYBIND11_NAMESPACE) + +#if defined(_MSC_VER) && !defined(__INTEL_COMPILER) +# pragma warning(pop) +#elif defined(__GNUG__) && !defined(__clang__) +# pragma GCC diagnostic pop +#endif diff --git a/external/pybind11/include/pybind11/pytypes.h b/external/pybind11/include/pybind11/pytypes.h new file mode 100644 index 0000000..96eab96 --- /dev/null +++ b/external/pybind11/include/pybind11/pytypes.h @@ -0,0 +1,1484 @@ +/* + pybind11/pytypes.h: Convenience wrapper classes for basic Python types + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#pragma once + +#include "detail/common.h" +#include "buffer_info.h" +#include +#include + +NAMESPACE_BEGIN(PYBIND11_NAMESPACE) + +/* A few forward declarations */ +class handle; class object; +class str; class iterator; +struct arg; struct arg_v; + +NAMESPACE_BEGIN(detail) +class args_proxy; +inline bool isinstance_generic(handle obj, const std::type_info &tp); + +// Accessor forward declarations +template class accessor; +namespace accessor_policies { + struct obj_attr; + struct str_attr; + struct generic_item; + struct sequence_item; + struct list_item; + struct tuple_item; +} +using obj_attr_accessor = accessor; +using str_attr_accessor = accessor; +using item_accessor = accessor; +using sequence_accessor = accessor; +using list_accessor = accessor; +using tuple_accessor = accessor; + +/// Tag and check to identify a class which implements the Python object API +class pyobject_tag { }; +template using is_pyobject = std::is_base_of>; + +/** \rst + A mixin class which adds common functions to `handle`, `object` and various accessors. + The only requirement for `Derived` is to implement ``PyObject *Derived::ptr() const``. +\endrst */ +template +class object_api : public pyobject_tag { + const Derived &derived() const { return static_cast(*this); } + +public: + /** \rst + Return an iterator equivalent to calling ``iter()`` in Python. The object + must be a collection which supports the iteration protocol. + \endrst */ + iterator begin() const; + /// Return a sentinel which ends iteration. + iterator end() const; + + /** \rst + Return an internal functor to invoke the object's sequence protocol. Casting + the returned ``detail::item_accessor`` instance to a `handle` or `object` + subclass causes a corresponding call to ``__getitem__``. Assigning a `handle` + or `object` subclass causes a call to ``__setitem__``. + \endrst */ + item_accessor operator[](handle key) const; + /// See above (the only difference is that they key is provided as a string literal) + item_accessor operator[](const char *key) const; + + /** \rst + Return an internal functor to access the object's attributes. Casting the + returned ``detail::obj_attr_accessor`` instance to a `handle` or `object` + subclass causes a corresponding call to ``getattr``. Assigning a `handle` + or `object` subclass causes a call to ``setattr``. + \endrst */ + obj_attr_accessor attr(handle key) const; + /// See above (the only difference is that they key is provided as a string literal) + str_attr_accessor attr(const char *key) const; + + /** \rst + Matches * unpacking in Python, e.g. to unpack arguments out of a ``tuple`` + or ``list`` for a function call. Applying another * to the result yields + ** unpacking, e.g. to unpack a dict as function keyword arguments. + See :ref:`calling_python_functions`. + \endrst */ + args_proxy operator*() const; + + /// Check if the given item is contained within this object, i.e. ``item in obj``. + template bool contains(T &&item) const; + + /** \rst + Assuming the Python object is a function or implements the ``__call__`` + protocol, ``operator()`` invokes the underlying function, passing an + arbitrary set of parameters. The result is returned as a `object` and + may need to be converted back into a Python object using `handle::cast()`. + + When some of the arguments cannot be converted to Python objects, the + function will throw a `cast_error` exception. When the Python function + call fails, a `error_already_set` exception is thrown. + \endrst */ + template + object operator()(Args &&...args) const; + template + PYBIND11_DEPRECATED("call(...) was deprecated in favor of operator()(...)") + object call(Args&&... args) const; + + /// Equivalent to ``obj is other`` in Python. + bool is(object_api const& other) const { return derived().ptr() == other.derived().ptr(); } + /// Equivalent to ``obj is None`` in Python. + bool is_none() const { return derived().ptr() == Py_None; } + /// Equivalent to obj == other in Python + bool equal(object_api const &other) const { return rich_compare(other, Py_EQ); } + bool not_equal(object_api const &other) const { return rich_compare(other, Py_NE); } + bool operator<(object_api const &other) const { return rich_compare(other, Py_LT); } + bool operator<=(object_api const &other) const { return rich_compare(other, Py_LE); } + bool operator>(object_api const &other) const { return rich_compare(other, Py_GT); } + bool operator>=(object_api const &other) const { return rich_compare(other, Py_GE); } + + object operator-() const; + object operator~() const; + object operator+(object_api const &other) const; + object operator+=(object_api const &other) const; + object operator-(object_api const &other) const; + object operator-=(object_api const &other) const; + object operator*(object_api const &other) const; + object operator*=(object_api const &other) const; + object operator/(object_api const &other) const; + object operator/=(object_api const &other) const; + object operator|(object_api const &other) const; + object operator|=(object_api const &other) const; + object operator&(object_api const &other) const; + object operator&=(object_api const &other) const; + object operator^(object_api const &other) const; + object operator^=(object_api const &other) const; + object operator<<(object_api const &other) const; + object operator<<=(object_api const &other) const; + object operator>>(object_api const &other) const; + object operator>>=(object_api const &other) const; + + PYBIND11_DEPRECATED("Use py::str(obj) instead") + pybind11::str str() const; + + /// Get or set the object's docstring, i.e. ``obj.__doc__``. + str_attr_accessor doc() const; + + /// Return the object's current reference count + int ref_count() const { return static_cast(Py_REFCNT(derived().ptr())); } + /// Return a handle to the Python type object underlying the instance + handle get_type() const; + +private: + bool rich_compare(object_api const &other, int value) const; +}; + +NAMESPACE_END(detail) + +/** \rst + Holds a reference to a Python object (no reference counting) + + The `handle` class is a thin wrapper around an arbitrary Python object (i.e. a + ``PyObject *`` in Python's C API). It does not perform any automatic reference + counting and merely provides a basic C++ interface to various Python API functions. + + .. seealso:: + The `object` class inherits from `handle` and adds automatic reference + counting features. +\endrst */ +class handle : public detail::object_api { +public: + /// The default constructor creates a handle with a ``nullptr``-valued pointer + handle() = default; + /// Creates a ``handle`` from the given raw Python object pointer + handle(PyObject *ptr) : m_ptr(ptr) { } // Allow implicit conversion from PyObject* + + /// Return the underlying ``PyObject *`` pointer + PyObject *ptr() const { return m_ptr; } + PyObject *&ptr() { return m_ptr; } + + /** \rst + Manually increase the reference count of the Python object. Usually, it is + preferable to use the `object` class which derives from `handle` and calls + this function automatically. Returns a reference to itself. + \endrst */ + const handle& inc_ref() const & { Py_XINCREF(m_ptr); return *this; } + + /** \rst + Manually decrease the reference count of the Python object. Usually, it is + preferable to use the `object` class which derives from `handle` and calls + this function automatically. Returns a reference to itself. + \endrst */ + const handle& dec_ref() const & { Py_XDECREF(m_ptr); return *this; } + + /** \rst + Attempt to cast the Python object into the given C++ type. A `cast_error` + will be throw upon failure. + \endrst */ + template T cast() const; + /// Return ``true`` when the `handle` wraps a valid Python object + explicit operator bool() const { return m_ptr != nullptr; } + /** \rst + Deprecated: Check that the underlying pointers are the same. + Equivalent to ``obj1 is obj2`` in Python. + \endrst */ + PYBIND11_DEPRECATED("Use obj1.is(obj2) instead") + bool operator==(const handle &h) const { return m_ptr == h.m_ptr; } + PYBIND11_DEPRECATED("Use !obj1.is(obj2) instead") + bool operator!=(const handle &h) const { return m_ptr != h.m_ptr; } + PYBIND11_DEPRECATED("Use handle::operator bool() instead") + bool check() const { return m_ptr != nullptr; } +protected: + PyObject *m_ptr = nullptr; +}; + +/** \rst + Holds a reference to a Python object (with reference counting) + + Like `handle`, the `object` class is a thin wrapper around an arbitrary Python + object (i.e. a ``PyObject *`` in Python's C API). In contrast to `handle`, it + optionally increases the object's reference count upon construction, and it + *always* decreases the reference count when the `object` instance goes out of + scope and is destructed. When using `object` instances consistently, it is much + easier to get reference counting right at the first attempt. +\endrst */ +class object : public handle { +public: + object() = default; + PYBIND11_DEPRECATED("Use reinterpret_borrow() or reinterpret_steal()") + object(handle h, bool is_borrowed) : handle(h) { if (is_borrowed) inc_ref(); } + /// Copy constructor; always increases the reference count + object(const object &o) : handle(o) { inc_ref(); } + /// Move constructor; steals the object from ``other`` and preserves its reference count + object(object &&other) noexcept { m_ptr = other.m_ptr; other.m_ptr = nullptr; } + /// Destructor; automatically calls `handle::dec_ref()` + ~object() { dec_ref(); } + + /** \rst + Resets the internal pointer to ``nullptr`` without without decreasing the + object's reference count. The function returns a raw handle to the original + Python object. + \endrst */ + handle release() { + PyObject *tmp = m_ptr; + m_ptr = nullptr; + return handle(tmp); + } + + object& operator=(const object &other) { + other.inc_ref(); + dec_ref(); + m_ptr = other.m_ptr; + return *this; + } + + object& operator=(object &&other) noexcept { + if (this != &other) { + handle temp(m_ptr); + m_ptr = other.m_ptr; + other.m_ptr = nullptr; + temp.dec_ref(); + } + return *this; + } + + // Calling cast() on an object lvalue just copies (via handle::cast) + template T cast() const &; + // Calling on an object rvalue does a move, if needed and/or possible + template T cast() &&; + +protected: + // Tags for choosing constructors from raw PyObject * + struct borrowed_t { }; + struct stolen_t { }; + + template friend T reinterpret_borrow(handle); + template friend T reinterpret_steal(handle); + +public: + // Only accessible from derived classes and the reinterpret_* functions + object(handle h, borrowed_t) : handle(h) { inc_ref(); } + object(handle h, stolen_t) : handle(h) { } +}; + +/** \rst + Declare that a `handle` or ``PyObject *`` is a certain type and borrow the reference. + The target type ``T`` must be `object` or one of its derived classes. The function + doesn't do any conversions or checks. It's up to the user to make sure that the + target type is correct. + + .. code-block:: cpp + + PyObject *p = PyList_GetItem(obj, index); + py::object o = reinterpret_borrow(p); + // or + py::tuple t = reinterpret_borrow(p); // <-- `p` must be already be a `tuple` +\endrst */ +template T reinterpret_borrow(handle h) { return {h, object::borrowed_t{}}; } + +/** \rst + Like `reinterpret_borrow`, but steals the reference. + + .. code-block:: cpp + + PyObject *p = PyObject_Str(obj); + py::str s = reinterpret_steal(p); // <-- `p` must be already be a `str` +\endrst */ +template T reinterpret_steal(handle h) { return {h, object::stolen_t{}}; } + +NAMESPACE_BEGIN(detail) +inline std::string error_string(); +NAMESPACE_END(detail) + +/// Fetch and hold an error which was already set in Python. An instance of this is typically +/// thrown to propagate python-side errors back through C++ which can either be caught manually or +/// else falls back to the function dispatcher (which then raises the captured error back to +/// python). +class error_already_set : public std::runtime_error { +public: + /// Constructs a new exception from the current Python error indicator, if any. The current + /// Python error indicator will be cleared. + error_already_set() : std::runtime_error(detail::error_string()) { + PyErr_Fetch(&m_type.ptr(), &m_value.ptr(), &m_trace.ptr()); + } + + error_already_set(const error_already_set &) = default; + error_already_set(error_already_set &&) = default; + + inline ~error_already_set(); + + /// Give the currently-held error back to Python, if any. If there is currently a Python error + /// already set it is cleared first. After this call, the current object no longer stores the + /// error variables (but the `.what()` string is still available). + void restore() { PyErr_Restore(m_type.release().ptr(), m_value.release().ptr(), m_trace.release().ptr()); } + + // Does nothing; provided for backwards compatibility. + PYBIND11_DEPRECATED("Use of error_already_set.clear() is deprecated") + void clear() {} + + /// Check if the currently trapped error type matches the given Python exception class (or a + /// subclass thereof). May also be passed a tuple to search for any exception class matches in + /// the given tuple. + bool matches(handle exc) const { return PyErr_GivenExceptionMatches(m_type.ptr(), exc.ptr()); } + + const object& type() const { return m_type; } + const object& value() const { return m_value; } + const object& trace() const { return m_trace; } + +private: + object m_type, m_value, m_trace; +}; + +/** \defgroup python_builtins _ + Unless stated otherwise, the following C++ functions behave the same + as their Python counterparts. + */ + +/** \ingroup python_builtins + \rst + Return true if ``obj`` is an instance of ``T``. Type ``T`` must be a subclass of + `object` or a class which was exposed to Python as ``py::class_``. +\endrst */ +template ::value, int> = 0> +bool isinstance(handle obj) { return T::check_(obj); } + +template ::value, int> = 0> +bool isinstance(handle obj) { return detail::isinstance_generic(obj, typeid(T)); } + +template <> inline bool isinstance(handle obj) = delete; +template <> inline bool isinstance(handle obj) { return obj.ptr() != nullptr; } + +/// \ingroup python_builtins +/// Return true if ``obj`` is an instance of the ``type``. +inline bool isinstance(handle obj, handle type) { + const auto result = PyObject_IsInstance(obj.ptr(), type.ptr()); + if (result == -1) + throw error_already_set(); + return result != 0; +} + +/// \addtogroup python_builtins +/// @{ +inline bool hasattr(handle obj, handle name) { + return PyObject_HasAttr(obj.ptr(), name.ptr()) == 1; +} + +inline bool hasattr(handle obj, const char *name) { + return PyObject_HasAttrString(obj.ptr(), name) == 1; +} + +inline void delattr(handle obj, handle name) { + if (PyObject_DelAttr(obj.ptr(), name.ptr()) != 0) { throw error_already_set(); } +} + +inline void delattr(handle obj, const char *name) { + if (PyObject_DelAttrString(obj.ptr(), name) != 0) { throw error_already_set(); } +} + +inline object getattr(handle obj, handle name) { + PyObject *result = PyObject_GetAttr(obj.ptr(), name.ptr()); + if (!result) { throw error_already_set(); } + return reinterpret_steal(result); +} + +inline object getattr(handle obj, const char *name) { + PyObject *result = PyObject_GetAttrString(obj.ptr(), name); + if (!result) { throw error_already_set(); } + return reinterpret_steal(result); +} + +inline object getattr(handle obj, handle name, handle default_) { + if (PyObject *result = PyObject_GetAttr(obj.ptr(), name.ptr())) { + return reinterpret_steal(result); + } else { + PyErr_Clear(); + return reinterpret_borrow(default_); + } +} + +inline object getattr(handle obj, const char *name, handle default_) { + if (PyObject *result = PyObject_GetAttrString(obj.ptr(), name)) { + return reinterpret_steal(result); + } else { + PyErr_Clear(); + return reinterpret_borrow(default_); + } +} + +inline void setattr(handle obj, handle name, handle value) { + if (PyObject_SetAttr(obj.ptr(), name.ptr(), value.ptr()) != 0) { throw error_already_set(); } +} + +inline void setattr(handle obj, const char *name, handle value) { + if (PyObject_SetAttrString(obj.ptr(), name, value.ptr()) != 0) { throw error_already_set(); } +} + +inline ssize_t hash(handle obj) { + auto h = PyObject_Hash(obj.ptr()); + if (h == -1) { throw error_already_set(); } + return h; +} + +/// @} python_builtins + +NAMESPACE_BEGIN(detail) +inline handle get_function(handle value) { + if (value) { +#if PY_MAJOR_VERSION >= 3 + if (PyInstanceMethod_Check(value.ptr())) + value = PyInstanceMethod_GET_FUNCTION(value.ptr()); + else +#endif + if (PyMethod_Check(value.ptr())) + value = PyMethod_GET_FUNCTION(value.ptr()); + } + return value; +} + +// Helper aliases/functions to support implicit casting of values given to python accessors/methods. +// When given a pyobject, this simply returns the pyobject as-is; for other C++ type, the value goes +// through pybind11::cast(obj) to convert it to an `object`. +template ::value, int> = 0> +auto object_or_cast(T &&o) -> decltype(std::forward(o)) { return std::forward(o); } +// The following casting version is implemented in cast.h: +template ::value, int> = 0> +object object_or_cast(T &&o); +// Match a PyObject*, which we want to convert directly to handle via its converting constructor +inline handle object_or_cast(PyObject *ptr) { return ptr; } + +template +class accessor : public object_api> { + using key_type = typename Policy::key_type; + +public: + accessor(handle obj, key_type key) : obj(obj), key(std::move(key)) { } + accessor(const accessor &) = default; + accessor(accessor &&) = default; + + // accessor overload required to override default assignment operator (templates are not allowed + // to replace default compiler-generated assignments). + void operator=(const accessor &a) && { std::move(*this).operator=(handle(a)); } + void operator=(const accessor &a) & { operator=(handle(a)); } + + template void operator=(T &&value) && { + Policy::set(obj, key, object_or_cast(std::forward(value))); + } + template void operator=(T &&value) & { + get_cache() = reinterpret_borrow(object_or_cast(std::forward(value))); + } + + template + PYBIND11_DEPRECATED("Use of obj.attr(...) as bool is deprecated in favor of pybind11::hasattr(obj, ...)") + explicit operator enable_if_t::value || + std::is_same::value, bool>() const { + return hasattr(obj, key); + } + template + PYBIND11_DEPRECATED("Use of obj[key] as bool is deprecated in favor of obj.contains(key)") + explicit operator enable_if_t::value, bool>() const { + return obj.contains(key); + } + + operator object() const { return get_cache(); } + PyObject *ptr() const { return get_cache().ptr(); } + template T cast() const { return get_cache().template cast(); } + +private: + object &get_cache() const { + if (!cache) { cache = Policy::get(obj, key); } + return cache; + } + +private: + handle obj; + key_type key; + mutable object cache; +}; + +NAMESPACE_BEGIN(accessor_policies) +struct obj_attr { + using key_type = object; + static object get(handle obj, handle key) { return getattr(obj, key); } + static void set(handle obj, handle key, handle val) { setattr(obj, key, val); } +}; + +struct str_attr { + using key_type = const char *; + static object get(handle obj, const char *key) { return getattr(obj, key); } + static void set(handle obj, const char *key, handle val) { setattr(obj, key, val); } +}; + +struct generic_item { + using key_type = object; + + static object get(handle obj, handle key) { + PyObject *result = PyObject_GetItem(obj.ptr(), key.ptr()); + if (!result) { throw error_already_set(); } + return reinterpret_steal(result); + } + + static void set(handle obj, handle key, handle val) { + if (PyObject_SetItem(obj.ptr(), key.ptr(), val.ptr()) != 0) { throw error_already_set(); } + } +}; + +struct sequence_item { + using key_type = size_t; + + static object get(handle obj, size_t index) { + PyObject *result = PySequence_GetItem(obj.ptr(), static_cast(index)); + if (!result) { throw error_already_set(); } + return reinterpret_steal(result); + } + + static void set(handle obj, size_t index, handle val) { + // PySequence_SetItem does not steal a reference to 'val' + if (PySequence_SetItem(obj.ptr(), static_cast(index), val.ptr()) != 0) { + throw error_already_set(); + } + } +}; + +struct list_item { + using key_type = size_t; + + static object get(handle obj, size_t index) { + PyObject *result = PyList_GetItem(obj.ptr(), static_cast(index)); + if (!result) { throw error_already_set(); } + return reinterpret_borrow(result); + } + + static void set(handle obj, size_t index, handle val) { + // PyList_SetItem steals a reference to 'val' + if (PyList_SetItem(obj.ptr(), static_cast(index), val.inc_ref().ptr()) != 0) { + throw error_already_set(); + } + } +}; + +struct tuple_item { + using key_type = size_t; + + static object get(handle obj, size_t index) { + PyObject *result = PyTuple_GetItem(obj.ptr(), static_cast(index)); + if (!result) { throw error_already_set(); } + return reinterpret_borrow(result); + } + + static void set(handle obj, size_t index, handle val) { + // PyTuple_SetItem steals a reference to 'val' + if (PyTuple_SetItem(obj.ptr(), static_cast(index), val.inc_ref().ptr()) != 0) { + throw error_already_set(); + } + } +}; +NAMESPACE_END(accessor_policies) + +/// STL iterator template used for tuple, list, sequence and dict +template +class generic_iterator : public Policy { + using It = generic_iterator; + +public: + using difference_type = ssize_t; + using iterator_category = typename Policy::iterator_category; + using value_type = typename Policy::value_type; + using reference = typename Policy::reference; + using pointer = typename Policy::pointer; + + generic_iterator() = default; + generic_iterator(handle seq, ssize_t index) : Policy(seq, index) { } + + reference operator*() const { return Policy::dereference(); } + reference operator[](difference_type n) const { return *(*this + n); } + pointer operator->() const { return **this; } + + It &operator++() { Policy::increment(); return *this; } + It operator++(int) { auto copy = *this; Policy::increment(); return copy; } + It &operator--() { Policy::decrement(); return *this; } + It operator--(int) { auto copy = *this; Policy::decrement(); return copy; } + It &operator+=(difference_type n) { Policy::advance(n); return *this; } + It &operator-=(difference_type n) { Policy::advance(-n); return *this; } + + friend It operator+(const It &a, difference_type n) { auto copy = a; return copy += n; } + friend It operator+(difference_type n, const It &b) { return b + n; } + friend It operator-(const It &a, difference_type n) { auto copy = a; return copy -= n; } + friend difference_type operator-(const It &a, const It &b) { return a.distance_to(b); } + + friend bool operator==(const It &a, const It &b) { return a.equal(b); } + friend bool operator!=(const It &a, const It &b) { return !(a == b); } + friend bool operator< (const It &a, const It &b) { return b - a > 0; } + friend bool operator> (const It &a, const It &b) { return b < a; } + friend bool operator>=(const It &a, const It &b) { return !(a < b); } + friend bool operator<=(const It &a, const It &b) { return !(a > b); } +}; + +NAMESPACE_BEGIN(iterator_policies) +/// Quick proxy class needed to implement ``operator->`` for iterators which can't return pointers +template +struct arrow_proxy { + T value; + + arrow_proxy(T &&value) : value(std::move(value)) { } + T *operator->() const { return &value; } +}; + +/// Lightweight iterator policy using just a simple pointer: see ``PySequence_Fast_ITEMS`` +class sequence_fast_readonly { +protected: + using iterator_category = std::random_access_iterator_tag; + using value_type = handle; + using reference = const handle; + using pointer = arrow_proxy; + + sequence_fast_readonly(handle obj, ssize_t n) : ptr(PySequence_Fast_ITEMS(obj.ptr()) + n) { } + + reference dereference() const { return *ptr; } + void increment() { ++ptr; } + void decrement() { --ptr; } + void advance(ssize_t n) { ptr += n; } + bool equal(const sequence_fast_readonly &b) const { return ptr == b.ptr; } + ssize_t distance_to(const sequence_fast_readonly &b) const { return ptr - b.ptr; } + +private: + PyObject **ptr; +}; + +/// Full read and write access using the sequence protocol: see ``detail::sequence_accessor`` +class sequence_slow_readwrite { +protected: + using iterator_category = std::random_access_iterator_tag; + using value_type = object; + using reference = sequence_accessor; + using pointer = arrow_proxy; + + sequence_slow_readwrite(handle obj, ssize_t index) : obj(obj), index(index) { } + + reference dereference() const { return {obj, static_cast(index)}; } + void increment() { ++index; } + void decrement() { --index; } + void advance(ssize_t n) { index += n; } + bool equal(const sequence_slow_readwrite &b) const { return index == b.index; } + ssize_t distance_to(const sequence_slow_readwrite &b) const { return index - b.index; } + +private: + handle obj; + ssize_t index; +}; + +/// Python's dictionary protocol permits this to be a forward iterator +class dict_readonly { +protected: + using iterator_category = std::forward_iterator_tag; + using value_type = std::pair; + using reference = const value_type; + using pointer = arrow_proxy; + + dict_readonly() = default; + dict_readonly(handle obj, ssize_t pos) : obj(obj), pos(pos) { increment(); } + + reference dereference() const { return {key, value}; } + void increment() { if (!PyDict_Next(obj.ptr(), &pos, &key, &value)) { pos = -1; } } + bool equal(const dict_readonly &b) const { return pos == b.pos; } + +private: + handle obj; + PyObject *key = nullptr, *value = nullptr; + ssize_t pos = -1; +}; +NAMESPACE_END(iterator_policies) + +#if !defined(PYPY_VERSION) +using tuple_iterator = generic_iterator; +using list_iterator = generic_iterator; +#else +using tuple_iterator = generic_iterator; +using list_iterator = generic_iterator; +#endif + +using sequence_iterator = generic_iterator; +using dict_iterator = generic_iterator; + +inline bool PyIterable_Check(PyObject *obj) { + PyObject *iter = PyObject_GetIter(obj); + if (iter) { + Py_DECREF(iter); + return true; + } else { + PyErr_Clear(); + return false; + } +} + +inline bool PyNone_Check(PyObject *o) { return o == Py_None; } +#if PY_MAJOR_VERSION >= 3 +inline bool PyEllipsis_Check(PyObject *o) { return o == Py_Ellipsis; } +#endif + +inline bool PyUnicode_Check_Permissive(PyObject *o) { return PyUnicode_Check(o) || PYBIND11_BYTES_CHECK(o); } + +inline bool PyStaticMethod_Check(PyObject *o) { return o->ob_type == &PyStaticMethod_Type; } + +class kwargs_proxy : public handle { +public: + explicit kwargs_proxy(handle h) : handle(h) { } +}; + +class args_proxy : public handle { +public: + explicit args_proxy(handle h) : handle(h) { } + kwargs_proxy operator*() const { return kwargs_proxy(*this); } +}; + +/// Python argument categories (using PEP 448 terms) +template using is_keyword = std::is_base_of; +template using is_s_unpacking = std::is_same; // * unpacking +template using is_ds_unpacking = std::is_same; // ** unpacking +template using is_positional = satisfies_none_of; +template using is_keyword_or_ds = satisfies_any_of; + +// Call argument collector forward declarations +template +class simple_collector; +template +class unpacking_collector; + +NAMESPACE_END(detail) + +// TODO: After the deprecated constructors are removed, this macro can be simplified by +// inheriting ctors: `using Parent::Parent`. It's not an option right now because +// the `using` statement triggers the parent deprecation warning even if the ctor +// isn't even used. +#define PYBIND11_OBJECT_COMMON(Name, Parent, CheckFun) \ + public: \ + PYBIND11_DEPRECATED("Use reinterpret_borrow<"#Name">() or reinterpret_steal<"#Name">()") \ + Name(handle h, bool is_borrowed) : Parent(is_borrowed ? Parent(h, borrowed_t{}) : Parent(h, stolen_t{})) { } \ + Name(handle h, borrowed_t) : Parent(h, borrowed_t{}) { } \ + Name(handle h, stolen_t) : Parent(h, stolen_t{}) { } \ + PYBIND11_DEPRECATED("Use py::isinstance(obj) instead") \ + bool check() const { return m_ptr != nullptr && (bool) CheckFun(m_ptr); } \ + static bool check_(handle h) { return h.ptr() != nullptr && CheckFun(h.ptr()); } + +#define PYBIND11_OBJECT_CVT(Name, Parent, CheckFun, ConvertFun) \ + PYBIND11_OBJECT_COMMON(Name, Parent, CheckFun) \ + /* This is deliberately not 'explicit' to allow implicit conversion from object: */ \ + Name(const object &o) \ + : Parent(check_(o) ? o.inc_ref().ptr() : ConvertFun(o.ptr()), stolen_t{}) \ + { if (!m_ptr) throw error_already_set(); } \ + Name(object &&o) \ + : Parent(check_(o) ? o.release().ptr() : ConvertFun(o.ptr()), stolen_t{}) \ + { if (!m_ptr) throw error_already_set(); } \ + template \ + Name(const ::pybind11::detail::accessor &a) : Name(object(a)) { } + +#define PYBIND11_OBJECT(Name, Parent, CheckFun) \ + PYBIND11_OBJECT_COMMON(Name, Parent, CheckFun) \ + /* This is deliberately not 'explicit' to allow implicit conversion from object: */ \ + Name(const object &o) : Parent(o) { } \ + Name(object &&o) : Parent(std::move(o)) { } + +#define PYBIND11_OBJECT_DEFAULT(Name, Parent, CheckFun) \ + PYBIND11_OBJECT(Name, Parent, CheckFun) \ + Name() : Parent() { } + +/// \addtogroup pytypes +/// @{ + +/** \rst + Wraps a Python iterator so that it can also be used as a C++ input iterator + + Caveat: copying an iterator does not (and cannot) clone the internal + state of the Python iterable. This also applies to the post-increment + operator. This iterator should only be used to retrieve the current + value using ``operator*()``. +\endrst */ +class iterator : public object { +public: + using iterator_category = std::input_iterator_tag; + using difference_type = ssize_t; + using value_type = handle; + using reference = const handle; + using pointer = const handle *; + + PYBIND11_OBJECT_DEFAULT(iterator, object, PyIter_Check) + + iterator& operator++() { + advance(); + return *this; + } + + iterator operator++(int) { + auto rv = *this; + advance(); + return rv; + } + + reference operator*() const { + if (m_ptr && !value.ptr()) { + auto& self = const_cast(*this); + self.advance(); + } + return value; + } + + pointer operator->() const { operator*(); return &value; } + + /** \rst + The value which marks the end of the iteration. ``it == iterator::sentinel()`` + is equivalent to catching ``StopIteration`` in Python. + + .. code-block:: cpp + + void foo(py::iterator it) { + while (it != py::iterator::sentinel()) { + // use `*it` + ++it; + } + } + \endrst */ + static iterator sentinel() { return {}; } + + friend bool operator==(const iterator &a, const iterator &b) { return a->ptr() == b->ptr(); } + friend bool operator!=(const iterator &a, const iterator &b) { return a->ptr() != b->ptr(); } + +private: + void advance() { + value = reinterpret_steal(PyIter_Next(m_ptr)); + if (PyErr_Occurred()) { throw error_already_set(); } + } + +private: + object value = {}; +}; + +class iterable : public object { +public: + PYBIND11_OBJECT_DEFAULT(iterable, object, detail::PyIterable_Check) +}; + +class bytes; + +class str : public object { +public: + PYBIND11_OBJECT_CVT(str, object, detail::PyUnicode_Check_Permissive, raw_str) + + str(const char *c, size_t n) + : object(PyUnicode_FromStringAndSize(c, (ssize_t) n), stolen_t{}) { + if (!m_ptr) pybind11_fail("Could not allocate string object!"); + } + + // 'explicit' is explicitly omitted from the following constructors to allow implicit conversion to py::str from C++ string-like objects + str(const char *c = "") + : object(PyUnicode_FromString(c), stolen_t{}) { + if (!m_ptr) pybind11_fail("Could not allocate string object!"); + } + + str(const std::string &s) : str(s.data(), s.size()) { } + + explicit str(const bytes &b); + + /** \rst + Return a string representation of the object. This is analogous to + the ``str()`` function in Python. + \endrst */ + explicit str(handle h) : object(raw_str(h.ptr()), stolen_t{}) { } + + operator std::string() const { + object temp = *this; + if (PyUnicode_Check(m_ptr)) { + temp = reinterpret_steal(PyUnicode_AsUTF8String(m_ptr)); + if (!temp) + pybind11_fail("Unable to extract string contents! (encoding issue)"); + } + char *buffer; + ssize_t length; + if (PYBIND11_BYTES_AS_STRING_AND_SIZE(temp.ptr(), &buffer, &length)) + pybind11_fail("Unable to extract string contents! (invalid type)"); + return std::string(buffer, (size_t) length); + } + + template + str format(Args &&...args) const { + return attr("format")(std::forward(args)...); + } + +private: + /// Return string representation -- always returns a new reference, even if already a str + static PyObject *raw_str(PyObject *op) { + PyObject *str_value = PyObject_Str(op); +#if PY_MAJOR_VERSION < 3 + if (!str_value) throw error_already_set(); + PyObject *unicode = PyUnicode_FromEncodedObject(str_value, "utf-8", nullptr); + Py_XDECREF(str_value); str_value = unicode; +#endif + return str_value; + } +}; +/// @} pytypes + +inline namespace literals { +/** \rst + String literal version of `str` + \endrst */ +inline str operator"" _s(const char *s, size_t size) { return {s, size}; } +} + +/// \addtogroup pytypes +/// @{ +class bytes : public object { +public: + PYBIND11_OBJECT(bytes, object, PYBIND11_BYTES_CHECK) + + // Allow implicit conversion: + bytes(const char *c = "") + : object(PYBIND11_BYTES_FROM_STRING(c), stolen_t{}) { + if (!m_ptr) pybind11_fail("Could not allocate bytes object!"); + } + + bytes(const char *c, size_t n) + : object(PYBIND11_BYTES_FROM_STRING_AND_SIZE(c, (ssize_t) n), stolen_t{}) { + if (!m_ptr) pybind11_fail("Could not allocate bytes object!"); + } + + // Allow implicit conversion: + bytes(const std::string &s) : bytes(s.data(), s.size()) { } + + explicit bytes(const pybind11::str &s); + + operator std::string() const { + char *buffer; + ssize_t length; + if (PYBIND11_BYTES_AS_STRING_AND_SIZE(m_ptr, &buffer, &length)) + pybind11_fail("Unable to extract bytes contents!"); + return std::string(buffer, (size_t) length); + } +}; + +inline bytes::bytes(const pybind11::str &s) { + object temp = s; + if (PyUnicode_Check(s.ptr())) { + temp = reinterpret_steal(PyUnicode_AsUTF8String(s.ptr())); + if (!temp) + pybind11_fail("Unable to extract string contents! (encoding issue)"); + } + char *buffer; + ssize_t length; + if (PYBIND11_BYTES_AS_STRING_AND_SIZE(temp.ptr(), &buffer, &length)) + pybind11_fail("Unable to extract string contents! (invalid type)"); + auto obj = reinterpret_steal(PYBIND11_BYTES_FROM_STRING_AND_SIZE(buffer, length)); + if (!obj) + pybind11_fail("Could not allocate bytes object!"); + m_ptr = obj.release().ptr(); +} + +inline str::str(const bytes& b) { + char *buffer; + ssize_t length; + if (PYBIND11_BYTES_AS_STRING_AND_SIZE(b.ptr(), &buffer, &length)) + pybind11_fail("Unable to extract bytes contents!"); + auto obj = reinterpret_steal(PyUnicode_FromStringAndSize(buffer, (ssize_t) length)); + if (!obj) + pybind11_fail("Could not allocate string object!"); + m_ptr = obj.release().ptr(); +} + +class none : public object { +public: + PYBIND11_OBJECT(none, object, detail::PyNone_Check) + none() : object(Py_None, borrowed_t{}) { } +}; + +#if PY_MAJOR_VERSION >= 3 +class ellipsis : public object { +public: + PYBIND11_OBJECT(ellipsis, object, detail::PyEllipsis_Check) + ellipsis() : object(Py_Ellipsis, borrowed_t{}) { } +}; +#endif + +class bool_ : public object { +public: + PYBIND11_OBJECT_CVT(bool_, object, PyBool_Check, raw_bool) + bool_() : object(Py_False, borrowed_t{}) { } + // Allow implicit conversion from and to `bool`: + bool_(bool value) : object(value ? Py_True : Py_False, borrowed_t{}) { } + operator bool() const { return m_ptr && PyLong_AsLong(m_ptr) != 0; } + +private: + /// Return the truth value of an object -- always returns a new reference + static PyObject *raw_bool(PyObject *op) { + const auto value = PyObject_IsTrue(op); + if (value == -1) return nullptr; + return handle(value ? Py_True : Py_False).inc_ref().ptr(); + } +}; + +NAMESPACE_BEGIN(detail) +// Converts a value to the given unsigned type. If an error occurs, you get back (Unsigned) -1; +// otherwise you get back the unsigned long or unsigned long long value cast to (Unsigned). +// (The distinction is critically important when casting a returned -1 error value to some other +// unsigned type: (A)-1 != (B)-1 when A and B are unsigned types of different sizes). +template +Unsigned as_unsigned(PyObject *o) { + if (sizeof(Unsigned) <= sizeof(unsigned long) +#if PY_VERSION_HEX < 0x03000000 + || PyInt_Check(o) +#endif + ) { + unsigned long v = PyLong_AsUnsignedLong(o); + return v == (unsigned long) -1 && PyErr_Occurred() ? (Unsigned) -1 : (Unsigned) v; + } + else { + unsigned long long v = PyLong_AsUnsignedLongLong(o); + return v == (unsigned long long) -1 && PyErr_Occurred() ? (Unsigned) -1 : (Unsigned) v; + } +} +NAMESPACE_END(detail) + +class int_ : public object { +public: + PYBIND11_OBJECT_CVT(int_, object, PYBIND11_LONG_CHECK, PyNumber_Long) + int_() : object(PyLong_FromLong(0), stolen_t{}) { } + // Allow implicit conversion from C++ integral types: + template ::value, int> = 0> + int_(T value) { + if (sizeof(T) <= sizeof(long)) { + if (std::is_signed::value) + m_ptr = PyLong_FromLong((long) value); + else + m_ptr = PyLong_FromUnsignedLong((unsigned long) value); + } else { + if (std::is_signed::value) + m_ptr = PyLong_FromLongLong((long long) value); + else + m_ptr = PyLong_FromUnsignedLongLong((unsigned long long) value); + } + if (!m_ptr) pybind11_fail("Could not allocate int object!"); + } + + template ::value, int> = 0> + operator T() const { + return std::is_unsigned::value + ? detail::as_unsigned(m_ptr) + : sizeof(T) <= sizeof(long) + ? (T) PyLong_AsLong(m_ptr) + : (T) PYBIND11_LONG_AS_LONGLONG(m_ptr); + } +}; + +class float_ : public object { +public: + PYBIND11_OBJECT_CVT(float_, object, PyFloat_Check, PyNumber_Float) + // Allow implicit conversion from float/double: + float_(float value) : object(PyFloat_FromDouble((double) value), stolen_t{}) { + if (!m_ptr) pybind11_fail("Could not allocate float object!"); + } + float_(double value = .0) : object(PyFloat_FromDouble((double) value), stolen_t{}) { + if (!m_ptr) pybind11_fail("Could not allocate float object!"); + } + operator float() const { return (float) PyFloat_AsDouble(m_ptr); } + operator double() const { return (double) PyFloat_AsDouble(m_ptr); } +}; + +class weakref : public object { +public: + PYBIND11_OBJECT_DEFAULT(weakref, object, PyWeakref_Check) + explicit weakref(handle obj, handle callback = {}) + : object(PyWeakref_NewRef(obj.ptr(), callback.ptr()), stolen_t{}) { + if (!m_ptr) pybind11_fail("Could not allocate weak reference!"); + } +}; + +class slice : public object { +public: + PYBIND11_OBJECT_DEFAULT(slice, object, PySlice_Check) + slice(ssize_t start_, ssize_t stop_, ssize_t step_) { + int_ start(start_), stop(stop_), step(step_); + m_ptr = PySlice_New(start.ptr(), stop.ptr(), step.ptr()); + if (!m_ptr) pybind11_fail("Could not allocate slice object!"); + } + bool compute(size_t length, size_t *start, size_t *stop, size_t *step, + size_t *slicelength) const { + return PySlice_GetIndicesEx((PYBIND11_SLICE_OBJECT *) m_ptr, + (ssize_t) length, (ssize_t *) start, + (ssize_t *) stop, (ssize_t *) step, + (ssize_t *) slicelength) == 0; + } + bool compute(ssize_t length, ssize_t *start, ssize_t *stop, ssize_t *step, + ssize_t *slicelength) const { + return PySlice_GetIndicesEx((PYBIND11_SLICE_OBJECT *) m_ptr, + length, start, + stop, step, + slicelength) == 0; + } +}; + +class capsule : public object { +public: + PYBIND11_OBJECT_DEFAULT(capsule, object, PyCapsule_CheckExact) + PYBIND11_DEPRECATED("Use reinterpret_borrow() or reinterpret_steal()") + capsule(PyObject *ptr, bool is_borrowed) : object(is_borrowed ? object(ptr, borrowed_t{}) : object(ptr, stolen_t{})) { } + + explicit capsule(const void *value, const char *name = nullptr, void (*destructor)(PyObject *) = nullptr) + : object(PyCapsule_New(const_cast(value), name, destructor), stolen_t{}) { + if (!m_ptr) + pybind11_fail("Could not allocate capsule object!"); + } + + PYBIND11_DEPRECATED("Please pass a destructor that takes a void pointer as input") + capsule(const void *value, void (*destruct)(PyObject *)) + : object(PyCapsule_New(const_cast(value), nullptr, destruct), stolen_t{}) { + if (!m_ptr) + pybind11_fail("Could not allocate capsule object!"); + } + + capsule(const void *value, void (*destructor)(void *)) { + m_ptr = PyCapsule_New(const_cast(value), nullptr, [](PyObject *o) { + auto destructor = reinterpret_cast(PyCapsule_GetContext(o)); + void *ptr = PyCapsule_GetPointer(o, nullptr); + destructor(ptr); + }); + + if (!m_ptr) + pybind11_fail("Could not allocate capsule object!"); + + if (PyCapsule_SetContext(m_ptr, (void *) destructor) != 0) + pybind11_fail("Could not set capsule context!"); + } + + capsule(void (*destructor)()) { + m_ptr = PyCapsule_New(reinterpret_cast(destructor), nullptr, [](PyObject *o) { + auto destructor = reinterpret_cast(PyCapsule_GetPointer(o, nullptr)); + destructor(); + }); + + if (!m_ptr) + pybind11_fail("Could not allocate capsule object!"); + } + + template operator T *() const { + auto name = this->name(); + T * result = static_cast(PyCapsule_GetPointer(m_ptr, name)); + if (!result) pybind11_fail("Unable to extract capsule contents!"); + return result; + } + + const char *name() const { return PyCapsule_GetName(m_ptr); } +}; + +class tuple : public object { +public: + PYBIND11_OBJECT_CVT(tuple, object, PyTuple_Check, PySequence_Tuple) + explicit tuple(size_t size = 0) : object(PyTuple_New((ssize_t) size), stolen_t{}) { + if (!m_ptr) pybind11_fail("Could not allocate tuple object!"); + } + size_t size() const { return (size_t) PyTuple_Size(m_ptr); } + bool empty() const { return size() == 0; } + detail::tuple_accessor operator[](size_t index) const { return {*this, index}; } + detail::item_accessor operator[](handle h) const { return object::operator[](h); } + detail::tuple_iterator begin() const { return {*this, 0}; } + detail::tuple_iterator end() const { return {*this, PyTuple_GET_SIZE(m_ptr)}; } +}; + +class dict : public object { +public: + PYBIND11_OBJECT_CVT(dict, object, PyDict_Check, raw_dict) + dict() : object(PyDict_New(), stolen_t{}) { + if (!m_ptr) pybind11_fail("Could not allocate dict object!"); + } + template ...>::value>, + // MSVC workaround: it can't compile an out-of-line definition, so defer the collector + typename collector = detail::deferred_t, Args...>> + explicit dict(Args &&...args) : dict(collector(std::forward(args)...).kwargs()) { } + + size_t size() const { return (size_t) PyDict_Size(m_ptr); } + bool empty() const { return size() == 0; } + detail::dict_iterator begin() const { return {*this, 0}; } + detail::dict_iterator end() const { return {}; } + void clear() const { PyDict_Clear(ptr()); } + template bool contains(T &&key) const { + return PyDict_Contains(m_ptr, detail::object_or_cast(std::forward(key)).ptr()) == 1; + } + +private: + /// Call the `dict` Python type -- always returns a new reference + static PyObject *raw_dict(PyObject *op) { + if (PyDict_Check(op)) + return handle(op).inc_ref().ptr(); + return PyObject_CallFunctionObjArgs((PyObject *) &PyDict_Type, op, nullptr); + } +}; + +class sequence : public object { +public: + PYBIND11_OBJECT_DEFAULT(sequence, object, PySequence_Check) + size_t size() const { return (size_t) PySequence_Size(m_ptr); } + bool empty() const { return size() == 0; } + detail::sequence_accessor operator[](size_t index) const { return {*this, index}; } + detail::item_accessor operator[](handle h) const { return object::operator[](h); } + detail::sequence_iterator begin() const { return {*this, 0}; } + detail::sequence_iterator end() const { return {*this, PySequence_Size(m_ptr)}; } +}; + +class list : public object { +public: + PYBIND11_OBJECT_CVT(list, object, PyList_Check, PySequence_List) + explicit list(size_t size = 0) : object(PyList_New((ssize_t) size), stolen_t{}) { + if (!m_ptr) pybind11_fail("Could not allocate list object!"); + } + size_t size() const { return (size_t) PyList_Size(m_ptr); } + bool empty() const { return size() == 0; } + detail::list_accessor operator[](size_t index) const { return {*this, index}; } + detail::item_accessor operator[](handle h) const { return object::operator[](h); } + detail::list_iterator begin() const { return {*this, 0}; } + detail::list_iterator end() const { return {*this, PyList_GET_SIZE(m_ptr)}; } + template void append(T &&val) const { + PyList_Append(m_ptr, detail::object_or_cast(std::forward(val)).ptr()); + } + template void insert(size_t index, T &&val) const { + PyList_Insert(m_ptr, static_cast(index), + detail::object_or_cast(std::forward(val)).ptr()); + } +}; + +class args : public tuple { PYBIND11_OBJECT_DEFAULT(args, tuple, PyTuple_Check) }; +class kwargs : public dict { PYBIND11_OBJECT_DEFAULT(kwargs, dict, PyDict_Check) }; + +class set : public object { +public: + PYBIND11_OBJECT_CVT(set, object, PySet_Check, PySet_New) + set() : object(PySet_New(nullptr), stolen_t{}) { + if (!m_ptr) pybind11_fail("Could not allocate set object!"); + } + size_t size() const { return (size_t) PySet_Size(m_ptr); } + bool empty() const { return size() == 0; } + template bool add(T &&val) const { + return PySet_Add(m_ptr, detail::object_or_cast(std::forward(val)).ptr()) == 0; + } + void clear() const { PySet_Clear(m_ptr); } + template bool contains(T &&val) const { + return PySet_Contains(m_ptr, detail::object_or_cast(std::forward(val)).ptr()) == 1; + } +}; + +class function : public object { +public: + PYBIND11_OBJECT_DEFAULT(function, object, PyCallable_Check) + handle cpp_function() const { + handle fun = detail::get_function(m_ptr); + if (fun && PyCFunction_Check(fun.ptr())) + return fun; + return handle(); + } + bool is_cpp_function() const { return (bool) cpp_function(); } +}; + +class staticmethod : public object { +public: + PYBIND11_OBJECT_CVT(staticmethod, object, detail::PyStaticMethod_Check, PyStaticMethod_New) +}; + +class buffer : public object { +public: + PYBIND11_OBJECT_DEFAULT(buffer, object, PyObject_CheckBuffer) + + buffer_info request(bool writable = false) const { + int flags = PyBUF_STRIDES | PyBUF_FORMAT; + if (writable) flags |= PyBUF_WRITABLE; + Py_buffer *view = new Py_buffer(); + if (PyObject_GetBuffer(m_ptr, view, flags) != 0) { + delete view; + throw error_already_set(); + } + return buffer_info(view); + } +}; + +class memoryview : public object { +public: + explicit memoryview(const buffer_info& info) { + static Py_buffer buf { }; + // Py_buffer uses signed sizes, strides and shape!.. + static std::vector py_strides { }; + static std::vector py_shape { }; + buf.buf = info.ptr; + buf.itemsize = info.itemsize; + buf.format = const_cast(info.format.c_str()); + buf.ndim = (int) info.ndim; + buf.len = info.size; + py_strides.clear(); + py_shape.clear(); + for (size_t i = 0; i < (size_t) info.ndim; ++i) { + py_strides.push_back(info.strides[i]); + py_shape.push_back(info.shape[i]); + } + buf.strides = py_strides.data(); + buf.shape = py_shape.data(); + buf.suboffsets = nullptr; + buf.readonly = false; + buf.internal = nullptr; + + m_ptr = PyMemoryView_FromBuffer(&buf); + if (!m_ptr) + pybind11_fail("Unable to create memoryview from buffer descriptor"); + } + + PYBIND11_OBJECT_CVT(memoryview, object, PyMemoryView_Check, PyMemoryView_FromObject) +}; +/// @} pytypes + +/// \addtogroup python_builtins +/// @{ +inline size_t len(handle h) { + ssize_t result = PyObject_Length(h.ptr()); + if (result < 0) + pybind11_fail("Unable to compute length of object"); + return (size_t) result; +} + +inline size_t len_hint(handle h) { +#if PY_VERSION_HEX >= 0x03040000 + ssize_t result = PyObject_LengthHint(h.ptr(), 0); +#else + ssize_t result = PyObject_Length(h.ptr()); +#endif + if (result < 0) { + // Sometimes a length can't be determined at all (eg generators) + // In which case simply return 0 + PyErr_Clear(); + return 0; + } + return (size_t) result; +} + +inline str repr(handle h) { + PyObject *str_value = PyObject_Repr(h.ptr()); + if (!str_value) throw error_already_set(); +#if PY_MAJOR_VERSION < 3 + PyObject *unicode = PyUnicode_FromEncodedObject(str_value, "utf-8", nullptr); + Py_XDECREF(str_value); str_value = unicode; + if (!str_value) throw error_already_set(); +#endif + return reinterpret_steal(str_value); +} + +inline iterator iter(handle obj) { + PyObject *result = PyObject_GetIter(obj.ptr()); + if (!result) { throw error_already_set(); } + return reinterpret_steal(result); +} +/// @} python_builtins + +NAMESPACE_BEGIN(detail) +template iterator object_api::begin() const { return iter(derived()); } +template iterator object_api::end() const { return iterator::sentinel(); } +template item_accessor object_api::operator[](handle key) const { + return {derived(), reinterpret_borrow(key)}; +} +template item_accessor object_api::operator[](const char *key) const { + return {derived(), pybind11::str(key)}; +} +template obj_attr_accessor object_api::attr(handle key) const { + return {derived(), reinterpret_borrow(key)}; +} +template str_attr_accessor object_api::attr(const char *key) const { + return {derived(), key}; +} +template args_proxy object_api::operator*() const { + return args_proxy(derived().ptr()); +} +template template bool object_api::contains(T &&item) const { + return attr("__contains__")(std::forward(item)).template cast(); +} + +template +pybind11::str object_api::str() const { return pybind11::str(derived()); } + +template +str_attr_accessor object_api::doc() const { return attr("__doc__"); } + +template +handle object_api::get_type() const { return (PyObject *) Py_TYPE(derived().ptr()); } + +template +bool object_api::rich_compare(object_api const &other, int value) const { + int rv = PyObject_RichCompareBool(derived().ptr(), other.derived().ptr(), value); + if (rv == -1) + throw error_already_set(); + return rv == 1; +} + +#define PYBIND11_MATH_OPERATOR_UNARY(op, fn) \ + template object object_api::op() const { \ + object result = reinterpret_steal(fn(derived().ptr())); \ + if (!result.ptr()) \ + throw error_already_set(); \ + return result; \ + } + +#define PYBIND11_MATH_OPERATOR_BINARY(op, fn) \ + template \ + object object_api::op(object_api const &other) const { \ + object result = reinterpret_steal( \ + fn(derived().ptr(), other.derived().ptr())); \ + if (!result.ptr()) \ + throw error_already_set(); \ + return result; \ + } + +PYBIND11_MATH_OPERATOR_UNARY (operator~, PyNumber_Invert) +PYBIND11_MATH_OPERATOR_UNARY (operator-, PyNumber_Negative) +PYBIND11_MATH_OPERATOR_BINARY(operator+, PyNumber_Add) +PYBIND11_MATH_OPERATOR_BINARY(operator+=, PyNumber_InPlaceAdd) +PYBIND11_MATH_OPERATOR_BINARY(operator-, PyNumber_Subtract) +PYBIND11_MATH_OPERATOR_BINARY(operator-=, PyNumber_InPlaceSubtract) +PYBIND11_MATH_OPERATOR_BINARY(operator*, PyNumber_Multiply) +PYBIND11_MATH_OPERATOR_BINARY(operator*=, PyNumber_InPlaceMultiply) +PYBIND11_MATH_OPERATOR_BINARY(operator/, PyNumber_TrueDivide) +PYBIND11_MATH_OPERATOR_BINARY(operator/=, PyNumber_InPlaceTrueDivide) +PYBIND11_MATH_OPERATOR_BINARY(operator|, PyNumber_Or) +PYBIND11_MATH_OPERATOR_BINARY(operator|=, PyNumber_InPlaceOr) +PYBIND11_MATH_OPERATOR_BINARY(operator&, PyNumber_And) +PYBIND11_MATH_OPERATOR_BINARY(operator&=, PyNumber_InPlaceAnd) +PYBIND11_MATH_OPERATOR_BINARY(operator^, PyNumber_Xor) +PYBIND11_MATH_OPERATOR_BINARY(operator^=, PyNumber_InPlaceXor) +PYBIND11_MATH_OPERATOR_BINARY(operator<<, PyNumber_Lshift) +PYBIND11_MATH_OPERATOR_BINARY(operator<<=, PyNumber_InPlaceLshift) +PYBIND11_MATH_OPERATOR_BINARY(operator>>, PyNumber_Rshift) +PYBIND11_MATH_OPERATOR_BINARY(operator>>=, PyNumber_InPlaceRshift) + +#undef PYBIND11_MATH_OPERATOR_UNARY +#undef PYBIND11_MATH_OPERATOR_BINARY + +NAMESPACE_END(detail) +NAMESPACE_END(PYBIND11_NAMESPACE) diff --git a/external/pybind11/include/pybind11/stl.h b/external/pybind11/include/pybind11/stl.h new file mode 100644 index 0000000..32f8d29 --- /dev/null +++ b/external/pybind11/include/pybind11/stl.h @@ -0,0 +1,386 @@ +/* + pybind11/stl.h: Transparent conversion for STL data types + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#pragma once + +#include "pybind11.h" +#include +#include +#include +#include +#include +#include +#include +#include + +#if defined(_MSC_VER) +#pragma warning(push) +#pragma warning(disable: 4127) // warning C4127: Conditional expression is constant +#endif + +#ifdef __has_include +// std::optional (but including it in c++14 mode isn't allowed) +# if defined(PYBIND11_CPP17) && __has_include() +# include +# define PYBIND11_HAS_OPTIONAL 1 +# endif +// std::experimental::optional (but not allowed in c++11 mode) +# if defined(PYBIND11_CPP14) && (__has_include() && \ + !__has_include()) +# include +# define PYBIND11_HAS_EXP_OPTIONAL 1 +# endif +// std::variant +# if defined(PYBIND11_CPP17) && __has_include() +# include +# define PYBIND11_HAS_VARIANT 1 +# endif +#elif defined(_MSC_VER) && defined(PYBIND11_CPP17) +# include +# include +# define PYBIND11_HAS_OPTIONAL 1 +# define PYBIND11_HAS_VARIANT 1 +#endif + +NAMESPACE_BEGIN(PYBIND11_NAMESPACE) +NAMESPACE_BEGIN(detail) + +/// Extracts an const lvalue reference or rvalue reference for U based on the type of T (e.g. for +/// forwarding a container element). Typically used indirect via forwarded_type(), below. +template +using forwarded_type = conditional_t< + std::is_lvalue_reference::value, remove_reference_t &, remove_reference_t &&>; + +/// Forwards a value U as rvalue or lvalue according to whether T is rvalue or lvalue; typically +/// used for forwarding a container's elements. +template +forwarded_type forward_like(U &&u) { + return std::forward>(std::forward(u)); +} + +template struct set_caster { + using type = Type; + using key_conv = make_caster; + + bool load(handle src, bool convert) { + if (!isinstance(src)) + return false; + auto s = reinterpret_borrow(src); + value.clear(); + for (auto entry : s) { + key_conv conv; + if (!conv.load(entry, convert)) + return false; + value.insert(cast_op(std::move(conv))); + } + return true; + } + + template + static handle cast(T &&src, return_value_policy policy, handle parent) { + if (!std::is_lvalue_reference::value) + policy = return_value_policy_override::policy(policy); + pybind11::set s; + for (auto &&value : src) { + auto value_ = reinterpret_steal(key_conv::cast(forward_like(value), policy, parent)); + if (!value_ || !s.add(value_)) + return handle(); + } + return s.release(); + } + + PYBIND11_TYPE_CASTER(type, _("Set[") + key_conv::name + _("]")); +}; + +template struct map_caster { + using key_conv = make_caster; + using value_conv = make_caster; + + bool load(handle src, bool convert) { + if (!isinstance(src)) + return false; + auto d = reinterpret_borrow(src); + value.clear(); + for (auto it : d) { + key_conv kconv; + value_conv vconv; + if (!kconv.load(it.first.ptr(), convert) || + !vconv.load(it.second.ptr(), convert)) + return false; + value.emplace(cast_op(std::move(kconv)), cast_op(std::move(vconv))); + } + return true; + } + + template + static handle cast(T &&src, return_value_policy policy, handle parent) { + dict d; + return_value_policy policy_key = policy; + return_value_policy policy_value = policy; + if (!std::is_lvalue_reference::value) { + policy_key = return_value_policy_override::policy(policy_key); + policy_value = return_value_policy_override::policy(policy_value); + } + for (auto &&kv : src) { + auto key = reinterpret_steal(key_conv::cast(forward_like(kv.first), policy_key, parent)); + auto value = reinterpret_steal(value_conv::cast(forward_like(kv.second), policy_value, parent)); + if (!key || !value) + return handle(); + d[key] = value; + } + return d.release(); + } + + PYBIND11_TYPE_CASTER(Type, _("Dict[") + key_conv::name + _(", ") + value_conv::name + _("]")); +}; + +template struct list_caster { + using value_conv = make_caster; + + bool load(handle src, bool convert) { + if (!isinstance(src) || isinstance(src)) + return false; + auto s = reinterpret_borrow(src); + value.clear(); + reserve_maybe(s, &value); + for (auto it : s) { + value_conv conv; + if (!conv.load(it, convert)) + return false; + value.push_back(cast_op(std::move(conv))); + } + return true; + } + +private: + template ().reserve(0)), void>::value, int> = 0> + void reserve_maybe(sequence s, Type *) { value.reserve(s.size()); } + void reserve_maybe(sequence, void *) { } + +public: + template + static handle cast(T &&src, return_value_policy policy, handle parent) { + if (!std::is_lvalue_reference::value) + policy = return_value_policy_override::policy(policy); + list l(src.size()); + size_t index = 0; + for (auto &&value : src) { + auto value_ = reinterpret_steal(value_conv::cast(forward_like(value), policy, parent)); + if (!value_) + return handle(); + PyList_SET_ITEM(l.ptr(), (ssize_t) index++, value_.release().ptr()); // steals a reference + } + return l.release(); + } + + PYBIND11_TYPE_CASTER(Type, _("List[") + value_conv::name + _("]")); +}; + +template struct type_caster> + : list_caster, Type> { }; + +template struct type_caster> + : list_caster, Type> { }; + +template struct type_caster> + : list_caster, Type> { }; + +template struct array_caster { + using value_conv = make_caster; + +private: + template + bool require_size(enable_if_t size) { + if (value.size() != size) + value.resize(size); + return true; + } + template + bool require_size(enable_if_t size) { + return size == Size; + } + +public: + bool load(handle src, bool convert) { + if (!isinstance(src)) + return false; + auto l = reinterpret_borrow(src); + if (!require_size(l.size())) + return false; + size_t ctr = 0; + for (auto it : l) { + value_conv conv; + if (!conv.load(it, convert)) + return false; + value[ctr++] = cast_op(std::move(conv)); + } + return true; + } + + template + static handle cast(T &&src, return_value_policy policy, handle parent) { + list l(src.size()); + size_t index = 0; + for (auto &&value : src) { + auto value_ = reinterpret_steal(value_conv::cast(forward_like(value), policy, parent)); + if (!value_) + return handle(); + PyList_SET_ITEM(l.ptr(), (ssize_t) index++, value_.release().ptr()); // steals a reference + } + return l.release(); + } + + PYBIND11_TYPE_CASTER(ArrayType, _("List[") + value_conv::name + _(_(""), _("[") + _() + _("]")) + _("]")); +}; + +template struct type_caster> + : array_caster, Type, false, Size> { }; + +template struct type_caster> + : array_caster, Type, true> { }; + +template struct type_caster> + : set_caster, Key> { }; + +template struct type_caster> + : set_caster, Key> { }; + +template struct type_caster> + : map_caster, Key, Value> { }; + +template struct type_caster> + : map_caster, Key, Value> { }; + +// This type caster is intended to be used for std::optional and std::experimental::optional +template struct optional_caster { + using value_conv = make_caster; + + template + static handle cast(T_ &&src, return_value_policy policy, handle parent) { + if (!src) + return none().inc_ref(); + policy = return_value_policy_override::policy(policy); + return value_conv::cast(*std::forward(src), policy, parent); + } + + bool load(handle src, bool convert) { + if (!src) { + return false; + } else if (src.is_none()) { + return true; // default-constructed value is already empty + } + value_conv inner_caster; + if (!inner_caster.load(src, convert)) + return false; + + value.emplace(cast_op(std::move(inner_caster))); + return true; + } + + PYBIND11_TYPE_CASTER(T, _("Optional[") + value_conv::name + _("]")); +}; + +#if PYBIND11_HAS_OPTIONAL +template struct type_caster> + : public optional_caster> {}; + +template<> struct type_caster + : public void_caster {}; +#endif + +#if PYBIND11_HAS_EXP_OPTIONAL +template struct type_caster> + : public optional_caster> {}; + +template<> struct type_caster + : public void_caster {}; +#endif + +/// Visit a variant and cast any found type to Python +struct variant_caster_visitor { + return_value_policy policy; + handle parent; + + using result_type = handle; // required by boost::variant in C++11 + + template + result_type operator()(T &&src) const { + return make_caster::cast(std::forward(src), policy, parent); + } +}; + +/// Helper class which abstracts away variant's `visit` function. `std::variant` and similar +/// `namespace::variant` types which provide a `namespace::visit()` function are handled here +/// automatically using argument-dependent lookup. Users can provide specializations for other +/// variant-like classes, e.g. `boost::variant` and `boost::apply_visitor`. +template class Variant> +struct visit_helper { + template + static auto call(Args &&...args) -> decltype(visit(std::forward(args)...)) { + return visit(std::forward(args)...); + } +}; + +/// Generic variant caster +template struct variant_caster; + +template class V, typename... Ts> +struct variant_caster> { + static_assert(sizeof...(Ts) > 0, "Variant must consist of at least one alternative."); + + template + bool load_alternative(handle src, bool convert, type_list) { + auto caster = make_caster(); + if (caster.load(src, convert)) { + value = cast_op(caster); + return true; + } + return load_alternative(src, convert, type_list{}); + } + + bool load_alternative(handle, bool, type_list<>) { return false; } + + bool load(handle src, bool convert) { + // Do a first pass without conversions to improve constructor resolution. + // E.g. `py::int_(1).cast>()` needs to fill the `int` + // slot of the variant. Without two-pass loading `double` would be filled + // because it appears first and a conversion is possible. + if (convert && load_alternative(src, false, type_list{})) + return true; + return load_alternative(src, convert, type_list{}); + } + + template + static handle cast(Variant &&src, return_value_policy policy, handle parent) { + return visit_helper::call(variant_caster_visitor{policy, parent}, + std::forward(src)); + } + + using Type = V; + PYBIND11_TYPE_CASTER(Type, _("Union[") + detail::concat(make_caster::name...) + _("]")); +}; + +#if PYBIND11_HAS_VARIANT +template +struct type_caster> : variant_caster> { }; +#endif + +NAMESPACE_END(detail) + +inline std::ostream &operator<<(std::ostream &os, const handle &obj) { + os << (std::string) str(obj); + return os; +} + +NAMESPACE_END(PYBIND11_NAMESPACE) + +#if defined(_MSC_VER) +#pragma warning(pop) +#endif diff --git a/external/pybind11/include/pybind11/stl_bind.h b/external/pybind11/include/pybind11/stl_bind.h new file mode 100644 index 0000000..d3adaed --- /dev/null +++ b/external/pybind11/include/pybind11/stl_bind.h @@ -0,0 +1,649 @@ +/* + pybind11/std_bind.h: Binding generators for STL data types + + Copyright (c) 2016 Sergey Lyskov and Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#pragma once + +#include "detail/common.h" +#include "operators.h" + +#include +#include + +NAMESPACE_BEGIN(PYBIND11_NAMESPACE) +NAMESPACE_BEGIN(detail) + +/* SFINAE helper class used by 'is_comparable */ +template struct container_traits { + template static std::true_type test_comparable(decltype(std::declval() == std::declval())*); + template static std::false_type test_comparable(...); + template static std::true_type test_value(typename T2::value_type *); + template static std::false_type test_value(...); + template static std::true_type test_pair(typename T2::first_type *, typename T2::second_type *); + template static std::false_type test_pair(...); + + static constexpr const bool is_comparable = std::is_same(nullptr))>::value; + static constexpr const bool is_pair = std::is_same(nullptr, nullptr))>::value; + static constexpr const bool is_vector = std::is_same(nullptr))>::value; + static constexpr const bool is_element = !is_pair && !is_vector; +}; + +/* Default: is_comparable -> std::false_type */ +template +struct is_comparable : std::false_type { }; + +/* For non-map data structures, check whether operator== can be instantiated */ +template +struct is_comparable< + T, enable_if_t::is_element && + container_traits::is_comparable>> + : std::true_type { }; + +/* For a vector/map data structure, recursively check the value type (which is std::pair for maps) */ +template +struct is_comparable::is_vector>> { + static constexpr const bool value = + is_comparable::value; +}; + +/* For pairs, recursively check the two data types */ +template +struct is_comparable::is_pair>> { + static constexpr const bool value = + is_comparable::value && + is_comparable::value; +}; + +/* Fallback functions */ +template void vector_if_copy_constructible(const Args &...) { } +template void vector_if_equal_operator(const Args &...) { } +template void vector_if_insertion_operator(const Args &...) { } +template void vector_modifiers(const Args &...) { } + +template +void vector_if_copy_constructible(enable_if_t::value, Class_> &cl) { + cl.def(init(), "Copy constructor"); +} + +template +void vector_if_equal_operator(enable_if_t::value, Class_> &cl) { + using T = typename Vector::value_type; + + cl.def(self == self); + cl.def(self != self); + + cl.def("count", + [](const Vector &v, const T &x) { + return std::count(v.begin(), v.end(), x); + }, + arg("x"), + "Return the number of times ``x`` appears in the list" + ); + + cl.def("remove", [](Vector &v, const T &x) { + auto p = std::find(v.begin(), v.end(), x); + if (p != v.end()) + v.erase(p); + else + throw value_error(); + }, + arg("x"), + "Remove the first item from the list whose value is x. " + "It is an error if there is no such item." + ); + + cl.def("__contains__", + [](const Vector &v, const T &x) { + return std::find(v.begin(), v.end(), x) != v.end(); + }, + arg("x"), + "Return true the container contains ``x``" + ); +} + +// Vector modifiers -- requires a copyable vector_type: +// (Technically, some of these (pop and __delitem__) don't actually require copyability, but it seems +// silly to allow deletion but not insertion, so include them here too.) +template +void vector_modifiers(enable_if_t::value, Class_> &cl) { + using T = typename Vector::value_type; + using SizeType = typename Vector::size_type; + using DiffType = typename Vector::difference_type; + + auto wrap_i = [](DiffType i, SizeType n) { + if (i < 0) + i += n; + if (i < 0 || (SizeType)i >= n) + throw index_error(); + return i; + }; + + cl.def("append", + [](Vector &v, const T &value) { v.push_back(value); }, + arg("x"), + "Add an item to the end of the list"); + + cl.def(init([](iterable it) { + auto v = std::unique_ptr(new Vector()); + v->reserve(len_hint(it)); + for (handle h : it) + v->push_back(h.cast()); + return v.release(); + })); + + cl.def("extend", + [](Vector &v, const Vector &src) { + v.insert(v.end(), src.begin(), src.end()); + }, + arg("L"), + "Extend the list by appending all the items in the given list" + ); + + cl.def("extend", + [](Vector &v, iterable it) { + const size_t old_size = v.size(); + v.reserve(old_size + len_hint(it)); + try { + for (handle h : it) { + v.push_back(h.cast()); + } + } catch (const cast_error &) { + v.erase(v.begin() + static_cast(old_size), v.end()); + try { + v.shrink_to_fit(); + } catch (const std::exception &) { + // Do nothing + } + throw; + } + }, + arg("L"), + "Extend the list by appending all the items in the given list" + ); + + cl.def("insert", + [](Vector &v, DiffType i, const T &x) { + // Can't use wrap_i; i == v.size() is OK + if (i < 0) + i += v.size(); + if (i < 0 || (SizeType)i > v.size()) + throw index_error(); + v.insert(v.begin() + i, x); + }, + arg("i") , arg("x"), + "Insert an item at a given position." + ); + + cl.def("pop", + [](Vector &v) { + if (v.empty()) + throw index_error(); + T t = v.back(); + v.pop_back(); + return t; + }, + "Remove and return the last item" + ); + + cl.def("pop", + [wrap_i](Vector &v, DiffType i) { + i = wrap_i(i, v.size()); + T t = v[(SizeType) i]; + v.erase(v.begin() + i); + return t; + }, + arg("i"), + "Remove and return the item at index ``i``" + ); + + cl.def("__setitem__", + [wrap_i](Vector &v, DiffType i, const T &t) { + i = wrap_i(i, v.size()); + v[(SizeType)i] = t; + } + ); + + /// Slicing protocol + cl.def("__getitem__", + [](const Vector &v, slice slice) -> Vector * { + size_t start, stop, step, slicelength; + + if (!slice.compute(v.size(), &start, &stop, &step, &slicelength)) + throw error_already_set(); + + Vector *seq = new Vector(); + seq->reserve((size_t) slicelength); + + for (size_t i=0; ipush_back(v[start]); + start += step; + } + return seq; + }, + arg("s"), + "Retrieve list elements using a slice object" + ); + + cl.def("__setitem__", + [](Vector &v, slice slice, const Vector &value) { + size_t start, stop, step, slicelength; + if (!slice.compute(v.size(), &start, &stop, &step, &slicelength)) + throw error_already_set(); + + if (slicelength != value.size()) + throw std::runtime_error("Left and right hand size of slice assignment have different sizes!"); + + for (size_t i=0; i), +// we have to access by copying; otherwise we return by reference. +template using vector_needs_copy = negation< + std::is_same()[typename Vector::size_type()]), typename Vector::value_type &>>; + +// The usual case: access and iterate by reference +template +void vector_accessor(enable_if_t::value, Class_> &cl) { + using T = typename Vector::value_type; + using SizeType = typename Vector::size_type; + using DiffType = typename Vector::difference_type; + using ItType = typename Vector::iterator; + + auto wrap_i = [](DiffType i, SizeType n) { + if (i < 0) + i += n; + if (i < 0 || (SizeType)i >= n) + throw index_error(); + return i; + }; + + cl.def("__getitem__", + [wrap_i](Vector &v, DiffType i) -> T & { + i = wrap_i(i, v.size()); + return v[(SizeType)i]; + }, + return_value_policy::reference_internal // ref + keepalive + ); + + cl.def("__iter__", + [](Vector &v) { + return make_iterator< + return_value_policy::reference_internal, ItType, ItType, T&>( + v.begin(), v.end()); + }, + keep_alive<0, 1>() /* Essential: keep list alive while iterator exists */ + ); +} + +// The case for special objects, like std::vector, that have to be returned-by-copy: +template +void vector_accessor(enable_if_t::value, Class_> &cl) { + using T = typename Vector::value_type; + using SizeType = typename Vector::size_type; + using DiffType = typename Vector::difference_type; + using ItType = typename Vector::iterator; + cl.def("__getitem__", + [](const Vector &v, DiffType i) -> T { + if (i < 0 && (i += v.size()) < 0) + throw index_error(); + if ((SizeType)i >= v.size()) + throw index_error(); + return v[(SizeType)i]; + } + ); + + cl.def("__iter__", + [](Vector &v) { + return make_iterator< + return_value_policy::copy, ItType, ItType, T>( + v.begin(), v.end()); + }, + keep_alive<0, 1>() /* Essential: keep list alive while iterator exists */ + ); +} + +template auto vector_if_insertion_operator(Class_ &cl, std::string const &name) + -> decltype(std::declval() << std::declval(), void()) { + using size_type = typename Vector::size_type; + + cl.def("__repr__", + [name](Vector &v) { + std::ostringstream s; + s << name << '['; + for (size_type i=0; i < v.size(); ++i) { + s << v[i]; + if (i != v.size() - 1) + s << ", "; + } + s << ']'; + return s.str(); + }, + "Return the canonical string representation of this list." + ); +} + +// Provide the buffer interface for vectors if we have data() and we have a format for it +// GCC seems to have "void std::vector::data()" - doing SFINAE on the existence of data() is insufficient, we need to check it returns an appropriate pointer +template +struct vector_has_data_and_format : std::false_type {}; +template +struct vector_has_data_and_format::format(), std::declval().data()), typename Vector::value_type*>::value>> : std::true_type {}; + +// Add the buffer interface to a vector +template +enable_if_t...>::value> +vector_buffer(Class_& cl) { + using T = typename Vector::value_type; + + static_assert(vector_has_data_and_format::value, "There is not an appropriate format descriptor for this vector"); + + // numpy.h declares this for arbitrary types, but it may raise an exception and crash hard at runtime if PYBIND11_NUMPY_DTYPE hasn't been called, so check here + format_descriptor::format(); + + cl.def_buffer([](Vector& v) -> buffer_info { + return buffer_info(v.data(), static_cast(sizeof(T)), format_descriptor::format(), 1, {v.size()}, {sizeof(T)}); + }); + + cl.def(init([](buffer buf) { + auto info = buf.request(); + if (info.ndim != 1 || info.strides[0] % static_cast(sizeof(T))) + throw type_error("Only valid 1D buffers can be copied to a vector"); + if (!detail::compare_buffer_info::compare(info) || (ssize_t) sizeof(T) != info.itemsize) + throw type_error("Format mismatch (Python: " + info.format + " C++: " + format_descriptor::format() + ")"); + + auto vec = std::unique_ptr(new Vector()); + vec->reserve((size_t) info.shape[0]); + T *p = static_cast(info.ptr); + ssize_t step = info.strides[0] / static_cast(sizeof(T)); + T *end = p + info.shape[0] * step; + for (; p != end; p += step) + vec->push_back(*p); + return vec.release(); + })); + + return; +} + +template +enable_if_t...>::value> vector_buffer(Class_&) {} + +NAMESPACE_END(detail) + +// +// std::vector +// +template , typename... Args> +class_ bind_vector(handle scope, std::string const &name, Args&&... args) { + using Class_ = class_; + + // If the value_type is unregistered (e.g. a converting type) or is itself registered + // module-local then make the vector binding module-local as well: + using vtype = typename Vector::value_type; + auto vtype_info = detail::get_type_info(typeid(vtype)); + bool local = !vtype_info || vtype_info->module_local; + + Class_ cl(scope, name.c_str(), pybind11::module_local(local), std::forward(args)...); + + // Declare the buffer interface if a buffer_protocol() is passed in + detail::vector_buffer(cl); + + cl.def(init<>()); + + // Register copy constructor (if possible) + detail::vector_if_copy_constructible(cl); + + // Register comparison-related operators and functions (if possible) + detail::vector_if_equal_operator(cl); + + // Register stream insertion operator (if possible) + detail::vector_if_insertion_operator(cl, name); + + // Modifiers require copyable vector value type + detail::vector_modifiers(cl); + + // Accessor and iterator; return by value if copyable, otherwise we return by ref + keep-alive + detail::vector_accessor(cl); + + cl.def("__bool__", + [](const Vector &v) -> bool { + return !v.empty(); + }, + "Check whether the list is nonempty" + ); + + cl.def("__len__", &Vector::size); + + + + +#if 0 + // C++ style functions deprecated, leaving it here as an example + cl.def(init()); + + cl.def("resize", + (void (Vector::*) (size_type count)) & Vector::resize, + "changes the number of elements stored"); + + cl.def("erase", + [](Vector &v, SizeType i) { + if (i >= v.size()) + throw index_error(); + v.erase(v.begin() + i); + }, "erases element at index ``i``"); + + cl.def("empty", &Vector::empty, "checks whether the container is empty"); + cl.def("size", &Vector::size, "returns the number of elements"); + cl.def("push_back", (void (Vector::*)(const T&)) &Vector::push_back, "adds an element to the end"); + cl.def("pop_back", &Vector::pop_back, "removes the last element"); + + cl.def("max_size", &Vector::max_size, "returns the maximum possible number of elements"); + cl.def("reserve", &Vector::reserve, "reserves storage"); + cl.def("capacity", &Vector::capacity, "returns the number of elements that can be held in currently allocated storage"); + cl.def("shrink_to_fit", &Vector::shrink_to_fit, "reduces memory usage by freeing unused memory"); + + cl.def("clear", &Vector::clear, "clears the contents"); + cl.def("swap", &Vector::swap, "swaps the contents"); + + cl.def("front", [](Vector &v) { + if (v.size()) return v.front(); + else throw index_error(); + }, "access the first element"); + + cl.def("back", [](Vector &v) { + if (v.size()) return v.back(); + else throw index_error(); + }, "access the last element "); + +#endif + + return cl; +} + + + +// +// std::map, std::unordered_map +// + +NAMESPACE_BEGIN(detail) + +/* Fallback functions */ +template void map_if_insertion_operator(const Args &...) { } +template void map_assignment(const Args &...) { } + +// Map assignment when copy-assignable: just copy the value +template +void map_assignment(enable_if_t::value, Class_> &cl) { + using KeyType = typename Map::key_type; + using MappedType = typename Map::mapped_type; + + cl.def("__setitem__", + [](Map &m, const KeyType &k, const MappedType &v) { + auto it = m.find(k); + if (it != m.end()) it->second = v; + else m.emplace(k, v); + } + ); +} + +// Not copy-assignable, but still copy-constructible: we can update the value by erasing and reinserting +template +void map_assignment(enable_if_t< + !std::is_copy_assignable::value && + is_copy_constructible::value, + Class_> &cl) { + using KeyType = typename Map::key_type; + using MappedType = typename Map::mapped_type; + + cl.def("__setitem__", + [](Map &m, const KeyType &k, const MappedType &v) { + // We can't use m[k] = v; because value type might not be default constructable + auto r = m.emplace(k, v); + if (!r.second) { + // value type is not copy assignable so the only way to insert it is to erase it first... + m.erase(r.first); + m.emplace(k, v); + } + } + ); +} + + +template auto map_if_insertion_operator(Class_ &cl, std::string const &name) +-> decltype(std::declval() << std::declval() << std::declval(), void()) { + + cl.def("__repr__", + [name](Map &m) { + std::ostringstream s; + s << name << '{'; + bool f = false; + for (auto const &kv : m) { + if (f) + s << ", "; + s << kv.first << ": " << kv.second; + f = true; + } + s << '}'; + return s.str(); + }, + "Return the canonical string representation of this map." + ); +} + + +NAMESPACE_END(detail) + +template , typename... Args> +class_ bind_map(handle scope, const std::string &name, Args&&... args) { + using KeyType = typename Map::key_type; + using MappedType = typename Map::mapped_type; + using Class_ = class_; + + // If either type is a non-module-local bound type then make the map binding non-local as well; + // otherwise (e.g. both types are either module-local or converting) the map will be + // module-local. + auto tinfo = detail::get_type_info(typeid(MappedType)); + bool local = !tinfo || tinfo->module_local; + if (local) { + tinfo = detail::get_type_info(typeid(KeyType)); + local = !tinfo || tinfo->module_local; + } + + Class_ cl(scope, name.c_str(), pybind11::module_local(local), std::forward(args)...); + + cl.def(init<>()); + + // Register stream insertion operator (if possible) + detail::map_if_insertion_operator(cl, name); + + cl.def("__bool__", + [](const Map &m) -> bool { return !m.empty(); }, + "Check whether the map is nonempty" + ); + + cl.def("__iter__", + [](Map &m) { return make_key_iterator(m.begin(), m.end()); }, + keep_alive<0, 1>() /* Essential: keep list alive while iterator exists */ + ); + + cl.def("items", + [](Map &m) { return make_iterator(m.begin(), m.end()); }, + keep_alive<0, 1>() /* Essential: keep list alive while iterator exists */ + ); + + cl.def("__getitem__", + [](Map &m, const KeyType &k) -> MappedType & { + auto it = m.find(k); + if (it == m.end()) + throw key_error(); + return it->second; + }, + return_value_policy::reference_internal // ref + keepalive + ); + + cl.def("__contains__", + [](Map &m, const KeyType &k) -> bool { + auto it = m.find(k); + if (it == m.end()) + return false; + return true; + } + ); + + // Assignment provided only if the type is copyable + detail::map_assignment(cl); + + cl.def("__delitem__", + [](Map &m, const KeyType &k) { + auto it = m.find(k); + if (it == m.end()) + throw key_error(); + m.erase(it); + } + ); + + cl.def("__len__", &Map::size); + + return cl; +} + +NAMESPACE_END(PYBIND11_NAMESPACE) diff --git a/external/pybind11/pybind11/__init__.py b/external/pybind11/pybind11/__init__.py new file mode 100644 index 0000000..c625e8c --- /dev/null +++ b/external/pybind11/pybind11/__init__.py @@ -0,0 +1,36 @@ +from ._version import version_info, __version__ # noqa: F401 imported but unused + + +def get_include(user=False): + from distutils.dist import Distribution + import os + import sys + + # Are we running in a virtual environment? + virtualenv = hasattr(sys, 'real_prefix') or \ + sys.prefix != getattr(sys, "base_prefix", sys.prefix) + + # Are we running in a conda environment? + conda = os.path.exists(os.path.join(sys.prefix, 'conda-meta')) + + if virtualenv: + return os.path.join(sys.prefix, 'include', 'site', + 'python' + sys.version[:3]) + elif conda: + if os.name == 'nt': + return os.path.join(sys.prefix, 'Library', 'include') + else: + return os.path.join(sys.prefix, 'include') + else: + dist = Distribution({'name': 'pybind11'}) + dist.parse_config_files() + + dist_cobj = dist.get_command_obj('install', create=True) + + # Search for packages in user's home directory? + if user: + dist_cobj.user = user + dist_cobj.prefix = "" + dist_cobj.finalize_options() + + return os.path.dirname(dist_cobj.install_headers) diff --git a/external/pybind11/pybind11/__main__.py b/external/pybind11/pybind11/__main__.py new file mode 100644 index 0000000..9ef8378 --- /dev/null +++ b/external/pybind11/pybind11/__main__.py @@ -0,0 +1,37 @@ +from __future__ import print_function + +import argparse +import sys +import sysconfig + +from . import get_include + + +def print_includes(): + dirs = [sysconfig.get_path('include'), + sysconfig.get_path('platinclude'), + get_include(), + get_include(True)] + + # Make unique but preserve order + unique_dirs = [] + for d in dirs: + if d not in unique_dirs: + unique_dirs.append(d) + + print(' '.join('-I' + d for d in unique_dirs)) + + +def main(): + parser = argparse.ArgumentParser(prog='python -m pybind11') + parser.add_argument('--includes', action='store_true', + help='Include flags for both pybind11 and Python headers.') + args = parser.parse_args() + if not sys.argv[1:]: + parser.print_help() + if args.includes: + print_includes() + + +if __name__ == '__main__': + main() diff --git a/external/pybind11/pybind11/_version.py b/external/pybind11/pybind11/_version.py new file mode 100644 index 0000000..2709cc5 --- /dev/null +++ b/external/pybind11/pybind11/_version.py @@ -0,0 +1,2 @@ +version_info = (2, 4, 3) +__version__ = '.'.join(map(str, version_info)) diff --git a/external/pybind11/setup.cfg b/external/pybind11/setup.cfg new file mode 100644 index 0000000..002f38d --- /dev/null +++ b/external/pybind11/setup.cfg @@ -0,0 +1,12 @@ +[bdist_wheel] +universal=1 + +[flake8] +max-line-length = 99 +show_source = True +exclude = .git, __pycache__, build, dist, docs, tools, venv +ignore = + # required for pretty matrix formatting: multiple spaces after `,` and `[` + E201, E241, W504, + # camelcase 'cPickle' imported as lowercase 'pickle' + N813 diff --git a/external/pybind11/setup.py b/external/pybind11/setup.py new file mode 100644 index 0000000..f677f2a --- /dev/null +++ b/external/pybind11/setup.py @@ -0,0 +1,108 @@ +#!/usr/bin/env python + +# Setup script for PyPI; use CMakeFile.txt to build extension modules + +from setuptools import setup +from distutils.command.install_headers import install_headers +from pybind11 import __version__ +import os + +# Prevent installation of pybind11 headers by setting +# PYBIND11_USE_CMAKE. +if os.environ.get('PYBIND11_USE_CMAKE'): + headers = [] +else: + headers = [ + 'include/pybind11/detail/class.h', + 'include/pybind11/detail/common.h', + 'include/pybind11/detail/descr.h', + 'include/pybind11/detail/init.h', + 'include/pybind11/detail/internals.h', + 'include/pybind11/detail/typeid.h', + 'include/pybind11/attr.h', + 'include/pybind11/buffer_info.h', + 'include/pybind11/cast.h', + 'include/pybind11/chrono.h', + 'include/pybind11/common.h', + 'include/pybind11/complex.h', + 'include/pybind11/eigen.h', + 'include/pybind11/embed.h', + 'include/pybind11/eval.h', + 'include/pybind11/functional.h', + 'include/pybind11/iostream.h', + 'include/pybind11/numpy.h', + 'include/pybind11/operators.h', + 'include/pybind11/options.h', + 'include/pybind11/pybind11.h', + 'include/pybind11/pytypes.h', + 'include/pybind11/stl.h', + 'include/pybind11/stl_bind.h', + ] + + +class InstallHeaders(install_headers): + """Use custom header installer because the default one flattens subdirectories""" + def run(self): + if not self.distribution.headers: + return + + for header in self.distribution.headers: + subdir = os.path.dirname(os.path.relpath(header, 'include/pybind11')) + install_dir = os.path.join(self.install_dir, subdir) + self.mkpath(install_dir) + + (out, _) = self.copy_file(header, install_dir) + self.outfiles.append(out) + + +setup( + name='pybind11', + version=__version__, + description='Seamless operability between C++11 and Python', + author='Wenzel Jakob', + author_email='wenzel.jakob@epfl.ch', + url='https://github.com/pybind/pybind11', + download_url='https://github.com/pybind/pybind11/tarball/v' + __version__, + packages=['pybind11'], + license='BSD', + headers=headers, + cmdclass=dict(install_headers=InstallHeaders), + classifiers=[ + 'Development Status :: 5 - Production/Stable', + 'Intended Audience :: Developers', + 'Topic :: Software Development :: Libraries :: Python Modules', + 'Topic :: Utilities', + 'Programming Language :: C++', + 'Programming Language :: Python :: 2.7', + 'Programming Language :: Python :: 3', + 'Programming Language :: Python :: 3.2', + 'Programming Language :: Python :: 3.3', + 'Programming Language :: Python :: 3.4', + 'Programming Language :: Python :: 3.5', + 'Programming Language :: Python :: 3.6', + 'License :: OSI Approved :: BSD License' + ], + keywords='C++11, Python bindings', + long_description="""pybind11 is a lightweight header-only library that +exposes C++ types in Python and vice versa, mainly to create Python bindings of +existing C++ code. Its goals and syntax are similar to the excellent +Boost.Python by David Abrahams: to minimize boilerplate code in traditional +extension modules by inferring type information using compile-time +introspection. + +The main issue with Boost.Python-and the reason for creating such a similar +project-is Boost. Boost is an enormously large and complex suite of utility +libraries that works with almost every C++ compiler in existence. This +compatibility has its cost: arcane template tricks and workarounds are +necessary to support the oldest and buggiest of compiler specimens. Now that +C++11-compatible compilers are widely available, this heavy machinery has +become an excessively large and unnecessary dependency. + +Think of this library as a tiny self-contained version of Boost.Python with +everything stripped away that isn't relevant for binding generation. Without +comments, the core header files only require ~4K lines of code and depend on +Python (2.7 or 3.x, or PyPy2.7 >= 5.7) and the C++ standard library. This +compact implementation was possible thanks to some of the new C++11 language +features (specifically: tuples, lambda functions and variadic templates). Since +its creation, this library has grown beyond Boost.Python in many ways, leading +to dramatically simpler binding code in many common situations.""") diff --git a/external/pybind11/tests/CMakeLists.txt b/external/pybind11/tests/CMakeLists.txt new file mode 100644 index 0000000..765c47a --- /dev/null +++ b/external/pybind11/tests/CMakeLists.txt @@ -0,0 +1,259 @@ +# CMakeLists.txt -- Build system for the pybind11 test suite +# +# Copyright (c) 2015 Wenzel Jakob +# +# All rights reserved. Use of this source code is governed by a +# BSD-style license that can be found in the LICENSE file. + +cmake_minimum_required(VERSION 2.8.12) + +option(PYBIND11_WERROR "Report all warnings as errors" OFF) + +if (CMAKE_CURRENT_SOURCE_DIR STREQUAL CMAKE_SOURCE_DIR) + # We're being loaded directly, i.e. not via add_subdirectory, so make this + # work as its own project and load the pybind11Config to get the tools we need + project(pybind11_tests CXX) + + find_package(pybind11 REQUIRED CONFIG) +endif() + +if(NOT CMAKE_BUILD_TYPE AND NOT CMAKE_CONFIGURATION_TYPES) + message(STATUS "Setting tests build type to MinSizeRel as none was specified") + set(CMAKE_BUILD_TYPE MinSizeRel CACHE STRING "Choose the type of build." FORCE) + set_property(CACHE CMAKE_BUILD_TYPE PROPERTY STRINGS "Debug" "Release" + "MinSizeRel" "RelWithDebInfo") +endif() + +# Full set of test files (you can override these; see below) +set(PYBIND11_TEST_FILES + test_async.cpp + test_buffers.cpp + test_builtin_casters.cpp + test_call_policies.cpp + test_callbacks.cpp + test_chrono.cpp + test_class.cpp + test_constants_and_functions.cpp + test_copy_move.cpp + test_docstring_options.cpp + test_eigen.cpp + test_enum.cpp + test_eval.cpp + test_exceptions.cpp + test_factory_constructors.cpp + test_gil_scoped.cpp + test_iostream.cpp + test_kwargs_and_defaults.cpp + test_local_bindings.cpp + test_methods_and_attributes.cpp + test_modules.cpp + test_multiple_inheritance.cpp + test_numpy_array.cpp + test_numpy_dtypes.cpp + test_numpy_vectorize.cpp + test_opaque_types.cpp + test_operator_overloading.cpp + test_pickling.cpp + test_pytypes.cpp + test_sequences_and_iterators.cpp + test_smart_ptr.cpp + test_stl.cpp + test_stl_binders.cpp + test_tagbased_polymorphic.cpp + test_union.cpp + test_virtual_functions.cpp +) + +# Invoking cmake with something like: +# cmake -DPYBIND11_TEST_OVERRIDE="test_callbacks.cpp;test_picking.cpp" .. +# lets you override the tests that get compiled and run. You can restore to all tests with: +# cmake -DPYBIND11_TEST_OVERRIDE= .. +if (PYBIND11_TEST_OVERRIDE) + set(PYBIND11_TEST_FILES ${PYBIND11_TEST_OVERRIDE}) +endif() + +# Skip test_async for Python < 3.5 +list(FIND PYBIND11_TEST_FILES test_async.cpp PYBIND11_TEST_FILES_ASYNC_I) +if((PYBIND11_TEST_FILES_ASYNC_I GREATER -1) AND ("${PYTHON_VERSION_MAJOR}.${PYTHON_VERSION_MINOR}" VERSION_LESS 3.5)) + message(STATUS "Skipping test_async because Python version ${PYTHON_VERSION_MAJOR}.${PYTHON_VERSION_MINOR} < 3.5") + list(REMOVE_AT PYBIND11_TEST_FILES ${PYBIND11_TEST_FILES_ASYNC_I}) +endif() + +string(REPLACE ".cpp" ".py" PYBIND11_PYTEST_FILES "${PYBIND11_TEST_FILES}") + +# Contains the set of test files that require pybind11_cross_module_tests to be +# built; if none of these are built (i.e. because TEST_OVERRIDE is used and +# doesn't include them) the second module doesn't get built. +set(PYBIND11_CROSS_MODULE_TESTS + test_exceptions.py + test_local_bindings.py + test_stl.py + test_stl_binders.py +) + +set(PYBIND11_CROSS_MODULE_GIL_TESTS + test_gil_scoped.py +) + +# Check if Eigen is available; if not, remove from PYBIND11_TEST_FILES (but +# keep it in PYBIND11_PYTEST_FILES, so that we get the "eigen is not installed" +# skip message). +list(FIND PYBIND11_TEST_FILES test_eigen.cpp PYBIND11_TEST_FILES_EIGEN_I) +if(PYBIND11_TEST_FILES_EIGEN_I GREATER -1) + # Try loading via newer Eigen's Eigen3Config first (bypassing tools/FindEigen3.cmake). + # Eigen 3.3.1+ exports a cmake 3.0+ target for handling dependency requirements, but also + # produces a fatal error if loaded from a pre-3.0 cmake. + if (NOT CMAKE_VERSION VERSION_LESS 3.0) + find_package(Eigen3 3.2.7 QUIET CONFIG) + if (EIGEN3_FOUND) + if (EIGEN3_VERSION_STRING AND NOT EIGEN3_VERSION_STRING VERSION_LESS 3.3.1) + set(PYBIND11_EIGEN_VIA_TARGET 1) + endif() + endif() + endif() + if (NOT EIGEN3_FOUND) + # Couldn't load via target, so fall back to allowing module mode finding, which will pick up + # tools/FindEigen3.cmake + find_package(Eigen3 3.2.7 QUIET) + endif() + + if(EIGEN3_FOUND) + # Eigen 3.3.1+ cmake sets EIGEN3_VERSION_STRING (and hard codes the version when installed + # rather than looking it up in the cmake script); older versions, and the + # tools/FindEigen3.cmake, set EIGEN3_VERSION instead. + if(NOT EIGEN3_VERSION AND EIGEN3_VERSION_STRING) + set(EIGEN3_VERSION ${EIGEN3_VERSION_STRING}) + endif() + message(STATUS "Building tests with Eigen v${EIGEN3_VERSION}") + else() + list(REMOVE_AT PYBIND11_TEST_FILES ${PYBIND11_TEST_FILES_EIGEN_I}) + message(STATUS "Building tests WITHOUT Eigen") + endif() +endif() + +# Optional dependency for some tests (boost::variant is only supported with version >= 1.56) +find_package(Boost 1.56) + +# Compile with compiler warnings turned on +function(pybind11_enable_warnings target_name) + if(MSVC) + target_compile_options(${target_name} PRIVATE /W4) + elseif(CMAKE_CXX_COMPILER_ID MATCHES "(GNU|Intel|Clang)") + target_compile_options(${target_name} PRIVATE -Wall -Wextra -Wconversion -Wcast-qual -Wdeprecated) + endif() + + if(PYBIND11_WERROR) + if(MSVC) + target_compile_options(${target_name} PRIVATE /WX) + elseif(CMAKE_CXX_COMPILER_ID MATCHES "(GNU|Intel|Clang)") + target_compile_options(${target_name} PRIVATE -Werror) + endif() + endif() +endfunction() + +set(test_targets pybind11_tests) + +# Build pybind11_cross_module_tests if any test_whatever.py are being built that require it +foreach(t ${PYBIND11_CROSS_MODULE_TESTS}) + list(FIND PYBIND11_PYTEST_FILES ${t} i) + if (i GREATER -1) + list(APPEND test_targets pybind11_cross_module_tests) + break() + endif() +endforeach() + +foreach(t ${PYBIND11_CROSS_MODULE_GIL_TESTS}) + list(FIND PYBIND11_PYTEST_FILES ${t} i) + if (i GREATER -1) + list(APPEND test_targets cross_module_gil_utils) + break() + endif() +endforeach() + +set(testdir ${CMAKE_CURRENT_SOURCE_DIR}) +foreach(target ${test_targets}) + set(test_files ${PYBIND11_TEST_FILES}) + if(NOT target STREQUAL "pybind11_tests") + set(test_files "") + endif() + + # Create the binding library + pybind11_add_module(${target} THIN_LTO ${target}.cpp ${test_files} ${PYBIND11_HEADERS}) + pybind11_enable_warnings(${target}) + + if(MSVC) + target_compile_options(${target} PRIVATE /utf-8) + endif() + + if(EIGEN3_FOUND) + if (PYBIND11_EIGEN_VIA_TARGET) + target_link_libraries(${target} PRIVATE Eigen3::Eigen) + else() + target_include_directories(${target} PRIVATE ${EIGEN3_INCLUDE_DIR}) + endif() + target_compile_definitions(${target} PRIVATE -DPYBIND11_TEST_EIGEN) + endif() + + if(Boost_FOUND) + target_include_directories(${target} PRIVATE ${Boost_INCLUDE_DIRS}) + target_compile_definitions(${target} PRIVATE -DPYBIND11_TEST_BOOST) + endif() + + # Always write the output file directly into the 'tests' directory (even on MSVC) + if(NOT CMAKE_LIBRARY_OUTPUT_DIRECTORY) + set_target_properties(${target} PROPERTIES LIBRARY_OUTPUT_DIRECTORY ${testdir}) + foreach(config ${CMAKE_CONFIGURATION_TYPES}) + string(TOUPPER ${config} config) + set_target_properties(${target} PROPERTIES LIBRARY_OUTPUT_DIRECTORY_${config} ${testdir}) + endforeach() + endif() +endforeach() + +# Make sure pytest is found or produce a fatal error +if(NOT PYBIND11_PYTEST_FOUND) + execute_process(COMMAND ${PYTHON_EXECUTABLE} -c "import pytest; print(pytest.__version__)" + RESULT_VARIABLE pytest_not_found OUTPUT_VARIABLE pytest_version ERROR_QUIET) + if(pytest_not_found) + message(FATAL_ERROR "Running the tests requires pytest. Please install it manually" + " (try: ${PYTHON_EXECUTABLE} -m pip install pytest)") + elseif(pytest_version VERSION_LESS 3.0) + message(FATAL_ERROR "Running the tests requires pytest >= 3.0. Found: ${pytest_version}" + "Please update it (try: ${PYTHON_EXECUTABLE} -m pip install -U pytest)") + endif() + set(PYBIND11_PYTEST_FOUND TRUE CACHE INTERNAL "") +endif() + +if(CMAKE_VERSION VERSION_LESS 3.2) + set(PYBIND11_USES_TERMINAL "") +else() + set(PYBIND11_USES_TERMINAL "USES_TERMINAL") +endif() + +# A single command to compile and run the tests +add_custom_target(pytest COMMAND ${PYTHON_EXECUTABLE} -m pytest ${PYBIND11_PYTEST_FILES} + DEPENDS ${test_targets} WORKING_DIRECTORY ${testdir} ${PYBIND11_USES_TERMINAL}) + +if(PYBIND11_TEST_OVERRIDE) + add_custom_command(TARGET pytest POST_BUILD + COMMAND ${CMAKE_COMMAND} -E echo "Note: not all tests run: -DPYBIND11_TEST_OVERRIDE is in effect") +endif() + +# Add a check target to run all the tests, starting with pytest (we add dependencies to this below) +add_custom_target(check DEPENDS pytest) + +# The remaining tests only apply when being built as part of the pybind11 project, but not if the +# tests are being built independently. +if (NOT PROJECT_NAME STREQUAL "pybind11") + return() +endif() + +# Add a post-build comment to show the primary test suite .so size and, if a previous size, compare it: +add_custom_command(TARGET pybind11_tests POST_BUILD + COMMAND ${PYTHON_EXECUTABLE} ${PROJECT_SOURCE_DIR}/tools/libsize.py + $ ${CMAKE_CURRENT_BINARY_DIR}/sosize-$.txt) + +# Test embedding the interpreter. Provides the `cpptest` target. +add_subdirectory(test_embed) + +# Test CMake build using functions and targets from subdirectory or installed location +add_subdirectory(test_cmake_build) diff --git a/external/pybind11/tests/conftest.py b/external/pybind11/tests/conftest.py new file mode 100644 index 0000000..57f681c --- /dev/null +++ b/external/pybind11/tests/conftest.py @@ -0,0 +1,244 @@ +"""pytest configuration + +Extends output capture as needed by pybind11: ignore constructors, optional unordered lines. +Adds docstring and exceptions message sanitizers: ignore Python 2 vs 3 differences. +""" + +import pytest +import textwrap +import difflib +import re +import sys +import contextlib +import platform +import gc + +_unicode_marker = re.compile(r'u(\'[^\']*\')') +_long_marker = re.compile(r'([0-9])L') +_hexadecimal = re.compile(r'0x[0-9a-fA-F]+') + +# test_async.py requires support for async and await +collect_ignore = [] +if sys.version_info[:2] < (3, 5): + collect_ignore.append("test_async.py") + + +def _strip_and_dedent(s): + """For triple-quote strings""" + return textwrap.dedent(s.lstrip('\n').rstrip()) + + +def _split_and_sort(s): + """For output which does not require specific line order""" + return sorted(_strip_and_dedent(s).splitlines()) + + +def _make_explanation(a, b): + """Explanation for a failed assert -- the a and b arguments are List[str]""" + return ["--- actual / +++ expected"] + [line.strip('\n') for line in difflib.ndiff(a, b)] + + +class Output(object): + """Basic output post-processing and comparison""" + def __init__(self, string): + self.string = string + self.explanation = [] + + def __str__(self): + return self.string + + def __eq__(self, other): + # Ignore constructor/destructor output which is prefixed with "###" + a = [line for line in self.string.strip().splitlines() if not line.startswith("###")] + b = _strip_and_dedent(other).splitlines() + if a == b: + return True + else: + self.explanation = _make_explanation(a, b) + return False + + +class Unordered(Output): + """Custom comparison for output without strict line ordering""" + def __eq__(self, other): + a = _split_and_sort(self.string) + b = _split_and_sort(other) + if a == b: + return True + else: + self.explanation = _make_explanation(a, b) + return False + + +class Capture(object): + def __init__(self, capfd): + self.capfd = capfd + self.out = "" + self.err = "" + + def __enter__(self): + self.capfd.readouterr() + return self + + def __exit__(self, *args): + self.out, self.err = self.capfd.readouterr() + + def __eq__(self, other): + a = Output(self.out) + b = other + if a == b: + return True + else: + self.explanation = a.explanation + return False + + def __str__(self): + return self.out + + def __contains__(self, item): + return item in self.out + + @property + def unordered(self): + return Unordered(self.out) + + @property + def stderr(self): + return Output(self.err) + + +@pytest.fixture +def capture(capsys): + """Extended `capsys` with context manager and custom equality operators""" + return Capture(capsys) + + +class SanitizedString(object): + def __init__(self, sanitizer): + self.sanitizer = sanitizer + self.string = "" + self.explanation = [] + + def __call__(self, thing): + self.string = self.sanitizer(thing) + return self + + def __eq__(self, other): + a = self.string + b = _strip_and_dedent(other) + if a == b: + return True + else: + self.explanation = _make_explanation(a.splitlines(), b.splitlines()) + return False + + +def _sanitize_general(s): + s = s.strip() + s = s.replace("pybind11_tests.", "m.") + s = s.replace("unicode", "str") + s = _long_marker.sub(r"\1", s) + s = _unicode_marker.sub(r"\1", s) + return s + + +def _sanitize_docstring(thing): + s = thing.__doc__ + s = _sanitize_general(s) + return s + + +@pytest.fixture +def doc(): + """Sanitize docstrings and add custom failure explanation""" + return SanitizedString(_sanitize_docstring) + + +def _sanitize_message(thing): + s = str(thing) + s = _sanitize_general(s) + s = _hexadecimal.sub("0", s) + return s + + +@pytest.fixture +def msg(): + """Sanitize messages and add custom failure explanation""" + return SanitizedString(_sanitize_message) + + +# noinspection PyUnusedLocal +def pytest_assertrepr_compare(op, left, right): + """Hook to insert custom failure explanation""" + if hasattr(left, 'explanation'): + return left.explanation + + +@contextlib.contextmanager +def suppress(exception): + """Suppress the desired exception""" + try: + yield + except exception: + pass + + +def gc_collect(): + ''' Run the garbage collector twice (needed when running + reference counting tests with PyPy) ''' + gc.collect() + gc.collect() + + +def pytest_configure(): + """Add import suppression and test requirements to `pytest` namespace""" + try: + import numpy as np + except ImportError: + np = None + try: + import scipy + except ImportError: + scipy = None + try: + from pybind11_tests.eigen import have_eigen + except ImportError: + have_eigen = False + pypy = platform.python_implementation() == "PyPy" + + skipif = pytest.mark.skipif + pytest.suppress = suppress + pytest.requires_numpy = skipif(not np, reason="numpy is not installed") + pytest.requires_scipy = skipif(not np, reason="scipy is not installed") + pytest.requires_eigen_and_numpy = skipif(not have_eigen or not np, + reason="eigen and/or numpy are not installed") + pytest.requires_eigen_and_scipy = skipif( + not have_eigen or not scipy, reason="eigen and/or scipy are not installed") + pytest.unsupported_on_pypy = skipif(pypy, reason="unsupported on PyPy") + pytest.unsupported_on_py2 = skipif(sys.version_info.major < 3, + reason="unsupported on Python 2.x") + pytest.gc_collect = gc_collect + + +def _test_import_pybind11(): + """Early diagnostic for test module initialization errors + + When there is an error during initialization, the first import will report the + real error while all subsequent imports will report nonsense. This import test + is done early (in the pytest configuration file, before any tests) in order to + avoid the noise of having all tests fail with identical error messages. + + Any possible exception is caught here and reported manually *without* the stack + trace. This further reduces noise since the trace would only show pytest internals + which are not useful for debugging pybind11 module issues. + """ + # noinspection PyBroadException + try: + import pybind11_tests # noqa: F401 imported but unused + except Exception as e: + print("Failed to import pybind11_tests from pytest:") + print(" {}: {}".format(type(e).__name__, e)) + sys.exit(1) + + +_test_import_pybind11() diff --git a/external/pybind11/tests/constructor_stats.h b/external/pybind11/tests/constructor_stats.h new file mode 100644 index 0000000..f026e70 --- /dev/null +++ b/external/pybind11/tests/constructor_stats.h @@ -0,0 +1,276 @@ +#pragma once +/* + tests/constructor_stats.h -- framework for printing and tracking object + instance lifetimes in example/test code. + + Copyright (c) 2016 Jason Rhinelander + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. + +This header provides a few useful tools for writing examples or tests that want to check and/or +display object instance lifetimes. It requires that you include this header and add the following +function calls to constructors: + + class MyClass { + MyClass() { ...; print_default_created(this); } + ~MyClass() { ...; print_destroyed(this); } + MyClass(const MyClass &c) { ...; print_copy_created(this); } + MyClass(MyClass &&c) { ...; print_move_created(this); } + MyClass(int a, int b) { ...; print_created(this, a, b); } + MyClass &operator=(const MyClass &c) { ...; print_copy_assigned(this); } + MyClass &operator=(MyClass &&c) { ...; print_move_assigned(this); } + + ... + } + +You can find various examples of these in several of the existing testing .cpp files. (Of course +you don't need to add any of the above constructors/operators that you don't actually have, except +for the destructor). + +Each of these will print an appropriate message such as: + + ### MyClass @ 0x2801910 created via default constructor + ### MyClass @ 0x27fa780 created 100 200 + ### MyClass @ 0x2801910 destroyed + ### MyClass @ 0x27fa780 destroyed + +You can also include extra arguments (such as the 100, 200 in the output above, coming from the +value constructor) for all of the above methods which will be included in the output. + +For testing, each of these also keeps track the created instances and allows you to check how many +of the various constructors have been invoked from the Python side via code such as: + + from pybind11_tests import ConstructorStats + cstats = ConstructorStats.get(MyClass) + print(cstats.alive()) + print(cstats.default_constructions) + +Note that `.alive()` should usually be the first thing you call as it invokes Python's garbage +collector to actually destroy objects that aren't yet referenced. + +For everything except copy and move constructors and destructors, any extra values given to the +print_...() function is stored in a class-specific values list which you can retrieve and inspect +from the ConstructorStats instance `.values()` method. + +In some cases, when you need to track instances of a C++ class not registered with pybind11, you +need to add a function returning the ConstructorStats for the C++ class; this can be done with: + + m.def("get_special_cstats", &ConstructorStats::get, py::return_value_policy::reference) + +Finally, you can suppress the output messages, but keep the constructor tracking (for +inspection/testing in python) by using the functions with `print_` replaced with `track_` (e.g. +`track_copy_created(this)`). + +*/ + +#include "pybind11_tests.h" +#include +#include +#include +#include + +class ConstructorStats { +protected: + std::unordered_map _instances; // Need a map rather than set because members can shared address with parents + std::list _values; // Used to track values (e.g. of value constructors) +public: + int default_constructions = 0; + int copy_constructions = 0; + int move_constructions = 0; + int copy_assignments = 0; + int move_assignments = 0; + + void copy_created(void *inst) { + created(inst); + copy_constructions++; + } + + void move_created(void *inst) { + created(inst); + move_constructions++; + } + + void default_created(void *inst) { + created(inst); + default_constructions++; + } + + void created(void *inst) { + ++_instances[inst]; + } + + void destroyed(void *inst) { + if (--_instances[inst] < 0) + throw std::runtime_error("cstats.destroyed() called with unknown " + "instance; potential double-destruction " + "or a missing cstats.created()"); + } + + static void gc() { + // Force garbage collection to ensure any pending destructors are invoked: +#if defined(PYPY_VERSION) + PyObject *globals = PyEval_GetGlobals(); + PyObject *result = PyRun_String( + "import gc\n" + "for i in range(2):" + " gc.collect()\n", + Py_file_input, globals, globals); + if (result == nullptr) + throw py::error_already_set(); + Py_DECREF(result); +#else + py::module::import("gc").attr("collect")(); +#endif + } + + int alive() { + gc(); + int total = 0; + for (const auto &p : _instances) + if (p.second > 0) + total += p.second; + return total; + } + + void value() {} // Recursion terminator + // Takes one or more values, converts them to strings, then stores them. + template void value(const T &v, Tmore &&...args) { + std::ostringstream oss; + oss << v; + _values.push_back(oss.str()); + value(std::forward(args)...); + } + + // Move out stored values + py::list values() { + py::list l; + for (const auto &v : _values) l.append(py::cast(v)); + _values.clear(); + return l; + } + + // Gets constructor stats from a C++ type index + static ConstructorStats& get(std::type_index type) { + static std::unordered_map all_cstats; + return all_cstats[type]; + } + + // Gets constructor stats from a C++ type + template static ConstructorStats& get() { +#if defined(PYPY_VERSION) + gc(); +#endif + return get(typeid(T)); + } + + // Gets constructor stats from a Python class + static ConstructorStats& get(py::object class_) { + auto &internals = py::detail::get_internals(); + const std::type_index *t1 = nullptr, *t2 = nullptr; + try { + auto *type_info = internals.registered_types_py.at((PyTypeObject *) class_.ptr()).at(0); + for (auto &p : internals.registered_types_cpp) { + if (p.second == type_info) { + if (t1) { + t2 = &p.first; + break; + } + t1 = &p.first; + } + } + } + catch (const std::out_of_range &) {} + if (!t1) throw std::runtime_error("Unknown class passed to ConstructorStats::get()"); + auto &cs1 = get(*t1); + // If we have both a t1 and t2 match, one is probably the trampoline class; return whichever + // has more constructions (typically one or the other will be 0) + if (t2) { + auto &cs2 = get(*t2); + int cs1_total = cs1.default_constructions + cs1.copy_constructions + cs1.move_constructions + (int) cs1._values.size(); + int cs2_total = cs2.default_constructions + cs2.copy_constructions + cs2.move_constructions + (int) cs2._values.size(); + if (cs2_total > cs1_total) return cs2; + } + return cs1; + } +}; + +// To track construction/destruction, you need to call these methods from the various +// constructors/operators. The ones that take extra values record the given values in the +// constructor stats values for later inspection. +template void track_copy_created(T *inst) { ConstructorStats::get().copy_created(inst); } +template void track_move_created(T *inst) { ConstructorStats::get().move_created(inst); } +template void track_copy_assigned(T *, Values &&...values) { + auto &cst = ConstructorStats::get(); + cst.copy_assignments++; + cst.value(std::forward(values)...); +} +template void track_move_assigned(T *, Values &&...values) { + auto &cst = ConstructorStats::get(); + cst.move_assignments++; + cst.value(std::forward(values)...); +} +template void track_default_created(T *inst, Values &&...values) { + auto &cst = ConstructorStats::get(); + cst.default_created(inst); + cst.value(std::forward(values)...); +} +template void track_created(T *inst, Values &&...values) { + auto &cst = ConstructorStats::get(); + cst.created(inst); + cst.value(std::forward(values)...); +} +template void track_destroyed(T *inst) { + ConstructorStats::get().destroyed(inst); +} +template void track_values(T *, Values &&...values) { + ConstructorStats::get().value(std::forward(values)...); +} + +/// Don't cast pointers to Python, print them as strings +inline const char *format_ptrs(const char *p) { return p; } +template +py::str format_ptrs(T *p) { return "{:#x}"_s.format(reinterpret_cast(p)); } +template +auto format_ptrs(T &&x) -> decltype(std::forward(x)) { return std::forward(x); } + +template +void print_constr_details(T *inst, const std::string &action, Output &&...output) { + py::print("###", py::type_id(), "@", format_ptrs(inst), action, + format_ptrs(std::forward(output))...); +} + +// Verbose versions of the above: +template void print_copy_created(T *inst, Values &&...values) { // NB: this prints, but doesn't store, given values + print_constr_details(inst, "created via copy constructor", values...); + track_copy_created(inst); +} +template void print_move_created(T *inst, Values &&...values) { // NB: this prints, but doesn't store, given values + print_constr_details(inst, "created via move constructor", values...); + track_move_created(inst); +} +template void print_copy_assigned(T *inst, Values &&...values) { + print_constr_details(inst, "assigned via copy assignment", values...); + track_copy_assigned(inst, values...); +} +template void print_move_assigned(T *inst, Values &&...values) { + print_constr_details(inst, "assigned via move assignment", values...); + track_move_assigned(inst, values...); +} +template void print_default_created(T *inst, Values &&...values) { + print_constr_details(inst, "created via default constructor", values...); + track_default_created(inst, values...); +} +template void print_created(T *inst, Values &&...values) { + print_constr_details(inst, "created", values...); + track_created(inst, values...); +} +template void print_destroyed(T *inst, Values &&...values) { // Prints but doesn't store given values + print_constr_details(inst, "destroyed", values...); + track_destroyed(inst); +} +template void print_values(T *inst, Values &&...values) { + print_constr_details(inst, ":", values...); + track_values(inst, values...); +} + diff --git a/external/pybind11/tests/cross_module_gil_utils.cpp b/external/pybind11/tests/cross_module_gil_utils.cpp new file mode 100644 index 0000000..07db9f6 --- /dev/null +++ b/external/pybind11/tests/cross_module_gil_utils.cpp @@ -0,0 +1,73 @@ +/* + tests/cross_module_gil_utils.cpp -- tools for acquiring GIL from a different module + + Copyright (c) 2019 Google LLC + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ +#include +#include + +// This file mimics a DSO that makes pybind11 calls but does not define a +// PYBIND11_MODULE. The purpose is to test that such a DSO can create a +// py::gil_scoped_acquire when the running thread is in a GIL-released state. +// +// Note that we define a Python module here for convenience, but in general +// this need not be the case. The typical scenario would be a DSO that implements +// shared logic used internally by multiple pybind11 modules. + +namespace { + +namespace py = pybind11; +void gil_acquire() { py::gil_scoped_acquire gil; } + +constexpr char kModuleName[] = "cross_module_gil_utils"; + +#if PY_MAJOR_VERSION >= 3 +struct PyModuleDef moduledef = { + PyModuleDef_HEAD_INIT, + kModuleName, + NULL, + 0, + NULL, + NULL, + NULL, + NULL, + NULL +}; +#else +PyMethodDef module_methods[] = { + {NULL, NULL, 0, NULL} +}; +#endif + +} // namespace + +extern "C" PYBIND11_EXPORT +#if PY_MAJOR_VERSION >= 3 +PyObject* PyInit_cross_module_gil_utils() +#else +void initcross_module_gil_utils() +#endif +{ + + PyObject* m = +#if PY_MAJOR_VERSION >= 3 + PyModule_Create(&moduledef); +#else + Py_InitModule(kModuleName, module_methods); +#endif + + if (m != NULL) { + static_assert( + sizeof(&gil_acquire) == sizeof(void*), + "Function pointer must have the same size as void*"); + PyModule_AddObject(m, "gil_acquire_funcaddr", + PyLong_FromVoidPtr(reinterpret_cast(&gil_acquire))); + } + +#if PY_MAJOR_VERSION >= 3 + return m; +#endif +} diff --git a/external/pybind11/tests/local_bindings.h b/external/pybind11/tests/local_bindings.h new file mode 100644 index 0000000..b6afb80 --- /dev/null +++ b/external/pybind11/tests/local_bindings.h @@ -0,0 +1,64 @@ +#pragma once +#include "pybind11_tests.h" + +/// Simple class used to test py::local: +template class LocalBase { +public: + LocalBase(int i) : i(i) { } + int i = -1; +}; + +/// Registered with py::module_local in both main and secondary modules: +using LocalType = LocalBase<0>; +/// Registered without py::module_local in both modules: +using NonLocalType = LocalBase<1>; +/// A second non-local type (for stl_bind tests): +using NonLocal2 = LocalBase<2>; +/// Tests within-module, different-compilation-unit local definition conflict: +using LocalExternal = LocalBase<3>; +/// Mixed: registered local first, then global +using MixedLocalGlobal = LocalBase<4>; +/// Mixed: global first, then local +using MixedGlobalLocal = LocalBase<5>; + +/// Registered with py::module_local only in the secondary module: +using ExternalType1 = LocalBase<6>; +using ExternalType2 = LocalBase<7>; + +using LocalVec = std::vector; +using LocalVec2 = std::vector; +using LocalMap = std::unordered_map; +using NonLocalVec = std::vector; +using NonLocalVec2 = std::vector; +using NonLocalMap = std::unordered_map; +using NonLocalMap2 = std::unordered_map; + +PYBIND11_MAKE_OPAQUE(LocalVec); +PYBIND11_MAKE_OPAQUE(LocalVec2); +PYBIND11_MAKE_OPAQUE(LocalMap); +PYBIND11_MAKE_OPAQUE(NonLocalVec); +//PYBIND11_MAKE_OPAQUE(NonLocalVec2); // same type as LocalVec2 +PYBIND11_MAKE_OPAQUE(NonLocalMap); +PYBIND11_MAKE_OPAQUE(NonLocalMap2); + + +// Simple bindings (used with the above): +template +py::class_ bind_local(Args && ...args) { + return py::class_(std::forward(args)...) + .def(py::init()) + .def("get", [](T &i) { return i.i + Adjust; }); +}; + +// Simulate a foreign library base class (to match the example in the docs): +namespace pets { +class Pet { +public: + Pet(std::string name) : name_(name) {} + std::string name_; + const std::string &name() { return name_; } +}; +} + +struct MixGL { int i; MixGL(int i) : i{i} {} }; +struct MixGL2 { int i; MixGL2(int i) : i{i} {} }; diff --git a/external/pybind11/tests/object.h b/external/pybind11/tests/object.h new file mode 100644 index 0000000..9235f19 --- /dev/null +++ b/external/pybind11/tests/object.h @@ -0,0 +1,175 @@ +#if !defined(__OBJECT_H) +#define __OBJECT_H + +#include +#include "constructor_stats.h" + +/// Reference counted object base class +class Object { +public: + /// Default constructor + Object() { print_default_created(this); } + + /// Copy constructor + Object(const Object &) : m_refCount(0) { print_copy_created(this); } + + /// Return the current reference count + int getRefCount() const { return m_refCount; }; + + /// Increase the object's reference count by one + void incRef() const { ++m_refCount; } + + /** \brief Decrease the reference count of + * the object and possibly deallocate it. + * + * The object will automatically be deallocated once + * the reference count reaches zero. + */ + void decRef(bool dealloc = true) const { + --m_refCount; + if (m_refCount == 0 && dealloc) + delete this; + else if (m_refCount < 0) + throw std::runtime_error("Internal error: reference count < 0!"); + } + + virtual std::string toString() const = 0; +protected: + /** \brief Virtual protected deconstructor. + * (Will only be called by \ref ref) + */ + virtual ~Object() { print_destroyed(this); } +private: + mutable std::atomic m_refCount { 0 }; +}; + +// Tag class used to track constructions of ref objects. When we track constructors, below, we +// track and print out the actual class (e.g. ref), and *also* add a fake tracker for +// ref_tag. This lets us check that the total number of ref constructors/destructors is +// correct without having to check each individual ref type individually. +class ref_tag {}; + +/** + * \brief Reference counting helper + * + * The \a ref refeference template is a simple wrapper to store a + * pointer to an object. It takes care of increasing and decreasing + * the reference count of the object. When the last reference goes + * out of scope, the associated object will be deallocated. + * + * \ingroup libcore + */ +template class ref { +public: + /// Create a nullptr reference + ref() : m_ptr(nullptr) { print_default_created(this); track_default_created((ref_tag*) this); } + + /// Construct a reference from a pointer + ref(T *ptr) : m_ptr(ptr) { + if (m_ptr) ((Object *) m_ptr)->incRef(); + + print_created(this, "from pointer", m_ptr); track_created((ref_tag*) this, "from pointer"); + + } + + /// Copy constructor + ref(const ref &r) : m_ptr(r.m_ptr) { + if (m_ptr) + ((Object *) m_ptr)->incRef(); + + print_copy_created(this, "with pointer", m_ptr); track_copy_created((ref_tag*) this); + } + + /// Move constructor + ref(ref &&r) : m_ptr(r.m_ptr) { + r.m_ptr = nullptr; + + print_move_created(this, "with pointer", m_ptr); track_move_created((ref_tag*) this); + } + + /// Destroy this reference + ~ref() { + if (m_ptr) + ((Object *) m_ptr)->decRef(); + + print_destroyed(this); track_destroyed((ref_tag*) this); + } + + /// Move another reference into the current one + ref& operator=(ref&& r) { + print_move_assigned(this, "pointer", r.m_ptr); track_move_assigned((ref_tag*) this); + + if (*this == r) + return *this; + if (m_ptr) + ((Object *) m_ptr)->decRef(); + m_ptr = r.m_ptr; + r.m_ptr = nullptr; + return *this; + } + + /// Overwrite this reference with another reference + ref& operator=(const ref& r) { + print_copy_assigned(this, "pointer", r.m_ptr); track_copy_assigned((ref_tag*) this); + + if (m_ptr == r.m_ptr) + return *this; + if (m_ptr) + ((Object *) m_ptr)->decRef(); + m_ptr = r.m_ptr; + if (m_ptr) + ((Object *) m_ptr)->incRef(); + return *this; + } + + /// Overwrite this reference with a pointer to another object + ref& operator=(T *ptr) { + print_values(this, "assigned pointer"); track_values((ref_tag*) this, "assigned pointer"); + + if (m_ptr == ptr) + return *this; + if (m_ptr) + ((Object *) m_ptr)->decRef(); + m_ptr = ptr; + if (m_ptr) + ((Object *) m_ptr)->incRef(); + return *this; + } + + /// Compare this reference with another reference + bool operator==(const ref &r) const { return m_ptr == r.m_ptr; } + + /// Compare this reference with another reference + bool operator!=(const ref &r) const { return m_ptr != r.m_ptr; } + + /// Compare this reference with a pointer + bool operator==(const T* ptr) const { return m_ptr == ptr; } + + /// Compare this reference with a pointer + bool operator!=(const T* ptr) const { return m_ptr != ptr; } + + /// Access the object referenced by this reference + T* operator->() { return m_ptr; } + + /// Access the object referenced by this reference + const T* operator->() const { return m_ptr; } + + /// Return a C++ reference to the referenced object + T& operator*() { return *m_ptr; } + + /// Return a const C++ reference to the referenced object + const T& operator*() const { return *m_ptr; } + + /// Return a pointer to the referenced object + operator T* () { return m_ptr; } + + /// Return a const pointer to the referenced object + T* get_ptr() { return m_ptr; } + + /// Return a pointer to the referenced object + const T* get_ptr() const { return m_ptr; } +private: + T *m_ptr; +}; + +#endif /* __OBJECT_H */ diff --git a/external/pybind11/tests/pybind11_cross_module_tests.cpp b/external/pybind11/tests/pybind11_cross_module_tests.cpp new file mode 100644 index 0000000..f705e31 --- /dev/null +++ b/external/pybind11/tests/pybind11_cross_module_tests.cpp @@ -0,0 +1,123 @@ +/* + tests/pybind11_cross_module_tests.cpp -- contains tests that require multiple modules + + Copyright (c) 2017 Jason Rhinelander + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" +#include "local_bindings.h" +#include +#include + +PYBIND11_MODULE(pybind11_cross_module_tests, m) { + m.doc() = "pybind11 cross-module test module"; + + // test_local_bindings.py tests: + // + // Definitions here are tested by importing both this module and the + // relevant pybind11_tests submodule from a test_whatever.py + + // test_load_external + bind_local(m, "ExternalType1", py::module_local()); + bind_local(m, "ExternalType2", py::module_local()); + + // test_exceptions.py + m.def("raise_runtime_error", []() { PyErr_SetString(PyExc_RuntimeError, "My runtime error"); throw py::error_already_set(); }); + m.def("raise_value_error", []() { PyErr_SetString(PyExc_ValueError, "My value error"); throw py::error_already_set(); }); + m.def("throw_pybind_value_error", []() { throw py::value_error("pybind11 value error"); }); + m.def("throw_pybind_type_error", []() { throw py::type_error("pybind11 type error"); }); + m.def("throw_stop_iteration", []() { throw py::stop_iteration(); }); + + // test_local_bindings.py + // Local to both: + bind_local(m, "LocalType", py::module_local()) + .def("get2", [](LocalType &t) { return t.i + 2; }) + ; + + // Can only be called with our python type: + m.def("local_value", [](LocalType &l) { return l.i; }); + + // test_nonlocal_failure + // This registration will fail (global registration when LocalFail is already registered + // globally in the main test module): + m.def("register_nonlocal", [m]() { + bind_local(m, "NonLocalType"); + }); + + // test_stl_bind_local + // stl_bind.h binders defaults to py::module_local if the types are local or converting: + py::bind_vector(m, "LocalVec"); + py::bind_map(m, "LocalMap"); + + // test_stl_bind_global + // and global if the type (or one of the types, for the map) is global (so these will fail, + // assuming pybind11_tests is already loaded): + m.def("register_nonlocal_vec", [m]() { + py::bind_vector(m, "NonLocalVec"); + }); + m.def("register_nonlocal_map", [m]() { + py::bind_map(m, "NonLocalMap"); + }); + // The default can, however, be overridden to global using `py::module_local()` or + // `py::module_local(false)`. + // Explicitly made local: + py::bind_vector(m, "NonLocalVec2", py::module_local()); + // Explicitly made global (and so will fail to bind): + m.def("register_nonlocal_map2", [m]() { + py::bind_map(m, "NonLocalMap2", py::module_local(false)); + }); + + // test_mixed_local_global + // We try this both with the global type registered first and vice versa (the order shouldn't + // matter). + m.def("register_mixed_global_local", [m]() { + bind_local(m, "MixedGlobalLocal", py::module_local()); + }); + m.def("register_mixed_local_global", [m]() { + bind_local(m, "MixedLocalGlobal", py::module_local(false)); + }); + m.def("get_mixed_gl", [](int i) { return MixedGlobalLocal(i); }); + m.def("get_mixed_lg", [](int i) { return MixedLocalGlobal(i); }); + + // test_internal_locals_differ + m.def("local_cpp_types_addr", []() { return (uintptr_t) &py::detail::registered_local_types_cpp(); }); + + // test_stl_caster_vs_stl_bind + py::bind_vector>(m, "VectorInt"); + + m.def("load_vector_via_binding", [](std::vector &v) { + return std::accumulate(v.begin(), v.end(), 0); + }); + + // test_cross_module_calls + m.def("return_self", [](LocalVec *v) { return v; }); + m.def("return_copy", [](const LocalVec &v) { return LocalVec(v); }); + + class Dog : public pets::Pet { public: Dog(std::string name) : Pet(name) {}; }; + py::class_(m, "Pet", py::module_local()) + .def("name", &pets::Pet::name); + // Binding for local extending class: + py::class_(m, "Dog") + .def(py::init()); + m.def("pet_name", [](pets::Pet &p) { return p.name(); }); + + py::class_(m, "MixGL", py::module_local()).def(py::init()); + m.def("get_gl_value", [](MixGL &o) { return o.i + 100; }); + + py::class_(m, "MixGL2", py::module_local()).def(py::init()); + + // test_vector_bool + // We can't test both stl.h and stl_bind.h conversions of `std::vector` within + // the same module (it would be an ODR violation). Therefore `bind_vector` of `bool` + // is defined here and tested in `test_stl_binders.py`. + py::bind_vector>(m, "VectorBool"); + + // test_missing_header_message + // The main module already includes stl.h, but we need to test the error message + // which appears when this header is missing. + m.def("missing_header_arg", [](std::vector) { }); + m.def("missing_header_return", []() { return std::vector(); }); +} diff --git a/external/pybind11/tests/pybind11_tests.cpp b/external/pybind11/tests/pybind11_tests.cpp new file mode 100644 index 0000000..bc7d2c3 --- /dev/null +++ b/external/pybind11/tests/pybind11_tests.cpp @@ -0,0 +1,93 @@ +/* + tests/pybind11_tests.cpp -- pybind example plugin + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" +#include "constructor_stats.h" + +#include +#include + +/* +For testing purposes, we define a static global variable here in a function that each individual +test .cpp calls with its initialization lambda. It's convenient here because we can just not +compile some test files to disable/ignore some of the test code. + +It is NOT recommended as a way to use pybind11 in practice, however: the initialization order will +be essentially random, which is okay for our test scripts (there are no dependencies between the +individual pybind11 test .cpp files), but most likely not what you want when using pybind11 +productively. + +Instead, see the "How can I reduce the build time?" question in the "Frequently asked questions" +section of the documentation for good practice on splitting binding code over multiple files. +*/ +std::list> &initializers() { + static std::list> inits; + return inits; +} + +test_initializer::test_initializer(Initializer init) { + initializers().push_back(init); +} + +test_initializer::test_initializer(const char *submodule_name, Initializer init) { + initializers().push_back([=](py::module &parent) { + auto m = parent.def_submodule(submodule_name); + init(m); + }); +} + +void bind_ConstructorStats(py::module &m) { + py::class_(m, "ConstructorStats") + .def("alive", &ConstructorStats::alive) + .def("values", &ConstructorStats::values) + .def_readwrite("default_constructions", &ConstructorStats::default_constructions) + .def_readwrite("copy_assignments", &ConstructorStats::copy_assignments) + .def_readwrite("move_assignments", &ConstructorStats::move_assignments) + .def_readwrite("copy_constructions", &ConstructorStats::copy_constructions) + .def_readwrite("move_constructions", &ConstructorStats::move_constructions) + .def_static("get", (ConstructorStats &(*)(py::object)) &ConstructorStats::get, py::return_value_policy::reference_internal) + + // Not exactly ConstructorStats, but related: expose the internal pybind number of registered instances + // to allow instance cleanup checks (invokes a GC first) + .def_static("detail_reg_inst", []() { + ConstructorStats::gc(); + return py::detail::get_internals().registered_instances.size(); + }) + ; +} + +PYBIND11_MODULE(pybind11_tests, m) { + m.doc() = "pybind11 test module"; + + bind_ConstructorStats(m); + +#if !defined(NDEBUG) + m.attr("debug_enabled") = true; +#else + m.attr("debug_enabled") = false; +#endif + + py::class_(m, "UserType", "A `py::class_` type for testing") + .def(py::init<>()) + .def(py::init()) + .def("get_value", &UserType::value, "Get value using a method") + .def("set_value", &UserType::set, "Set value using a method") + .def_property("value", &UserType::value, &UserType::set, "Get/set value using a property") + .def("__repr__", [](const UserType& u) { return "UserType({})"_s.format(u.value()); }); + + py::class_(m, "IncType") + .def(py::init<>()) + .def(py::init()) + .def("__repr__", [](const IncType& u) { return "IncType({})"_s.format(u.value()); }); + + for (const auto &initializer : initializers()) + initializer(m); + + if (!py::hasattr(m, "have_eigen")) m.attr("have_eigen") = false; +} diff --git a/external/pybind11/tests/pybind11_tests.h b/external/pybind11/tests/pybind11_tests.h new file mode 100644 index 0000000..90963a5 --- /dev/null +++ b/external/pybind11/tests/pybind11_tests.h @@ -0,0 +1,65 @@ +#pragma once +#include + +#if defined(_MSC_VER) && _MSC_VER < 1910 +// We get some really long type names here which causes MSVC 2015 to emit warnings +# pragma warning(disable: 4503) // warning C4503: decorated name length exceeded, name was truncated +#endif + +namespace py = pybind11; +using namespace pybind11::literals; + +class test_initializer { + using Initializer = void (*)(py::module &); + +public: + test_initializer(Initializer init); + test_initializer(const char *submodule_name, Initializer init); +}; + +#define TEST_SUBMODULE(name, variable) \ + void test_submodule_##name(py::module &); \ + test_initializer name(#name, test_submodule_##name); \ + void test_submodule_##name(py::module &variable) + + +/// Dummy type which is not exported anywhere -- something to trigger a conversion error +struct UnregisteredType { }; + +/// A user-defined type which is exported and can be used by any test +class UserType { +public: + UserType() = default; + UserType(int i) : i(i) { } + + int value() const { return i; } + void set(int set) { i = set; } + +private: + int i = -1; +}; + +/// Like UserType, but increments `value` on copy for quick reference vs. copy tests +class IncType : public UserType { +public: + using UserType::UserType; + IncType() = default; + IncType(const IncType &other) : IncType(other.value() + 1) { } + IncType(IncType &&) = delete; + IncType &operator=(const IncType &) = delete; + IncType &operator=(IncType &&) = delete; +}; + +/// Custom cast-only type that casts to a string "rvalue" or "lvalue" depending on the cast context. +/// Used to test recursive casters (e.g. std::tuple, stl containers). +struct RValueCaster {}; +NAMESPACE_BEGIN(pybind11) +NAMESPACE_BEGIN(detail) +template<> class type_caster { +public: + PYBIND11_TYPE_CASTER(RValueCaster, _("RValueCaster")); + static handle cast(RValueCaster &&, return_value_policy, handle) { return py::str("rvalue").release(); } + static handle cast(const RValueCaster &, return_value_policy, handle) { return py::str("lvalue").release(); } +}; +NAMESPACE_END(detail) +NAMESPACE_END(pybind11) diff --git a/external/pybind11/tests/pytest.ini b/external/pybind11/tests/pytest.ini new file mode 100644 index 0000000..f209964 --- /dev/null +++ b/external/pybind11/tests/pytest.ini @@ -0,0 +1,16 @@ +[pytest] +minversion = 3.0 +norecursedirs = test_cmake_build test_embed +addopts = + # show summary of skipped tests + -rs + # capture only Python print and C++ py::print, but not C output (low-level Python errors) + --capture=sys +filterwarnings = + # make warnings into errors but ignore certain third-party extension issues + error + # importing scipy submodules on some version of Python + ignore::ImportWarning + # bogus numpy ABI warning (see numpy/#432) + ignore:.*numpy.dtype size changed.*:RuntimeWarning + ignore:.*numpy.ufunc size changed.*:RuntimeWarning diff --git a/external/pybind11/tests/test_async.cpp b/external/pybind11/tests/test_async.cpp new file mode 100644 index 0000000..f0ad0d5 --- /dev/null +++ b/external/pybind11/tests/test_async.cpp @@ -0,0 +1,26 @@ +/* + tests/test_async.cpp -- __await__ support + + Copyright (c) 2019 Google Inc. + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" + +TEST_SUBMODULE(async_module, m) { + struct DoesNotSupportAsync {}; + py::class_(m, "DoesNotSupportAsync") + .def(py::init<>()); + struct SupportsAsync {}; + py::class_(m, "SupportsAsync") + .def(py::init<>()) + .def("__await__", [](const SupportsAsync& self) -> py::object { + static_cast(self); + py::object loop = py::module::import("asyncio.events").attr("get_event_loop")(); + py::object f = loop.attr("create_future")(); + f.attr("set_result")(5); + return f.attr("__await__")(); + }); +} diff --git a/external/pybind11/tests/test_async.py b/external/pybind11/tests/test_async.py new file mode 100644 index 0000000..e1c959d --- /dev/null +++ b/external/pybind11/tests/test_async.py @@ -0,0 +1,23 @@ +import asyncio +import pytest +from pybind11_tests import async_module as m + + +@pytest.fixture +def event_loop(): + loop = asyncio.new_event_loop() + yield loop + loop.close() + + +async def get_await_result(x): + return await x + + +def test_await(event_loop): + assert 5 == event_loop.run_until_complete(get_await_result(m.SupportsAsync())) + + +def test_await_missing(event_loop): + with pytest.raises(TypeError): + event_loop.run_until_complete(get_await_result(m.DoesNotSupportAsync())) diff --git a/external/pybind11/tests/test_buffers.cpp b/external/pybind11/tests/test_buffers.cpp new file mode 100644 index 0000000..433dfee --- /dev/null +++ b/external/pybind11/tests/test_buffers.cpp @@ -0,0 +1,169 @@ +/* + tests/test_buffers.cpp -- supporting Pythons' buffer protocol + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" +#include "constructor_stats.h" + +TEST_SUBMODULE(buffers, m) { + // test_from_python / test_to_python: + class Matrix { + public: + Matrix(ssize_t rows, ssize_t cols) : m_rows(rows), m_cols(cols) { + print_created(this, std::to_string(m_rows) + "x" + std::to_string(m_cols) + " matrix"); + m_data = new float[(size_t) (rows*cols)]; + memset(m_data, 0, sizeof(float) * (size_t) (rows * cols)); + } + + Matrix(const Matrix &s) : m_rows(s.m_rows), m_cols(s.m_cols) { + print_copy_created(this, std::to_string(m_rows) + "x" + std::to_string(m_cols) + " matrix"); + m_data = new float[(size_t) (m_rows * m_cols)]; + memcpy(m_data, s.m_data, sizeof(float) * (size_t) (m_rows * m_cols)); + } + + Matrix(Matrix &&s) : m_rows(s.m_rows), m_cols(s.m_cols), m_data(s.m_data) { + print_move_created(this); + s.m_rows = 0; + s.m_cols = 0; + s.m_data = nullptr; + } + + ~Matrix() { + print_destroyed(this, std::to_string(m_rows) + "x" + std::to_string(m_cols) + " matrix"); + delete[] m_data; + } + + Matrix &operator=(const Matrix &s) { + print_copy_assigned(this, std::to_string(m_rows) + "x" + std::to_string(m_cols) + " matrix"); + delete[] m_data; + m_rows = s.m_rows; + m_cols = s.m_cols; + m_data = new float[(size_t) (m_rows * m_cols)]; + memcpy(m_data, s.m_data, sizeof(float) * (size_t) (m_rows * m_cols)); + return *this; + } + + Matrix &operator=(Matrix &&s) { + print_move_assigned(this, std::to_string(m_rows) + "x" + std::to_string(m_cols) + " matrix"); + if (&s != this) { + delete[] m_data; + m_rows = s.m_rows; m_cols = s.m_cols; m_data = s.m_data; + s.m_rows = 0; s.m_cols = 0; s.m_data = nullptr; + } + return *this; + } + + float operator()(ssize_t i, ssize_t j) const { + return m_data[(size_t) (i*m_cols + j)]; + } + + float &operator()(ssize_t i, ssize_t j) { + return m_data[(size_t) (i*m_cols + j)]; + } + + float *data() { return m_data; } + + ssize_t rows() const { return m_rows; } + ssize_t cols() const { return m_cols; } + private: + ssize_t m_rows; + ssize_t m_cols; + float *m_data; + }; + py::class_(m, "Matrix", py::buffer_protocol()) + .def(py::init()) + /// Construct from a buffer + .def(py::init([](py::buffer const b) { + py::buffer_info info = b.request(); + if (info.format != py::format_descriptor::format() || info.ndim != 2) + throw std::runtime_error("Incompatible buffer format!"); + + auto v = new Matrix(info.shape[0], info.shape[1]); + memcpy(v->data(), info.ptr, sizeof(float) * (size_t) (v->rows() * v->cols())); + return v; + })) + + .def("rows", &Matrix::rows) + .def("cols", &Matrix::cols) + + /// Bare bones interface + .def("__getitem__", [](const Matrix &m, std::pair i) { + if (i.first >= m.rows() || i.second >= m.cols()) + throw py::index_error(); + return m(i.first, i.second); + }) + .def("__setitem__", [](Matrix &m, std::pair i, float v) { + if (i.first >= m.rows() || i.second >= m.cols()) + throw py::index_error(); + m(i.first, i.second) = v; + }) + /// Provide buffer access + .def_buffer([](Matrix &m) -> py::buffer_info { + return py::buffer_info( + m.data(), /* Pointer to buffer */ + { m.rows(), m.cols() }, /* Buffer dimensions */ + { sizeof(float) * size_t(m.cols()), /* Strides (in bytes) for each index */ + sizeof(float) } + ); + }) + ; + + + // test_inherited_protocol + class SquareMatrix : public Matrix { + public: + SquareMatrix(ssize_t n) : Matrix(n, n) { } + }; + // Derived classes inherit the buffer protocol and the buffer access function + py::class_(m, "SquareMatrix") + .def(py::init()); + + + // test_pointer_to_member_fn + // Tests that passing a pointer to member to the base class works in + // the derived class. + struct Buffer { + int32_t value = 0; + + py::buffer_info get_buffer_info() { + return py::buffer_info(&value, sizeof(value), + py::format_descriptor::format(), 1); + } + }; + py::class_(m, "Buffer", py::buffer_protocol()) + .def(py::init<>()) + .def_readwrite("value", &Buffer::value) + .def_buffer(&Buffer::get_buffer_info); + + + class ConstBuffer { + std::unique_ptr value; + + public: + int32_t get_value() const { return *value; } + void set_value(int32_t v) { *value = v; } + + py::buffer_info get_buffer_info() const { + return py::buffer_info(value.get(), sizeof(*value), + py::format_descriptor::format(), 1); + } + + ConstBuffer() : value(new int32_t{0}) { }; + }; + py::class_(m, "ConstBuffer", py::buffer_protocol()) + .def(py::init<>()) + .def_property("value", &ConstBuffer::get_value, &ConstBuffer::set_value) + .def_buffer(&ConstBuffer::get_buffer_info); + + struct DerivedBuffer : public Buffer { }; + py::class_(m, "DerivedBuffer", py::buffer_protocol()) + .def(py::init<>()) + .def_readwrite("value", (int32_t DerivedBuffer::*) &DerivedBuffer::value) + .def_buffer(&DerivedBuffer::get_buffer_info); + +} diff --git a/external/pybind11/tests/test_buffers.py b/external/pybind11/tests/test_buffers.py new file mode 100644 index 0000000..f006552 --- /dev/null +++ b/external/pybind11/tests/test_buffers.py @@ -0,0 +1,87 @@ +import struct +import pytest +from pybind11_tests import buffers as m +from pybind11_tests import ConstructorStats + +pytestmark = pytest.requires_numpy + +with pytest.suppress(ImportError): + import numpy as np + + +def test_from_python(): + with pytest.raises(RuntimeError) as excinfo: + m.Matrix(np.array([1, 2, 3])) # trying to assign a 1D array + assert str(excinfo.value) == "Incompatible buffer format!" + + m3 = np.array([[1, 2, 3], [4, 5, 6]]).astype(np.float32) + m4 = m.Matrix(m3) + + for i in range(m4.rows()): + for j in range(m4.cols()): + assert m3[i, j] == m4[i, j] + + cstats = ConstructorStats.get(m.Matrix) + assert cstats.alive() == 1 + del m3, m4 + assert cstats.alive() == 0 + assert cstats.values() == ["2x3 matrix"] + assert cstats.copy_constructions == 0 + # assert cstats.move_constructions >= 0 # Don't invoke any + assert cstats.copy_assignments == 0 + assert cstats.move_assignments == 0 + + +# PyPy: Memory leak in the "np.array(m, copy=False)" call +# https://bitbucket.org/pypy/pypy/issues/2444 +@pytest.unsupported_on_pypy +def test_to_python(): + mat = m.Matrix(5, 4) + assert memoryview(mat).shape == (5, 4) + + assert mat[2, 3] == 0 + mat[2, 3] = 4.0 + mat[3, 2] = 7.0 + assert mat[2, 3] == 4 + assert mat[3, 2] == 7 + assert struct.unpack_from('f', mat, (3 * 4 + 2) * 4) == (7, ) + assert struct.unpack_from('f', mat, (2 * 4 + 3) * 4) == (4, ) + + mat2 = np.array(mat, copy=False) + assert mat2.shape == (5, 4) + assert abs(mat2).sum() == 11 + assert mat2[2, 3] == 4 and mat2[3, 2] == 7 + mat2[2, 3] = 5 + assert mat2[2, 3] == 5 + + cstats = ConstructorStats.get(m.Matrix) + assert cstats.alive() == 1 + del mat + pytest.gc_collect() + assert cstats.alive() == 1 + del mat2 # holds a mat reference + pytest.gc_collect() + assert cstats.alive() == 0 + assert cstats.values() == ["5x4 matrix"] + assert cstats.copy_constructions == 0 + # assert cstats.move_constructions >= 0 # Don't invoke any + assert cstats.copy_assignments == 0 + assert cstats.move_assignments == 0 + + +@pytest.unsupported_on_pypy +def test_inherited_protocol(): + """SquareMatrix is derived from Matrix and inherits the buffer protocol""" + + matrix = m.SquareMatrix(5) + assert memoryview(matrix).shape == (5, 5) + assert np.asarray(matrix).shape == (5, 5) + + +@pytest.unsupported_on_pypy +def test_pointer_to_member_fn(): + for cls in [m.Buffer, m.ConstBuffer, m.DerivedBuffer]: + buf = cls() + buf.value = 0x12345678 + value = struct.unpack('i', bytearray(buf))[0] + assert value == 0x12345678 diff --git a/external/pybind11/tests/test_builtin_casters.cpp b/external/pybind11/tests/test_builtin_casters.cpp new file mode 100644 index 0000000..e026127 --- /dev/null +++ b/external/pybind11/tests/test_builtin_casters.cpp @@ -0,0 +1,170 @@ +/* + tests/test_builtin_casters.cpp -- Casters available without any additional headers + + Copyright (c) 2017 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" +#include + +#if defined(_MSC_VER) +# pragma warning(push) +# pragma warning(disable: 4127) // warning C4127: Conditional expression is constant +#endif + +TEST_SUBMODULE(builtin_casters, m) { + // test_simple_string + m.def("string_roundtrip", [](const char *s) { return s; }); + + // test_unicode_conversion + // Some test characters in utf16 and utf32 encodings. The last one (the š€) contains a null byte + char32_t a32 = 0x61 /*a*/, z32 = 0x7a /*z*/, ib32 = 0x203d /*ā€½*/, cake32 = 0x1f382 /*šŸŽ‚*/, mathbfA32 = 0x1d400 /*š€*/; + char16_t b16 = 0x62 /*b*/, z16 = 0x7a, ib16 = 0x203d, cake16_1 = 0xd83c, cake16_2 = 0xdf82, mathbfA16_1 = 0xd835, mathbfA16_2 = 0xdc00; + std::wstring wstr; + wstr.push_back(0x61); // a + wstr.push_back(0x2e18); // āø˜ + if (sizeof(wchar_t) == 2) { wstr.push_back(mathbfA16_1); wstr.push_back(mathbfA16_2); } // š€, utf16 + else { wstr.push_back((wchar_t) mathbfA32); } // š€, utf32 + wstr.push_back(0x7a); // z + + m.def("good_utf8_string", []() { return std::string(u8"Say utf8\u203d \U0001f382 \U0001d400"); }); // Say utf8ā€½ šŸŽ‚ š€ + m.def("good_utf16_string", [=]() { return std::u16string({ b16, ib16, cake16_1, cake16_2, mathbfA16_1, mathbfA16_2, z16 }); }); // bā€½šŸŽ‚š€z + m.def("good_utf32_string", [=]() { return std::u32string({ a32, mathbfA32, cake32, ib32, z32 }); }); // aš€šŸŽ‚ā€½z + m.def("good_wchar_string", [=]() { return wstr; }); // aā€½š€z + m.def("bad_utf8_string", []() { return std::string("abc\xd0" "def"); }); + m.def("bad_utf16_string", [=]() { return std::u16string({ b16, char16_t(0xd800), z16 }); }); + // Under Python 2.7, invalid unicode UTF-32 characters don't appear to trigger UnicodeDecodeError + if (PY_MAJOR_VERSION >= 3) + m.def("bad_utf32_string", [=]() { return std::u32string({ a32, char32_t(0xd800), z32 }); }); + if (PY_MAJOR_VERSION >= 3 || sizeof(wchar_t) == 2) + m.def("bad_wchar_string", [=]() { return std::wstring({ wchar_t(0x61), wchar_t(0xd800) }); }); + m.def("u8_Z", []() -> char { return 'Z'; }); + m.def("u8_eacute", []() -> char { return '\xe9'; }); + m.def("u16_ibang", [=]() -> char16_t { return ib16; }); + m.def("u32_mathbfA", [=]() -> char32_t { return mathbfA32; }); + m.def("wchar_heart", []() -> wchar_t { return 0x2665; }); + + // test_single_char_arguments + m.attr("wchar_size") = py::cast(sizeof(wchar_t)); + m.def("ord_char", [](char c) -> int { return static_cast(c); }); + m.def("ord_char_lv", [](char &c) -> int { return static_cast(c); }); + m.def("ord_char16", [](char16_t c) -> uint16_t { return c; }); + m.def("ord_char16_lv", [](char16_t &c) -> uint16_t { return c; }); + m.def("ord_char32", [](char32_t c) -> uint32_t { return c; }); + m.def("ord_wchar", [](wchar_t c) -> int { return c; }); + + // test_bytes_to_string + m.def("strlen", [](char *s) { return strlen(s); }); + m.def("string_length", [](std::string s) { return s.length(); }); + + // test_string_view +#ifdef PYBIND11_HAS_STRING_VIEW + m.attr("has_string_view") = true; + m.def("string_view_print", [](std::string_view s) { py::print(s, s.size()); }); + m.def("string_view16_print", [](std::u16string_view s) { py::print(s, s.size()); }); + m.def("string_view32_print", [](std::u32string_view s) { py::print(s, s.size()); }); + m.def("string_view_chars", [](std::string_view s) { py::list l; for (auto c : s) l.append((std::uint8_t) c); return l; }); + m.def("string_view16_chars", [](std::u16string_view s) { py::list l; for (auto c : s) l.append((int) c); return l; }); + m.def("string_view32_chars", [](std::u32string_view s) { py::list l; for (auto c : s) l.append((int) c); return l; }); + m.def("string_view_return", []() { return std::string_view(u8"utf8 secret \U0001f382"); }); + m.def("string_view16_return", []() { return std::u16string_view(u"utf16 secret \U0001f382"); }); + m.def("string_view32_return", []() { return std::u32string_view(U"utf32 secret \U0001f382"); }); +#endif + + // test_integer_casting + m.def("i32_str", [](std::int32_t v) { return std::to_string(v); }); + m.def("u32_str", [](std::uint32_t v) { return std::to_string(v); }); + m.def("i64_str", [](std::int64_t v) { return std::to_string(v); }); + m.def("u64_str", [](std::uint64_t v) { return std::to_string(v); }); + + // test_tuple + m.def("pair_passthrough", [](std::pair input) { + return std::make_pair(input.second, input.first); + }, "Return a pair in reversed order"); + m.def("tuple_passthrough", [](std::tuple input) { + return std::make_tuple(std::get<2>(input), std::get<1>(input), std::get<0>(input)); + }, "Return a triple in reversed order"); + m.def("empty_tuple", []() { return std::tuple<>(); }); + static std::pair lvpair; + static std::tuple lvtuple; + static std::pair>> lvnested; + m.def("rvalue_pair", []() { return std::make_pair(RValueCaster{}, RValueCaster{}); }); + m.def("lvalue_pair", []() -> const decltype(lvpair) & { return lvpair; }); + m.def("rvalue_tuple", []() { return std::make_tuple(RValueCaster{}, RValueCaster{}, RValueCaster{}); }); + m.def("lvalue_tuple", []() -> const decltype(lvtuple) & { return lvtuple; }); + m.def("rvalue_nested", []() { + return std::make_pair(RValueCaster{}, std::make_tuple(RValueCaster{}, std::make_pair(RValueCaster{}, RValueCaster{}))); }); + m.def("lvalue_nested", []() -> const decltype(lvnested) & { return lvnested; }); + + // test_builtins_cast_return_none + m.def("return_none_string", []() -> std::string * { return nullptr; }); + m.def("return_none_char", []() -> const char * { return nullptr; }); + m.def("return_none_bool", []() -> bool * { return nullptr; }); + m.def("return_none_int", []() -> int * { return nullptr; }); + m.def("return_none_float", []() -> float * { return nullptr; }); + + // test_none_deferred + m.def("defer_none_cstring", [](char *) { return false; }); + m.def("defer_none_cstring", [](py::none) { return true; }); + m.def("defer_none_custom", [](UserType *) { return false; }); + m.def("defer_none_custom", [](py::none) { return true; }); + m.def("nodefer_none_void", [](void *) { return true; }); + m.def("nodefer_none_void", [](py::none) { return false; }); + + // test_void_caster + m.def("load_nullptr_t", [](std::nullptr_t) {}); // not useful, but it should still compile + m.def("cast_nullptr_t", []() { return std::nullptr_t{}; }); + + // test_bool_caster + m.def("bool_passthrough", [](bool arg) { return arg; }); + m.def("bool_passthrough_noconvert", [](bool arg) { return arg; }, py::arg().noconvert()); + + // test_reference_wrapper + m.def("refwrap_builtin", [](std::reference_wrapper p) { return 10 * p.get(); }); + m.def("refwrap_usertype", [](std::reference_wrapper p) { return p.get().value(); }); + // Not currently supported (std::pair caster has return-by-value cast operator); + // triggers static_assert failure. + //m.def("refwrap_pair", [](std::reference_wrapper>) { }); + + m.def("refwrap_list", [](bool copy) { + static IncType x1(1), x2(2); + py::list l; + for (auto &f : {std::ref(x1), std::ref(x2)}) { + l.append(py::cast(f, copy ? py::return_value_policy::copy + : py::return_value_policy::reference)); + } + return l; + }, "copy"_a); + + m.def("refwrap_iiw", [](const IncType &w) { return w.value(); }); + m.def("refwrap_call_iiw", [](IncType &w, py::function f) { + py::list l; + l.append(f(std::ref(w))); + l.append(f(std::cref(w))); + IncType x(w.value()); + l.append(f(std::ref(x))); + IncType y(w.value()); + auto r3 = std::ref(y); + l.append(f(r3)); + return l; + }); + + // test_complex + m.def("complex_cast", [](float x) { return "{}"_s.format(x); }); + m.def("complex_cast", [](std::complex x) { return "({}, {})"_s.format(x.real(), x.imag()); }); + + // test int vs. long (Python 2) + m.def("int_cast", []() {return (int) 42;}); + m.def("long_cast", []() {return (long) 42;}); + m.def("longlong_cast", []() {return ULLONG_MAX;}); + + /// test void* cast operator + m.def("test_void_caster", []() -> bool { + void *v = (void *) 0xabcd; + py::object o = py::cast(v); + return py::cast(o) == v; + }); +} diff --git a/external/pybind11/tests/test_builtin_casters.py b/external/pybind11/tests/test_builtin_casters.py new file mode 100644 index 0000000..73cc465 --- /dev/null +++ b/external/pybind11/tests/test_builtin_casters.py @@ -0,0 +1,342 @@ +# Python < 3 needs this: coding=utf-8 +import pytest + +from pybind11_tests import builtin_casters as m +from pybind11_tests import UserType, IncType + + +def test_simple_string(): + assert m.string_roundtrip("const char *") == "const char *" + + +def test_unicode_conversion(): + """Tests unicode conversion and error reporting.""" + assert m.good_utf8_string() == u"Say utf8ā€½ šŸŽ‚ š€" + assert m.good_utf16_string() == u"bā€½šŸŽ‚š€z" + assert m.good_utf32_string() == u"aš€šŸŽ‚ā€½z" + assert m.good_wchar_string() == u"aāø˜š€z" + + with pytest.raises(UnicodeDecodeError): + m.bad_utf8_string() + + with pytest.raises(UnicodeDecodeError): + m.bad_utf16_string() + + # These are provided only if they actually fail (they don't when 32-bit and under Python 2.7) + if hasattr(m, "bad_utf32_string"): + with pytest.raises(UnicodeDecodeError): + m.bad_utf32_string() + if hasattr(m, "bad_wchar_string"): + with pytest.raises(UnicodeDecodeError): + m.bad_wchar_string() + + assert m.u8_Z() == 'Z' + assert m.u8_eacute() == u'Ć©' + assert m.u16_ibang() == u'ā€½' + assert m.u32_mathbfA() == u'š€' + assert m.wchar_heart() == u'ā™„' + + +def test_single_char_arguments(): + """Tests failures for passing invalid inputs to char-accepting functions""" + def toobig_message(r): + return "Character code point not in range({0:#x})".format(r) + toolong_message = "Expected a character, but multi-character string found" + + assert m.ord_char(u'a') == 0x61 # simple ASCII + assert m.ord_char_lv(u'b') == 0x62 + assert m.ord_char(u'Ć©') == 0xE9 # requires 2 bytes in utf-8, but can be stuffed in a char + with pytest.raises(ValueError) as excinfo: + assert m.ord_char(u'Ā') == 0x100 # requires 2 bytes, doesn't fit in a char + assert str(excinfo.value) == toobig_message(0x100) + with pytest.raises(ValueError) as excinfo: + assert m.ord_char(u'ab') + assert str(excinfo.value) == toolong_message + + assert m.ord_char16(u'a') == 0x61 + assert m.ord_char16(u'Ć©') == 0xE9 + assert m.ord_char16_lv(u'ĆŖ') == 0xEA + assert m.ord_char16(u'Ā') == 0x100 + assert m.ord_char16(u'ā€½') == 0x203d + assert m.ord_char16(u'ā™„') == 0x2665 + assert m.ord_char16_lv(u'ā™”') == 0x2661 + with pytest.raises(ValueError) as excinfo: + assert m.ord_char16(u'šŸŽ‚') == 0x1F382 # requires surrogate pair + assert str(excinfo.value) == toobig_message(0x10000) + with pytest.raises(ValueError) as excinfo: + assert m.ord_char16(u'aa') + assert str(excinfo.value) == toolong_message + + assert m.ord_char32(u'a') == 0x61 + assert m.ord_char32(u'Ć©') == 0xE9 + assert m.ord_char32(u'Ā') == 0x100 + assert m.ord_char32(u'ā€½') == 0x203d + assert m.ord_char32(u'ā™„') == 0x2665 + assert m.ord_char32(u'šŸŽ‚') == 0x1F382 + with pytest.raises(ValueError) as excinfo: + assert m.ord_char32(u'aa') + assert str(excinfo.value) == toolong_message + + assert m.ord_wchar(u'a') == 0x61 + assert m.ord_wchar(u'Ć©') == 0xE9 + assert m.ord_wchar(u'Ā') == 0x100 + assert m.ord_wchar(u'ā€½') == 0x203d + assert m.ord_wchar(u'ā™„') == 0x2665 + if m.wchar_size == 2: + with pytest.raises(ValueError) as excinfo: + assert m.ord_wchar(u'šŸŽ‚') == 0x1F382 # requires surrogate pair + assert str(excinfo.value) == toobig_message(0x10000) + else: + assert m.ord_wchar(u'šŸŽ‚') == 0x1F382 + with pytest.raises(ValueError) as excinfo: + assert m.ord_wchar(u'aa') + assert str(excinfo.value) == toolong_message + + +def test_bytes_to_string(): + """Tests the ability to pass bytes to C++ string-accepting functions. Note that this is + one-way: the only way to return bytes to Python is via the pybind11::bytes class.""" + # Issue #816 + import sys + byte = bytes if sys.version_info[0] < 3 else str + + assert m.strlen(byte("hi")) == 2 + assert m.string_length(byte("world")) == 5 + assert m.string_length(byte("a\x00b")) == 3 + assert m.strlen(byte("a\x00b")) == 1 # C-string limitation + + # passing in a utf8 encoded string should work + assert m.string_length(u'šŸ’©'.encode("utf8")) == 4 + + +@pytest.mark.skipif(not hasattr(m, "has_string_view"), reason="no ") +def test_string_view(capture): + """Tests support for C++17 string_view arguments and return values""" + assert m.string_view_chars("Hi") == [72, 105] + assert m.string_view_chars("Hi šŸŽ‚") == [72, 105, 32, 0xf0, 0x9f, 0x8e, 0x82] + assert m.string_view16_chars("Hi šŸŽ‚") == [72, 105, 32, 0xd83c, 0xdf82] + assert m.string_view32_chars("Hi šŸŽ‚") == [72, 105, 32, 127874] + + assert m.string_view_return() == "utf8 secret šŸŽ‚" + assert m.string_view16_return() == "utf16 secret šŸŽ‚" + assert m.string_view32_return() == "utf32 secret šŸŽ‚" + + with capture: + m.string_view_print("Hi") + m.string_view_print("utf8 šŸŽ‚") + m.string_view16_print("utf16 šŸŽ‚") + m.string_view32_print("utf32 šŸŽ‚") + assert capture == """ + Hi 2 + utf8 šŸŽ‚ 9 + utf16 šŸŽ‚ 8 + utf32 šŸŽ‚ 7 + """ + + with capture: + m.string_view_print("Hi, ascii") + m.string_view_print("Hi, utf8 šŸŽ‚") + m.string_view16_print("Hi, utf16 šŸŽ‚") + m.string_view32_print("Hi, utf32 šŸŽ‚") + assert capture == """ + Hi, ascii 9 + Hi, utf8 šŸŽ‚ 13 + Hi, utf16 šŸŽ‚ 12 + Hi, utf32 šŸŽ‚ 11 + """ + + +def test_integer_casting(): + """Issue #929 - out-of-range integer values shouldn't be accepted""" + import sys + assert m.i32_str(-1) == "-1" + assert m.i64_str(-1) == "-1" + assert m.i32_str(2000000000) == "2000000000" + assert m.u32_str(2000000000) == "2000000000" + if sys.version_info < (3,): + assert m.i32_str(long(-1)) == "-1" # noqa: F821 undefined name 'long' + assert m.i64_str(long(-1)) == "-1" # noqa: F821 undefined name 'long' + assert m.i64_str(long(-999999999999)) == "-999999999999" # noqa: F821 undefined name + assert m.u64_str(long(999999999999)) == "999999999999" # noqa: F821 undefined name 'long' + else: + assert m.i64_str(-999999999999) == "-999999999999" + assert m.u64_str(999999999999) == "999999999999" + + with pytest.raises(TypeError) as excinfo: + m.u32_str(-1) + assert "incompatible function arguments" in str(excinfo.value) + with pytest.raises(TypeError) as excinfo: + m.u64_str(-1) + assert "incompatible function arguments" in str(excinfo.value) + with pytest.raises(TypeError) as excinfo: + m.i32_str(-3000000000) + assert "incompatible function arguments" in str(excinfo.value) + with pytest.raises(TypeError) as excinfo: + m.i32_str(3000000000) + assert "incompatible function arguments" in str(excinfo.value) + + if sys.version_info < (3,): + with pytest.raises(TypeError) as excinfo: + m.u32_str(long(-1)) # noqa: F821 undefined name 'long' + assert "incompatible function arguments" in str(excinfo.value) + with pytest.raises(TypeError) as excinfo: + m.u64_str(long(-1)) # noqa: F821 undefined name 'long' + assert "incompatible function arguments" in str(excinfo.value) + + +def test_tuple(doc): + """std::pair <-> tuple & std::tuple <-> tuple""" + assert m.pair_passthrough((True, "test")) == ("test", True) + assert m.tuple_passthrough((True, "test", 5)) == (5, "test", True) + # Any sequence can be cast to a std::pair or std::tuple + assert m.pair_passthrough([True, "test"]) == ("test", True) + assert m.tuple_passthrough([True, "test", 5]) == (5, "test", True) + assert m.empty_tuple() == () + + assert doc(m.pair_passthrough) == """ + pair_passthrough(arg0: Tuple[bool, str]) -> Tuple[str, bool] + + Return a pair in reversed order + """ + assert doc(m.tuple_passthrough) == """ + tuple_passthrough(arg0: Tuple[bool, str, int]) -> Tuple[int, str, bool] + + Return a triple in reversed order + """ + + assert m.rvalue_pair() == ("rvalue", "rvalue") + assert m.lvalue_pair() == ("lvalue", "lvalue") + assert m.rvalue_tuple() == ("rvalue", "rvalue", "rvalue") + assert m.lvalue_tuple() == ("lvalue", "lvalue", "lvalue") + assert m.rvalue_nested() == ("rvalue", ("rvalue", ("rvalue", "rvalue"))) + assert m.lvalue_nested() == ("lvalue", ("lvalue", ("lvalue", "lvalue"))) + + +def test_builtins_cast_return_none(): + """Casters produced with PYBIND11_TYPE_CASTER() should convert nullptr to None""" + assert m.return_none_string() is None + assert m.return_none_char() is None + assert m.return_none_bool() is None + assert m.return_none_int() is None + assert m.return_none_float() is None + + +def test_none_deferred(): + """None passed as various argument types should defer to other overloads""" + assert not m.defer_none_cstring("abc") + assert m.defer_none_cstring(None) + assert not m.defer_none_custom(UserType()) + assert m.defer_none_custom(None) + assert m.nodefer_none_void(None) + + +def test_void_caster(): + assert m.load_nullptr_t(None) is None + assert m.cast_nullptr_t() is None + + +def test_reference_wrapper(): + """std::reference_wrapper for builtin and user types""" + assert m.refwrap_builtin(42) == 420 + assert m.refwrap_usertype(UserType(42)) == 42 + + with pytest.raises(TypeError) as excinfo: + m.refwrap_builtin(None) + assert "incompatible function arguments" in str(excinfo.value) + + with pytest.raises(TypeError) as excinfo: + m.refwrap_usertype(None) + assert "incompatible function arguments" in str(excinfo.value) + + a1 = m.refwrap_list(copy=True) + a2 = m.refwrap_list(copy=True) + assert [x.value for x in a1] == [2, 3] + assert [x.value for x in a2] == [2, 3] + assert not a1[0] is a2[0] and not a1[1] is a2[1] + + b1 = m.refwrap_list(copy=False) + b2 = m.refwrap_list(copy=False) + assert [x.value for x in b1] == [1, 2] + assert [x.value for x in b2] == [1, 2] + assert b1[0] is b2[0] and b1[1] is b2[1] + + assert m.refwrap_iiw(IncType(5)) == 5 + assert m.refwrap_call_iiw(IncType(10), m.refwrap_iiw) == [10, 10, 10, 10] + + +def test_complex_cast(): + """std::complex casts""" + assert m.complex_cast(1) == "1.0" + assert m.complex_cast(2j) == "(0.0, 2.0)" + + +def test_bool_caster(): + """Test bool caster implicit conversions.""" + convert, noconvert = m.bool_passthrough, m.bool_passthrough_noconvert + + def require_implicit(v): + pytest.raises(TypeError, noconvert, v) + + def cant_convert(v): + pytest.raises(TypeError, convert, v) + + # straight up bool + assert convert(True) is True + assert convert(False) is False + assert noconvert(True) is True + assert noconvert(False) is False + + # None requires implicit conversion + require_implicit(None) + assert convert(None) is False + + class A(object): + def __init__(self, x): + self.x = x + + def __nonzero__(self): + return self.x + + def __bool__(self): + return self.x + + class B(object): + pass + + # Arbitrary objects are not accepted + cant_convert(object()) + cant_convert(B()) + + # Objects with __nonzero__ / __bool__ defined can be converted + require_implicit(A(True)) + assert convert(A(True)) is True + assert convert(A(False)) is False + + +@pytest.requires_numpy +def test_numpy_bool(): + import numpy as np + convert, noconvert = m.bool_passthrough, m.bool_passthrough_noconvert + + # np.bool_ is not considered implicit + assert convert(np.bool_(True)) is True + assert convert(np.bool_(False)) is False + assert noconvert(np.bool_(True)) is True + assert noconvert(np.bool_(False)) is False + + +def test_int_long(): + """In Python 2, a C++ int should return a Python int rather than long + if possible: longs are not always accepted where ints are used (such + as the argument to sys.exit()). A C++ long long is always a Python + long.""" + + import sys + must_be_long = type(getattr(sys, 'maxint', 1) + 1) + assert isinstance(m.int_cast(), int) + assert isinstance(m.long_cast(), int) + assert isinstance(m.longlong_cast(), must_be_long) + + +def test_void_caster_2(): + assert m.test_void_caster() diff --git a/external/pybind11/tests/test_call_policies.cpp b/external/pybind11/tests/test_call_policies.cpp new file mode 100644 index 0000000..fd24557 --- /dev/null +++ b/external/pybind11/tests/test_call_policies.cpp @@ -0,0 +1,100 @@ +/* + tests/test_call_policies.cpp -- keep_alive and call_guard + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" + +struct CustomGuard { + static bool enabled; + + CustomGuard() { enabled = true; } + ~CustomGuard() { enabled = false; } + + static const char *report_status() { return enabled ? "guarded" : "unguarded"; } +}; +bool CustomGuard::enabled = false; + +struct DependentGuard { + static bool enabled; + + DependentGuard() { enabled = CustomGuard::enabled; } + ~DependentGuard() { enabled = false; } + + static const char *report_status() { return enabled ? "guarded" : "unguarded"; } +}; +bool DependentGuard::enabled = false; + +TEST_SUBMODULE(call_policies, m) { + // Parent/Child are used in: + // test_keep_alive_argument, test_keep_alive_return_value, test_alive_gc_derived, + // test_alive_gc_multi_derived, test_return_none, test_keep_alive_constructor + class Child { + public: + Child() { py::print("Allocating child."); } + Child(const Child &) = default; + Child(Child &&) = default; + ~Child() { py::print("Releasing child."); } + }; + py::class_(m, "Child") + .def(py::init<>()); + + class Parent { + public: + Parent() { py::print("Allocating parent."); } + ~Parent() { py::print("Releasing parent."); } + void addChild(Child *) { } + Child *returnChild() { return new Child(); } + Child *returnNullChild() { return nullptr; } + }; + py::class_(m, "Parent") + .def(py::init<>()) + .def(py::init([](Child *) { return new Parent(); }), py::keep_alive<1, 2>()) + .def("addChild", &Parent::addChild) + .def("addChildKeepAlive", &Parent::addChild, py::keep_alive<1, 2>()) + .def("returnChild", &Parent::returnChild) + .def("returnChildKeepAlive", &Parent::returnChild, py::keep_alive<1, 0>()) + .def("returnNullChildKeepAliveChild", &Parent::returnNullChild, py::keep_alive<1, 0>()) + .def("returnNullChildKeepAliveParent", &Parent::returnNullChild, py::keep_alive<0, 1>()); + +#if !defined(PYPY_VERSION) + // test_alive_gc + class ParentGC : public Parent { + public: + using Parent::Parent; + }; + py::class_(m, "ParentGC", py::dynamic_attr()) + .def(py::init<>()); +#endif + + // test_call_guard + m.def("unguarded_call", &CustomGuard::report_status); + m.def("guarded_call", &CustomGuard::report_status, py::call_guard()); + + m.def("multiple_guards_correct_order", []() { + return CustomGuard::report_status() + std::string(" & ") + DependentGuard::report_status(); + }, py::call_guard()); + + m.def("multiple_guards_wrong_order", []() { + return DependentGuard::report_status() + std::string(" & ") + CustomGuard::report_status(); + }, py::call_guard()); + +#if defined(WITH_THREAD) && !defined(PYPY_VERSION) + // `py::call_guard()` should work in PyPy as well, + // but it's unclear how to test it without `PyGILState_GetThisThreadState`. + auto report_gil_status = []() { + auto is_gil_held = false; + if (auto tstate = py::detail::get_thread_state_unchecked()) + is_gil_held = (tstate == PyGILState_GetThisThreadState()); + + return is_gil_held ? "GIL held" : "GIL released"; + }; + + m.def("with_gil", report_gil_status); + m.def("without_gil", report_gil_status, py::call_guard()); +#endif +} diff --git a/external/pybind11/tests/test_call_policies.py b/external/pybind11/tests/test_call_policies.py new file mode 100644 index 0000000..7c83559 --- /dev/null +++ b/external/pybind11/tests/test_call_policies.py @@ -0,0 +1,187 @@ +import pytest +from pybind11_tests import call_policies as m +from pybind11_tests import ConstructorStats + + +def test_keep_alive_argument(capture): + n_inst = ConstructorStats.detail_reg_inst() + with capture: + p = m.Parent() + assert capture == "Allocating parent." + with capture: + p.addChild(m.Child()) + assert ConstructorStats.detail_reg_inst() == n_inst + 1 + assert capture == """ + Allocating child. + Releasing child. + """ + with capture: + del p + assert ConstructorStats.detail_reg_inst() == n_inst + assert capture == "Releasing parent." + + with capture: + p = m.Parent() + assert capture == "Allocating parent." + with capture: + p.addChildKeepAlive(m.Child()) + assert ConstructorStats.detail_reg_inst() == n_inst + 2 + assert capture == "Allocating child." + with capture: + del p + assert ConstructorStats.detail_reg_inst() == n_inst + assert capture == """ + Releasing parent. + Releasing child. + """ + + +def test_keep_alive_return_value(capture): + n_inst = ConstructorStats.detail_reg_inst() + with capture: + p = m.Parent() + assert capture == "Allocating parent." + with capture: + p.returnChild() + assert ConstructorStats.detail_reg_inst() == n_inst + 1 + assert capture == """ + Allocating child. + Releasing child. + """ + with capture: + del p + assert ConstructorStats.detail_reg_inst() == n_inst + assert capture == "Releasing parent." + + with capture: + p = m.Parent() + assert capture == "Allocating parent." + with capture: + p.returnChildKeepAlive() + assert ConstructorStats.detail_reg_inst() == n_inst + 2 + assert capture == "Allocating child." + with capture: + del p + assert ConstructorStats.detail_reg_inst() == n_inst + assert capture == """ + Releasing parent. + Releasing child. + """ + + +# https://bitbucket.org/pypy/pypy/issues/2447 +@pytest.unsupported_on_pypy +def test_alive_gc(capture): + n_inst = ConstructorStats.detail_reg_inst() + p = m.ParentGC() + p.addChildKeepAlive(m.Child()) + assert ConstructorStats.detail_reg_inst() == n_inst + 2 + lst = [p] + lst.append(lst) # creates a circular reference + with capture: + del p, lst + assert ConstructorStats.detail_reg_inst() == n_inst + assert capture == """ + Releasing parent. + Releasing child. + """ + + +def test_alive_gc_derived(capture): + class Derived(m.Parent): + pass + + n_inst = ConstructorStats.detail_reg_inst() + p = Derived() + p.addChildKeepAlive(m.Child()) + assert ConstructorStats.detail_reg_inst() == n_inst + 2 + lst = [p] + lst.append(lst) # creates a circular reference + with capture: + del p, lst + assert ConstructorStats.detail_reg_inst() == n_inst + assert capture == """ + Releasing parent. + Releasing child. + """ + + +def test_alive_gc_multi_derived(capture): + class Derived(m.Parent, m.Child): + def __init__(self): + m.Parent.__init__(self) + m.Child.__init__(self) + + n_inst = ConstructorStats.detail_reg_inst() + p = Derived() + p.addChildKeepAlive(m.Child()) + # +3 rather than +2 because Derived corresponds to two registered instances + assert ConstructorStats.detail_reg_inst() == n_inst + 3 + lst = [p] + lst.append(lst) # creates a circular reference + with capture: + del p, lst + assert ConstructorStats.detail_reg_inst() == n_inst + assert capture == """ + Releasing parent. + Releasing child. + Releasing child. + """ + + +def test_return_none(capture): + n_inst = ConstructorStats.detail_reg_inst() + with capture: + p = m.Parent() + assert capture == "Allocating parent." + with capture: + p.returnNullChildKeepAliveChild() + assert ConstructorStats.detail_reg_inst() == n_inst + 1 + assert capture == "" + with capture: + del p + assert ConstructorStats.detail_reg_inst() == n_inst + assert capture == "Releasing parent." + + with capture: + p = m.Parent() + assert capture == "Allocating parent." + with capture: + p.returnNullChildKeepAliveParent() + assert ConstructorStats.detail_reg_inst() == n_inst + 1 + assert capture == "" + with capture: + del p + assert ConstructorStats.detail_reg_inst() == n_inst + assert capture == "Releasing parent." + + +def test_keep_alive_constructor(capture): + n_inst = ConstructorStats.detail_reg_inst() + + with capture: + p = m.Parent(m.Child()) + assert ConstructorStats.detail_reg_inst() == n_inst + 2 + assert capture == """ + Allocating child. + Allocating parent. + """ + with capture: + del p + assert ConstructorStats.detail_reg_inst() == n_inst + assert capture == """ + Releasing parent. + Releasing child. + """ + + +def test_call_guard(): + assert m.unguarded_call() == "unguarded" + assert m.guarded_call() == "guarded" + + assert m.multiple_guards_correct_order() == "guarded & guarded" + assert m.multiple_guards_wrong_order() == "unguarded & guarded" + + if hasattr(m, "with_gil"): + assert m.with_gil() == "GIL held" + assert m.without_gil() == "GIL released" diff --git a/external/pybind11/tests/test_callbacks.cpp b/external/pybind11/tests/test_callbacks.cpp new file mode 100644 index 0000000..71b88c4 --- /dev/null +++ b/external/pybind11/tests/test_callbacks.cpp @@ -0,0 +1,168 @@ +/* + tests/test_callbacks.cpp -- callbacks + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" +#include "constructor_stats.h" +#include +#include + + +int dummy_function(int i) { return i + 1; } + +TEST_SUBMODULE(callbacks, m) { + // test_callbacks, test_function_signatures + m.def("test_callback1", [](py::object func) { return func(); }); + m.def("test_callback2", [](py::object func) { return func("Hello", 'x', true, 5); }); + m.def("test_callback3", [](const std::function &func) { + return "func(43) = " + std::to_string(func(43)); }); + m.def("test_callback4", []() -> std::function { return [](int i) { return i+1; }; }); + m.def("test_callback5", []() { + return py::cpp_function([](int i) { return i+1; }, py::arg("number")); + }); + + // test_keyword_args_and_generalized_unpacking + m.def("test_tuple_unpacking", [](py::function f) { + auto t1 = py::make_tuple(2, 3); + auto t2 = py::make_tuple(5, 6); + return f("positional", 1, *t1, 4, *t2); + }); + + m.def("test_dict_unpacking", [](py::function f) { + auto d1 = py::dict("key"_a="value", "a"_a=1); + auto d2 = py::dict(); + auto d3 = py::dict("b"_a=2); + return f("positional", 1, **d1, **d2, **d3); + }); + + m.def("test_keyword_args", [](py::function f) { + return f("x"_a=10, "y"_a=20); + }); + + m.def("test_unpacking_and_keywords1", [](py::function f) { + auto args = py::make_tuple(2); + auto kwargs = py::dict("d"_a=4); + return f(1, *args, "c"_a=3, **kwargs); + }); + + m.def("test_unpacking_and_keywords2", [](py::function f) { + auto kwargs1 = py::dict("a"_a=1); + auto kwargs2 = py::dict("c"_a=3, "d"_a=4); + return f("positional", *py::make_tuple(1), 2, *py::make_tuple(3, 4), 5, + "key"_a="value", **kwargs1, "b"_a=2, **kwargs2, "e"_a=5); + }); + + m.def("test_unpacking_error1", [](py::function f) { + auto kwargs = py::dict("x"_a=3); + return f("x"_a=1, "y"_a=2, **kwargs); // duplicate ** after keyword + }); + + m.def("test_unpacking_error2", [](py::function f) { + auto kwargs = py::dict("x"_a=3); + return f(**kwargs, "x"_a=1); // duplicate keyword after ** + }); + + m.def("test_arg_conversion_error1", [](py::function f) { + f(234, UnregisteredType(), "kw"_a=567); + }); + + m.def("test_arg_conversion_error2", [](py::function f) { + f(234, "expected_name"_a=UnregisteredType(), "kw"_a=567); + }); + + // test_lambda_closure_cleanup + struct Payload { + Payload() { print_default_created(this); } + ~Payload() { print_destroyed(this); } + Payload(const Payload &) { print_copy_created(this); } + Payload(Payload &&) { print_move_created(this); } + }; + // Export the payload constructor statistics for testing purposes: + m.def("payload_cstats", &ConstructorStats::get); + /* Test cleanup of lambda closure */ + m.def("test_cleanup", []() -> std::function { + Payload p; + + return [p]() { + /* p should be cleaned up when the returned function is garbage collected */ + (void) p; + }; + }); + + // test_cpp_function_roundtrip + /* Test if passing a function pointer from C++ -> Python -> C++ yields the original pointer */ + m.def("dummy_function", &dummy_function); + m.def("dummy_function2", [](int i, int j) { return i + j; }); + m.def("roundtrip", [](std::function f, bool expect_none = false) { + if (expect_none && f) + throw std::runtime_error("Expected None to be converted to empty std::function"); + return f; + }, py::arg("f"), py::arg("expect_none")=false); + m.def("test_dummy_function", [](const std::function &f) -> std::string { + using fn_type = int (*)(int); + auto result = f.target(); + if (!result) { + auto r = f(1); + return "can't convert to function pointer: eval(1) = " + std::to_string(r); + } else if (*result == dummy_function) { + auto r = (*result)(1); + return "matches dummy_function: eval(1) = " + std::to_string(r); + } else { + return "argument does NOT match dummy_function. This should never happen!"; + } + }); + + class AbstractBase { public: virtual unsigned int func() = 0; }; + m.def("func_accepting_func_accepting_base", [](std::function) { }); + + struct MovableObject { + bool valid = true; + + MovableObject() = default; + MovableObject(const MovableObject &) = default; + MovableObject &operator=(const MovableObject &) = default; + MovableObject(MovableObject &&o) : valid(o.valid) { o.valid = false; } + MovableObject &operator=(MovableObject &&o) { + valid = o.valid; + o.valid = false; + return *this; + } + }; + py::class_(m, "MovableObject"); + + // test_movable_object + m.def("callback_with_movable", [](std::function f) { + auto x = MovableObject(); + f(x); // lvalue reference shouldn't move out object + return x.valid; // must still return `true` + }); + + // test_bound_method_callback + struct CppBoundMethodTest {}; + py::class_(m, "CppBoundMethodTest") + .def(py::init<>()) + .def("triple", [](CppBoundMethodTest &, int val) { return 3 * val; }); + + // test async Python callbacks + using callback_f = std::function; + m.def("test_async_callback", [](callback_f f, py::list work) { + // make detached thread that calls `f` with piece of work after a little delay + auto start_f = [f](int j) { + auto invoke_f = [f, j] { + std::this_thread::sleep_for(std::chrono::milliseconds(50)); + f(j); + }; + auto t = std::thread(std::move(invoke_f)); + t.detach(); + }; + + // spawn worker threads + for (auto i : work) + start_f(py::cast(i)); + }); +} diff --git a/external/pybind11/tests/test_callbacks.py b/external/pybind11/tests/test_callbacks.py new file mode 100644 index 0000000..6439c8e --- /dev/null +++ b/external/pybind11/tests/test_callbacks.py @@ -0,0 +1,136 @@ +import pytest +from pybind11_tests import callbacks as m +from threading import Thread + + +def test_callbacks(): + from functools import partial + + def func1(): + return "func1" + + def func2(a, b, c, d): + return "func2", a, b, c, d + + def func3(a): + return "func3({})".format(a) + + assert m.test_callback1(func1) == "func1" + assert m.test_callback2(func2) == ("func2", "Hello", "x", True, 5) + assert m.test_callback1(partial(func2, 1, 2, 3, 4)) == ("func2", 1, 2, 3, 4) + assert m.test_callback1(partial(func3, "partial")) == "func3(partial)" + assert m.test_callback3(lambda i: i + 1) == "func(43) = 44" + + f = m.test_callback4() + assert f(43) == 44 + f = m.test_callback5() + assert f(number=43) == 44 + + +def test_bound_method_callback(): + # Bound Python method: + class MyClass: + def double(self, val): + return 2 * val + + z = MyClass() + assert m.test_callback3(z.double) == "func(43) = 86" + + z = m.CppBoundMethodTest() + assert m.test_callback3(z.triple) == "func(43) = 129" + + +def test_keyword_args_and_generalized_unpacking(): + + def f(*args, **kwargs): + return args, kwargs + + assert m.test_tuple_unpacking(f) == (("positional", 1, 2, 3, 4, 5, 6), {}) + assert m.test_dict_unpacking(f) == (("positional", 1), {"key": "value", "a": 1, "b": 2}) + assert m.test_keyword_args(f) == ((), {"x": 10, "y": 20}) + assert m.test_unpacking_and_keywords1(f) == ((1, 2), {"c": 3, "d": 4}) + assert m.test_unpacking_and_keywords2(f) == ( + ("positional", 1, 2, 3, 4, 5), + {"key": "value", "a": 1, "b": 2, "c": 3, "d": 4, "e": 5} + ) + + with pytest.raises(TypeError) as excinfo: + m.test_unpacking_error1(f) + assert "Got multiple values for keyword argument" in str(excinfo.value) + + with pytest.raises(TypeError) as excinfo: + m.test_unpacking_error2(f) + assert "Got multiple values for keyword argument" in str(excinfo.value) + + with pytest.raises(RuntimeError) as excinfo: + m.test_arg_conversion_error1(f) + assert "Unable to convert call argument" in str(excinfo.value) + + with pytest.raises(RuntimeError) as excinfo: + m.test_arg_conversion_error2(f) + assert "Unable to convert call argument" in str(excinfo.value) + + +def test_lambda_closure_cleanup(): + m.test_cleanup() + cstats = m.payload_cstats() + assert cstats.alive() == 0 + assert cstats.copy_constructions == 1 + assert cstats.move_constructions >= 1 + + +def test_cpp_function_roundtrip(): + """Test if passing a function pointer from C++ -> Python -> C++ yields the original pointer""" + + assert m.test_dummy_function(m.dummy_function) == "matches dummy_function: eval(1) = 2" + assert (m.test_dummy_function(m.roundtrip(m.dummy_function)) == + "matches dummy_function: eval(1) = 2") + assert m.roundtrip(None, expect_none=True) is None + assert (m.test_dummy_function(lambda x: x + 2) == + "can't convert to function pointer: eval(1) = 3") + + with pytest.raises(TypeError) as excinfo: + m.test_dummy_function(m.dummy_function2) + assert "incompatible function arguments" in str(excinfo.value) + + with pytest.raises(TypeError) as excinfo: + m.test_dummy_function(lambda x, y: x + y) + assert any(s in str(excinfo.value) for s in ("missing 1 required positional argument", + "takes exactly 2 arguments")) + + +def test_function_signatures(doc): + assert doc(m.test_callback3) == "test_callback3(arg0: Callable[[int], int]) -> str" + assert doc(m.test_callback4) == "test_callback4() -> Callable[[int], int]" + + +def test_movable_object(): + assert m.callback_with_movable(lambda _: None) is True + + +def test_async_callbacks(): + # serves as state for async callback + class Item: + def __init__(self, value): + self.value = value + + res = [] + + # generate stateful lambda that will store result in `res` + def gen_f(): + s = Item(3) + return lambda j: res.append(s.value + j) + + # do some work async + work = [1, 2, 3, 4] + m.test_async_callback(gen_f(), work) + # wait until work is done + from time import sleep + sleep(0.5) + assert sum(res) == sum([x + 3 for x in work]) + + +def test_async_async_callbacks(): + t = Thread(target=test_async_callbacks) + t.start() + t.join() diff --git a/external/pybind11/tests/test_chrono.cpp b/external/pybind11/tests/test_chrono.cpp new file mode 100644 index 0000000..899d08d --- /dev/null +++ b/external/pybind11/tests/test_chrono.cpp @@ -0,0 +1,55 @@ +/* + tests/test_chrono.cpp -- test conversions to/from std::chrono types + + Copyright (c) 2016 Trent Houliston and + Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" +#include + +TEST_SUBMODULE(chrono, m) { + using system_time = std::chrono::system_clock::time_point; + using steady_time = std::chrono::steady_clock::time_point; + + using timespan = std::chrono::duration; + using timestamp = std::chrono::time_point; + + // test_chrono_system_clock + // Return the current time off the wall clock + m.def("test_chrono1", []() { return std::chrono::system_clock::now(); }); + + // test_chrono_system_clock_roundtrip + // Round trip the passed in system clock time + m.def("test_chrono2", [](system_time t) { return t; }); + + // test_chrono_duration_roundtrip + // Round trip the passed in duration + m.def("test_chrono3", [](std::chrono::system_clock::duration d) { return d; }); + + // test_chrono_duration_subtraction_equivalence + // Difference between two passed in time_points + m.def("test_chrono4", [](system_time a, system_time b) { return a - b; }); + + // test_chrono_steady_clock + // Return the current time off the steady_clock + m.def("test_chrono5", []() { return std::chrono::steady_clock::now(); }); + + // test_chrono_steady_clock_roundtrip + // Round trip a steady clock timepoint + m.def("test_chrono6", [](steady_time t) { return t; }); + + // test_floating_point_duration + // Roundtrip a duration in microseconds from a float argument + m.def("test_chrono7", [](std::chrono::microseconds t) { return t; }); + // Float durations (issue #719) + m.def("test_chrono_float_diff", [](std::chrono::duration a, std::chrono::duration b) { + return a - b; }); + + m.def("test_nano_timepoint", [](timestamp start, timespan delta) -> timestamp { + return start + delta; + }); +} diff --git a/external/pybind11/tests/test_chrono.py b/external/pybind11/tests/test_chrono.py new file mode 100644 index 0000000..55c9544 --- /dev/null +++ b/external/pybind11/tests/test_chrono.py @@ -0,0 +1,176 @@ +from pybind11_tests import chrono as m +import datetime + + +def test_chrono_system_clock(): + + # Get the time from both c++ and datetime + date1 = m.test_chrono1() + date2 = datetime.datetime.today() + + # The returned value should be a datetime + assert isinstance(date1, datetime.datetime) + + # The numbers should vary by a very small amount (time it took to execute) + diff = abs(date1 - date2) + + # There should never be a days/seconds difference + assert diff.days == 0 + assert diff.seconds == 0 + + # We test that no more than about 0.5 seconds passes here + # This makes sure that the dates created are very close to the same + # but if the testing system is incredibly overloaded this should still pass + assert diff.microseconds < 500000 + + +def test_chrono_system_clock_roundtrip(): + date1 = datetime.datetime.today() + + # Roundtrip the time + date2 = m.test_chrono2(date1) + + # The returned value should be a datetime + assert isinstance(date2, datetime.datetime) + + # They should be identical (no information lost on roundtrip) + diff = abs(date1 - date2) + assert diff.days == 0 + assert diff.seconds == 0 + assert diff.microseconds == 0 + + +def test_chrono_system_clock_roundtrip_date(): + date1 = datetime.date.today() + + # Roundtrip the time + datetime2 = m.test_chrono2(date1) + date2 = datetime2.date() + time2 = datetime2.time() + + # The returned value should be a datetime + assert isinstance(datetime2, datetime.datetime) + assert isinstance(date2, datetime.date) + assert isinstance(time2, datetime.time) + + # They should be identical (no information lost on roundtrip) + diff = abs(date1 - date2) + assert diff.days == 0 + assert diff.seconds == 0 + assert diff.microseconds == 0 + + # Year, Month & Day should be the same after the round trip + assert date1.year == date2.year + assert date1.month == date2.month + assert date1.day == date2.day + + # There should be no time information + assert time2.hour == 0 + assert time2.minute == 0 + assert time2.second == 0 + assert time2.microsecond == 0 + + +def test_chrono_system_clock_roundtrip_time(): + time1 = datetime.datetime.today().time() + + # Roundtrip the time + datetime2 = m.test_chrono2(time1) + date2 = datetime2.date() + time2 = datetime2.time() + + # The returned value should be a datetime + assert isinstance(datetime2, datetime.datetime) + assert isinstance(date2, datetime.date) + assert isinstance(time2, datetime.time) + + # Hour, Minute, Second & Microsecond should be the same after the round trip + assert time1.hour == time2.hour + assert time1.minute == time2.minute + assert time1.second == time2.second + assert time1.microsecond == time2.microsecond + + # There should be no date information (i.e. date = python base date) + assert date2.year == 1970 + assert date2.month == 1 + assert date2.day == 1 + + +def test_chrono_duration_roundtrip(): + + # Get the difference between two times (a timedelta) + date1 = datetime.datetime.today() + date2 = datetime.datetime.today() + diff = date2 - date1 + + # Make sure this is a timedelta + assert isinstance(diff, datetime.timedelta) + + cpp_diff = m.test_chrono3(diff) + + assert cpp_diff.days == diff.days + assert cpp_diff.seconds == diff.seconds + assert cpp_diff.microseconds == diff.microseconds + + +def test_chrono_duration_subtraction_equivalence(): + + date1 = datetime.datetime.today() + date2 = datetime.datetime.today() + + diff = date2 - date1 + cpp_diff = m.test_chrono4(date2, date1) + + assert cpp_diff.days == diff.days + assert cpp_diff.seconds == diff.seconds + assert cpp_diff.microseconds == diff.microseconds + + +def test_chrono_duration_subtraction_equivalence_date(): + + date1 = datetime.date.today() + date2 = datetime.date.today() + + diff = date2 - date1 + cpp_diff = m.test_chrono4(date2, date1) + + assert cpp_diff.days == diff.days + assert cpp_diff.seconds == diff.seconds + assert cpp_diff.microseconds == diff.microseconds + + +def test_chrono_steady_clock(): + time1 = m.test_chrono5() + assert isinstance(time1, datetime.timedelta) + + +def test_chrono_steady_clock_roundtrip(): + time1 = datetime.timedelta(days=10, seconds=10, microseconds=100) + time2 = m.test_chrono6(time1) + + assert isinstance(time2, datetime.timedelta) + + # They should be identical (no information lost on roundtrip) + assert time1.days == time2.days + assert time1.seconds == time2.seconds + assert time1.microseconds == time2.microseconds + + +def test_floating_point_duration(): + # Test using a floating point number in seconds + time = m.test_chrono7(35.525123) + + assert isinstance(time, datetime.timedelta) + + assert time.seconds == 35 + assert 525122 <= time.microseconds <= 525123 + + diff = m.test_chrono_float_diff(43.789012, 1.123456) + assert diff.seconds == 42 + assert 665556 <= diff.microseconds <= 665557 + + +def test_nano_timepoint(): + time = datetime.datetime.now() + time1 = m.test_nano_timepoint(time, datetime.timedelta(seconds=60)) + assert(time1 == time + datetime.timedelta(seconds=60)) diff --git a/external/pybind11/tests/test_class.cpp b/external/pybind11/tests/test_class.cpp new file mode 100644 index 0000000..499d0cc --- /dev/null +++ b/external/pybind11/tests/test_class.cpp @@ -0,0 +1,422 @@ +/* + tests/test_class.cpp -- test py::class_ definitions and basic functionality + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" +#include "constructor_stats.h" +#include "local_bindings.h" +#include + +#if defined(_MSC_VER) +# pragma warning(disable: 4324) // warning C4324: structure was padded due to alignment specifier +#endif + +// test_brace_initialization +struct NoBraceInitialization { + NoBraceInitialization(std::vector v) : vec{std::move(v)} {} + template + NoBraceInitialization(std::initializer_list l) : vec(l) {} + + std::vector vec; +}; + +TEST_SUBMODULE(class_, m) { + // test_instance + struct NoConstructor { + NoConstructor() = default; + NoConstructor(const NoConstructor &) = default; + NoConstructor(NoConstructor &&) = default; + static NoConstructor *new_instance() { + auto *ptr = new NoConstructor(); + print_created(ptr, "via new_instance"); + return ptr; + } + ~NoConstructor() { print_destroyed(this); } + }; + + py::class_(m, "NoConstructor") + .def_static("new_instance", &NoConstructor::new_instance, "Return an instance"); + + // test_inheritance + class Pet { + public: + Pet(const std::string &name, const std::string &species) + : m_name(name), m_species(species) {} + std::string name() const { return m_name; } + std::string species() const { return m_species; } + private: + std::string m_name; + std::string m_species; + }; + + class Dog : public Pet { + public: + Dog(const std::string &name) : Pet(name, "dog") {} + std::string bark() const { return "Woof!"; } + }; + + class Rabbit : public Pet { + public: + Rabbit(const std::string &name) : Pet(name, "parrot") {} + }; + + class Hamster : public Pet { + public: + Hamster(const std::string &name) : Pet(name, "rodent") {} + }; + + class Chimera : public Pet { + Chimera() : Pet("Kimmy", "chimera") {} + }; + + py::class_ pet_class(m, "Pet"); + pet_class + .def(py::init()) + .def("name", &Pet::name) + .def("species", &Pet::species); + + /* One way of declaring a subclass relationship: reference parent's class_ object */ + py::class_(m, "Dog", pet_class) + .def(py::init()); + + /* Another way of declaring a subclass relationship: reference parent's C++ type */ + py::class_(m, "Rabbit") + .def(py::init()); + + /* And another: list parent in class template arguments */ + py::class_(m, "Hamster") + .def(py::init()); + + /* Constructors are not inherited by default */ + py::class_(m, "Chimera"); + + m.def("pet_name_species", [](const Pet &pet) { return pet.name() + " is a " + pet.species(); }); + m.def("dog_bark", [](const Dog &dog) { return dog.bark(); }); + + // test_automatic_upcasting + struct BaseClass { + BaseClass() = default; + BaseClass(const BaseClass &) = default; + BaseClass(BaseClass &&) = default; + virtual ~BaseClass() {} + }; + struct DerivedClass1 : BaseClass { }; + struct DerivedClass2 : BaseClass { }; + + py::class_(m, "BaseClass").def(py::init<>()); + py::class_(m, "DerivedClass1").def(py::init<>()); + py::class_(m, "DerivedClass2").def(py::init<>()); + + m.def("return_class_1", []() -> BaseClass* { return new DerivedClass1(); }); + m.def("return_class_2", []() -> BaseClass* { return new DerivedClass2(); }); + m.def("return_class_n", [](int n) -> BaseClass* { + if (n == 1) return new DerivedClass1(); + if (n == 2) return new DerivedClass2(); + return new BaseClass(); + }); + m.def("return_none", []() -> BaseClass* { return nullptr; }); + + // test_isinstance + m.def("check_instances", [](py::list l) { + return py::make_tuple( + py::isinstance(l[0]), + py::isinstance(l[1]), + py::isinstance(l[2]), + py::isinstance(l[3]), + py::isinstance(l[4]), + py::isinstance(l[5]), + py::isinstance(l[6]) + ); + }); + + // test_mismatched_holder + struct MismatchBase1 { }; + struct MismatchDerived1 : MismatchBase1 { }; + + struct MismatchBase2 { }; + struct MismatchDerived2 : MismatchBase2 { }; + + m.def("mismatched_holder_1", []() { + auto mod = py::module::import("__main__"); + py::class_>(mod, "MismatchBase1"); + py::class_(mod, "MismatchDerived1"); + }); + m.def("mismatched_holder_2", []() { + auto mod = py::module::import("__main__"); + py::class_(mod, "MismatchBase2"); + py::class_, + MismatchBase2>(mod, "MismatchDerived2"); + }); + + // test_override_static + // #511: problem with inheritance + overwritten def_static + struct MyBase { + static std::unique_ptr make() { + return std::unique_ptr(new MyBase()); + } + }; + + struct MyDerived : MyBase { + static std::unique_ptr make() { + return std::unique_ptr(new MyDerived()); + } + }; + + py::class_(m, "MyBase") + .def_static("make", &MyBase::make); + + py::class_(m, "MyDerived") + .def_static("make", &MyDerived::make) + .def_static("make2", &MyDerived::make); + + // test_implicit_conversion_life_support + struct ConvertibleFromUserType { + int i; + + ConvertibleFromUserType(UserType u) : i(u.value()) { } + }; + + py::class_(m, "AcceptsUserType") + .def(py::init()); + py::implicitly_convertible(); + + m.def("implicitly_convert_argument", [](const ConvertibleFromUserType &r) { return r.i; }); + m.def("implicitly_convert_variable", [](py::object o) { + // `o` is `UserType` and `r` is a reference to a temporary created by implicit + // conversion. This is valid when called inside a bound function because the temp + // object is attached to the same life support system as the arguments. + const auto &r = o.cast(); + return r.i; + }); + m.add_object("implicitly_convert_variable_fail", [&] { + auto f = [](PyObject *, PyObject *args) -> PyObject * { + auto o = py::reinterpret_borrow(args)[0]; + try { // It should fail here because there is no life support. + o.cast(); + } catch (const py::cast_error &e) { + return py::str(e.what()).release().ptr(); + } + return py::str().release().ptr(); + }; + + auto def = new PyMethodDef{"f", f, METH_VARARGS, nullptr}; + return py::reinterpret_steal(PyCFunction_NewEx(def, nullptr, m.ptr())); + }()); + + // test_operator_new_delete + struct HasOpNewDel { + std::uint64_t i; + static void *operator new(size_t s) { py::print("A new", s); return ::operator new(s); } + static void *operator new(size_t s, void *ptr) { py::print("A placement-new", s); return ptr; } + static void operator delete(void *p) { py::print("A delete"); return ::operator delete(p); } + }; + struct HasOpNewDelSize { + std::uint32_t i; + static void *operator new(size_t s) { py::print("B new", s); return ::operator new(s); } + static void *operator new(size_t s, void *ptr) { py::print("B placement-new", s); return ptr; } + static void operator delete(void *p, size_t s) { py::print("B delete", s); return ::operator delete(p); } + }; + struct AliasedHasOpNewDelSize { + std::uint64_t i; + static void *operator new(size_t s) { py::print("C new", s); return ::operator new(s); } + static void *operator new(size_t s, void *ptr) { py::print("C placement-new", s); return ptr; } + static void operator delete(void *p, size_t s) { py::print("C delete", s); return ::operator delete(p); } + virtual ~AliasedHasOpNewDelSize() = default; + }; + struct PyAliasedHasOpNewDelSize : AliasedHasOpNewDelSize { + PyAliasedHasOpNewDelSize() = default; + PyAliasedHasOpNewDelSize(int) { } + std::uint64_t j; + }; + struct HasOpNewDelBoth { + std::uint32_t i[8]; + static void *operator new(size_t s) { py::print("D new", s); return ::operator new(s); } + static void *operator new(size_t s, void *ptr) { py::print("D placement-new", s); return ptr; } + static void operator delete(void *p) { py::print("D delete"); return ::operator delete(p); } + static void operator delete(void *p, size_t s) { py::print("D wrong delete", s); return ::operator delete(p); } + }; + py::class_(m, "HasOpNewDel").def(py::init<>()); + py::class_(m, "HasOpNewDelSize").def(py::init<>()); + py::class_(m, "HasOpNewDelBoth").def(py::init<>()); + py::class_ aliased(m, "AliasedHasOpNewDelSize"); + aliased.def(py::init<>()); + aliased.attr("size_noalias") = py::int_(sizeof(AliasedHasOpNewDelSize)); + aliased.attr("size_alias") = py::int_(sizeof(PyAliasedHasOpNewDelSize)); + + // This test is actually part of test_local_bindings (test_duplicate_local), but we need a + // definition in a different compilation unit within the same module: + bind_local(m, "LocalExternal", py::module_local()); + + // test_bind_protected_functions + class ProtectedA { + protected: + int foo() const { return value; } + + private: + int value = 42; + }; + + class PublicistA : public ProtectedA { + public: + using ProtectedA::foo; + }; + + py::class_(m, "ProtectedA") + .def(py::init<>()) +#if !defined(_MSC_VER) || _MSC_VER >= 1910 + .def("foo", &PublicistA::foo); +#else + .def("foo", static_cast(&PublicistA::foo)); +#endif + + class ProtectedB { + public: + virtual ~ProtectedB() = default; + + protected: + virtual int foo() const { return value; } + + private: + int value = 42; + }; + + class TrampolineB : public ProtectedB { + public: + int foo() const override { PYBIND11_OVERLOAD(int, ProtectedB, foo, ); } + }; + + class PublicistB : public ProtectedB { + public: + using ProtectedB::foo; + }; + + py::class_(m, "ProtectedB") + .def(py::init<>()) +#if !defined(_MSC_VER) || _MSC_VER >= 1910 + .def("foo", &PublicistB::foo); +#else + .def("foo", static_cast(&PublicistB::foo)); +#endif + + // test_brace_initialization + struct BraceInitialization { + int field1; + std::string field2; + }; + + py::class_(m, "BraceInitialization") + .def(py::init()) + .def_readwrite("field1", &BraceInitialization::field1) + .def_readwrite("field2", &BraceInitialization::field2); + // We *don't* want to construct using braces when the given constructor argument maps to a + // constructor, because brace initialization could go to the wrong place (in particular when + // there is also an `initializer_list`-accept constructor): + py::class_(m, "NoBraceInitialization") + .def(py::init>()) + .def_readonly("vec", &NoBraceInitialization::vec); + + // test_reentrant_implicit_conversion_failure + // #1035: issue with runaway reentrant implicit conversion + struct BogusImplicitConversion { + BogusImplicitConversion(const BogusImplicitConversion &) { } + }; + + py::class_(m, "BogusImplicitConversion") + .def(py::init()); + + py::implicitly_convertible(); + + // test_qualname + // #1166: nested class docstring doesn't show nested name + // Also related: tests that __qualname__ is set properly + struct NestBase {}; + struct Nested {}; + py::class_ base(m, "NestBase"); + base.def(py::init<>()); + py::class_(base, "Nested") + .def(py::init<>()) + .def("fn", [](Nested &, int, NestBase &, Nested &) {}) + .def("fa", [](Nested &, int, NestBase &, Nested &) {}, + "a"_a, "b"_a, "c"_a); + base.def("g", [](NestBase &, Nested &) {}); + base.def("h", []() { return NestBase(); }); + + // test_error_after_conversion + // The second-pass path through dispatcher() previously didn't + // remember which overload was used, and would crash trying to + // generate a useful error message + + struct NotRegistered {}; + struct StringWrapper { std::string str; }; + m.def("test_error_after_conversions", [](int) {}); + m.def("test_error_after_conversions", + [](StringWrapper) -> NotRegistered { return {}; }); + py::class_(m, "StringWrapper").def(py::init()); + py::implicitly_convertible(); + + #if defined(PYBIND11_CPP17) + struct alignas(1024) Aligned { + std::uintptr_t ptr() const { return (uintptr_t) this; } + }; + py::class_(m, "Aligned") + .def(py::init<>()) + .def("ptr", &Aligned::ptr); + #endif +} + +template class BreaksBase { public: virtual ~BreaksBase() = default; }; +template class BreaksTramp : public BreaksBase {}; +// These should all compile just fine: +typedef py::class_, std::unique_ptr>, BreaksTramp<1>> DoesntBreak1; +typedef py::class_, BreaksTramp<2>, std::unique_ptr>> DoesntBreak2; +typedef py::class_, std::unique_ptr>> DoesntBreak3; +typedef py::class_, BreaksTramp<4>> DoesntBreak4; +typedef py::class_> DoesntBreak5; +typedef py::class_, std::shared_ptr>, BreaksTramp<6>> DoesntBreak6; +typedef py::class_, BreaksTramp<7>, std::shared_ptr>> DoesntBreak7; +typedef py::class_, std::shared_ptr>> DoesntBreak8; +#define CHECK_BASE(N) static_assert(std::is_same>::value, \ + "DoesntBreak" #N " has wrong type!") +CHECK_BASE(1); CHECK_BASE(2); CHECK_BASE(3); CHECK_BASE(4); CHECK_BASE(5); CHECK_BASE(6); CHECK_BASE(7); CHECK_BASE(8); +#define CHECK_ALIAS(N) static_assert(DoesntBreak##N::has_alias && std::is_same>::value, \ + "DoesntBreak" #N " has wrong type_alias!") +#define CHECK_NOALIAS(N) static_assert(!DoesntBreak##N::has_alias && std::is_void::value, \ + "DoesntBreak" #N " has type alias, but shouldn't!") +CHECK_ALIAS(1); CHECK_ALIAS(2); CHECK_NOALIAS(3); CHECK_ALIAS(4); CHECK_NOALIAS(5); CHECK_ALIAS(6); CHECK_ALIAS(7); CHECK_NOALIAS(8); +#define CHECK_HOLDER(N, TYPE) static_assert(std::is_same>>::value, \ + "DoesntBreak" #N " has wrong holder_type!") +CHECK_HOLDER(1, unique); CHECK_HOLDER(2, unique); CHECK_HOLDER(3, unique); CHECK_HOLDER(4, unique); CHECK_HOLDER(5, unique); +CHECK_HOLDER(6, shared); CHECK_HOLDER(7, shared); CHECK_HOLDER(8, shared); + +// There's no nice way to test that these fail because they fail to compile; leave them here, +// though, so that they can be manually tested by uncommenting them (and seeing that compilation +// failures occurs). + +// We have to actually look into the type: the typedef alone isn't enough to instantiate the type: +#define CHECK_BROKEN(N) static_assert(std::is_same>::value, \ + "Breaks1 has wrong type!"); + +//// Two holder classes: +//typedef py::class_, std::unique_ptr>, std::unique_ptr>> Breaks1; +//CHECK_BROKEN(1); +//// Two aliases: +//typedef py::class_, BreaksTramp<-2>, BreaksTramp<-2>> Breaks2; +//CHECK_BROKEN(2); +//// Holder + 2 aliases +//typedef py::class_, std::unique_ptr>, BreaksTramp<-3>, BreaksTramp<-3>> Breaks3; +//CHECK_BROKEN(3); +//// Alias + 2 holders +//typedef py::class_, std::unique_ptr>, BreaksTramp<-4>, std::shared_ptr>> Breaks4; +//CHECK_BROKEN(4); +//// Invalid option (not a subclass or holder) +//typedef py::class_, BreaksTramp<-4>> Breaks5; +//CHECK_BROKEN(5); +//// Invalid option: multiple inheritance not supported: +//template <> struct BreaksBase<-8> : BreaksBase<-6>, BreaksBase<-7> {}; +//typedef py::class_, BreaksBase<-6>, BreaksBase<-7>> Breaks8; +//CHECK_BROKEN(8); diff --git a/external/pybind11/tests/test_class.py b/external/pybind11/tests/test_class.py new file mode 100644 index 0000000..ed63ca8 --- /dev/null +++ b/external/pybind11/tests/test_class.py @@ -0,0 +1,281 @@ +import pytest + +from pybind11_tests import class_ as m +from pybind11_tests import UserType, ConstructorStats + + +def test_repr(): + # In Python 3.3+, repr() accesses __qualname__ + assert "pybind11_type" in repr(type(UserType)) + assert "UserType" in repr(UserType) + + +def test_instance(msg): + with pytest.raises(TypeError) as excinfo: + m.NoConstructor() + assert msg(excinfo.value) == "m.class_.NoConstructor: No constructor defined!" + + instance = m.NoConstructor.new_instance() + + cstats = ConstructorStats.get(m.NoConstructor) + assert cstats.alive() == 1 + del instance + assert cstats.alive() == 0 + + +def test_docstrings(doc): + assert doc(UserType) == "A `py::class_` type for testing" + assert UserType.__name__ == "UserType" + assert UserType.__module__ == "pybind11_tests" + assert UserType.get_value.__name__ == "get_value" + assert UserType.get_value.__module__ == "pybind11_tests" + + assert doc(UserType.get_value) == """ + get_value(self: m.UserType) -> int + + Get value using a method + """ + assert doc(UserType.value) == "Get/set value using a property" + + assert doc(m.NoConstructor.new_instance) == """ + new_instance() -> m.class_.NoConstructor + + Return an instance + """ + + +def test_qualname(doc): + """Tests that a properly qualified name is set in __qualname__ (even in pre-3.3, where we + backport the attribute) and that generated docstrings properly use it and the module name""" + assert m.NestBase.__qualname__ == "NestBase" + assert m.NestBase.Nested.__qualname__ == "NestBase.Nested" + + assert doc(m.NestBase.__init__) == """ + __init__(self: m.class_.NestBase) -> None + """ + assert doc(m.NestBase.g) == """ + g(self: m.class_.NestBase, arg0: m.class_.NestBase.Nested) -> None + """ + assert doc(m.NestBase.Nested.__init__) == """ + __init__(self: m.class_.NestBase.Nested) -> None + """ + assert doc(m.NestBase.Nested.fn) == """ + fn(self: m.class_.NestBase.Nested, arg0: int, arg1: m.class_.NestBase, arg2: m.class_.NestBase.Nested) -> None + """ # noqa: E501 line too long + assert doc(m.NestBase.Nested.fa) == """ + fa(self: m.class_.NestBase.Nested, a: int, b: m.class_.NestBase, c: m.class_.NestBase.Nested) -> None + """ # noqa: E501 line too long + assert m.NestBase.__module__ == "pybind11_tests.class_" + assert m.NestBase.Nested.__module__ == "pybind11_tests.class_" + + +def test_inheritance(msg): + roger = m.Rabbit('Rabbit') + assert roger.name() + " is a " + roger.species() == "Rabbit is a parrot" + assert m.pet_name_species(roger) == "Rabbit is a parrot" + + polly = m.Pet('Polly', 'parrot') + assert polly.name() + " is a " + polly.species() == "Polly is a parrot" + assert m.pet_name_species(polly) == "Polly is a parrot" + + molly = m.Dog('Molly') + assert molly.name() + " is a " + molly.species() == "Molly is a dog" + assert m.pet_name_species(molly) == "Molly is a dog" + + fred = m.Hamster('Fred') + assert fred.name() + " is a " + fred.species() == "Fred is a rodent" + + assert m.dog_bark(molly) == "Woof!" + + with pytest.raises(TypeError) as excinfo: + m.dog_bark(polly) + assert msg(excinfo.value) == """ + dog_bark(): incompatible function arguments. The following argument types are supported: + 1. (arg0: m.class_.Dog) -> str + + Invoked with: + """ + + with pytest.raises(TypeError) as excinfo: + m.Chimera("lion", "goat") + assert "No constructor defined!" in str(excinfo.value) + + +def test_automatic_upcasting(): + assert type(m.return_class_1()).__name__ == "DerivedClass1" + assert type(m.return_class_2()).__name__ == "DerivedClass2" + assert type(m.return_none()).__name__ == "NoneType" + # Repeat these a few times in a random order to ensure no invalid caching is applied + assert type(m.return_class_n(1)).__name__ == "DerivedClass1" + assert type(m.return_class_n(2)).__name__ == "DerivedClass2" + assert type(m.return_class_n(0)).__name__ == "BaseClass" + assert type(m.return_class_n(2)).__name__ == "DerivedClass2" + assert type(m.return_class_n(2)).__name__ == "DerivedClass2" + assert type(m.return_class_n(0)).__name__ == "BaseClass" + assert type(m.return_class_n(1)).__name__ == "DerivedClass1" + + +def test_isinstance(): + objects = [tuple(), dict(), m.Pet("Polly", "parrot")] + [m.Dog("Molly")] * 4 + expected = (True, True, True, True, True, False, False) + assert m.check_instances(objects) == expected + + +def test_mismatched_holder(): + import re + + with pytest.raises(RuntimeError) as excinfo: + m.mismatched_holder_1() + assert re.match('generic_type: type ".*MismatchDerived1" does not have a non-default ' + 'holder type while its base ".*MismatchBase1" does', str(excinfo.value)) + + with pytest.raises(RuntimeError) as excinfo: + m.mismatched_holder_2() + assert re.match('generic_type: type ".*MismatchDerived2" has a non-default holder type ' + 'while its base ".*MismatchBase2" does not', str(excinfo.value)) + + +def test_override_static(): + """#511: problem with inheritance + overwritten def_static""" + b = m.MyBase.make() + d1 = m.MyDerived.make2() + d2 = m.MyDerived.make() + + assert isinstance(b, m.MyBase) + assert isinstance(d1, m.MyDerived) + assert isinstance(d2, m.MyDerived) + + +def test_implicit_conversion_life_support(): + """Ensure the lifetime of temporary objects created for implicit conversions""" + assert m.implicitly_convert_argument(UserType(5)) == 5 + assert m.implicitly_convert_variable(UserType(5)) == 5 + + assert "outside a bound function" in m.implicitly_convert_variable_fail(UserType(5)) + + +def test_operator_new_delete(capture): + """Tests that class-specific operator new/delete functions are invoked""" + + class SubAliased(m.AliasedHasOpNewDelSize): + pass + + with capture: + a = m.HasOpNewDel() + b = m.HasOpNewDelSize() + d = m.HasOpNewDelBoth() + assert capture == """ + A new 8 + B new 4 + D new 32 + """ + sz_alias = str(m.AliasedHasOpNewDelSize.size_alias) + sz_noalias = str(m.AliasedHasOpNewDelSize.size_noalias) + with capture: + c = m.AliasedHasOpNewDelSize() + c2 = SubAliased() + assert capture == ( + "C new " + sz_noalias + "\n" + + "C new " + sz_alias + "\n" + ) + + with capture: + del a + pytest.gc_collect() + del b + pytest.gc_collect() + del d + pytest.gc_collect() + assert capture == """ + A delete + B delete 4 + D delete + """ + + with capture: + del c + pytest.gc_collect() + del c2 + pytest.gc_collect() + assert capture == ( + "C delete " + sz_noalias + "\n" + + "C delete " + sz_alias + "\n" + ) + + +def test_bind_protected_functions(): + """Expose protected member functions to Python using a helper class""" + a = m.ProtectedA() + assert a.foo() == 42 + + b = m.ProtectedB() + assert b.foo() == 42 + + class C(m.ProtectedB): + def __init__(self): + m.ProtectedB.__init__(self) + + def foo(self): + return 0 + + c = C() + assert c.foo() == 0 + + +def test_brace_initialization(): + """ Tests that simple POD classes can be constructed using C++11 brace initialization """ + a = m.BraceInitialization(123, "test") + assert a.field1 == 123 + assert a.field2 == "test" + + # Tests that a non-simple class doesn't get brace initialization (if the + # class defines an initializer_list constructor, in particular, it would + # win over the expected constructor). + b = m.NoBraceInitialization([123, 456]) + assert b.vec == [123, 456] + + +@pytest.unsupported_on_pypy +def test_class_refcount(): + """Instances must correctly increase/decrease the reference count of their types (#1029)""" + from sys import getrefcount + + class PyDog(m.Dog): + pass + + for cls in m.Dog, PyDog: + refcount_1 = getrefcount(cls) + molly = [cls("Molly") for _ in range(10)] + refcount_2 = getrefcount(cls) + + del molly + pytest.gc_collect() + refcount_3 = getrefcount(cls) + + assert refcount_1 == refcount_3 + assert refcount_2 > refcount_1 + + +def test_reentrant_implicit_conversion_failure(msg): + # ensure that there is no runaway reentrant implicit conversion (#1035) + with pytest.raises(TypeError) as excinfo: + m.BogusImplicitConversion(0) + assert msg(excinfo.value) == ''' + __init__(): incompatible constructor arguments. The following argument types are supported: + 1. m.class_.BogusImplicitConversion(arg0: m.class_.BogusImplicitConversion) + + Invoked with: 0 + ''' + + +def test_error_after_conversions(): + with pytest.raises(TypeError) as exc_info: + m.test_error_after_conversions("hello") + assert str(exc_info.value).startswith( + "Unable to convert function return value to a Python type!") + + +def test_aligned(): + if hasattr(m, "Aligned"): + p = m.Aligned().ptr() + assert p % 1024 == 0 diff --git a/external/pybind11/tests/test_cmake_build/CMakeLists.txt b/external/pybind11/tests/test_cmake_build/CMakeLists.txt new file mode 100644 index 0000000..c9b5fcb --- /dev/null +++ b/external/pybind11/tests/test_cmake_build/CMakeLists.txt @@ -0,0 +1,58 @@ +add_custom_target(test_cmake_build) + +if(CMAKE_VERSION VERSION_LESS 3.1) + # 3.0 needed for interface library for subdirectory_target/installed_target + # 3.1 needed for cmake -E env for testing + return() +endif() + +include(CMakeParseArguments) +function(pybind11_add_build_test name) + cmake_parse_arguments(ARG "INSTALL" "" "" ${ARGN}) + + set(build_options "-DCMAKE_PREFIX_PATH=${PROJECT_BINARY_DIR}/mock_install" + "-DCMAKE_CXX_COMPILER=${CMAKE_CXX_COMPILER}" + "-DPYTHON_EXECUTABLE:FILEPATH=${PYTHON_EXECUTABLE}" + "-DPYBIND11_CPP_STANDARD=${PYBIND11_CPP_STANDARD}") + if(NOT ARG_INSTALL) + list(APPEND build_options "-DPYBIND11_PROJECT_DIR=${PROJECT_SOURCE_DIR}") + endif() + + add_custom_target(test_${name} ${CMAKE_CTEST_COMMAND} + --quiet --output-log ${name}.log + --build-and-test "${CMAKE_CURRENT_SOURCE_DIR}/${name}" + "${CMAKE_CURRENT_BINARY_DIR}/${name}" + --build-config Release + --build-noclean + --build-generator ${CMAKE_GENERATOR} + $<$:--build-generator-platform> ${CMAKE_GENERATOR_PLATFORM} + --build-makeprogram ${CMAKE_MAKE_PROGRAM} + --build-target check + --build-options ${build_options} + ) + if(ARG_INSTALL) + add_dependencies(test_${name} mock_install) + endif() + add_dependencies(test_cmake_build test_${name}) +endfunction() + +pybind11_add_build_test(subdirectory_function) +pybind11_add_build_test(subdirectory_target) +if(NOT ${PYTHON_MODULE_EXTENSION} MATCHES "pypy") + pybind11_add_build_test(subdirectory_embed) +endif() + +if(PYBIND11_INSTALL) + add_custom_target(mock_install ${CMAKE_COMMAND} + "-DCMAKE_INSTALL_PREFIX=${PROJECT_BINARY_DIR}/mock_install" + -P "${PROJECT_BINARY_DIR}/cmake_install.cmake" + ) + + pybind11_add_build_test(installed_function INSTALL) + pybind11_add_build_test(installed_target INSTALL) + if(NOT ${PYTHON_MODULE_EXTENSION} MATCHES "pypy") + pybind11_add_build_test(installed_embed INSTALL) + endif() +endif() + +add_dependencies(check test_cmake_build) diff --git a/external/pybind11/tests/test_cmake_build/embed.cpp b/external/pybind11/tests/test_cmake_build/embed.cpp new file mode 100644 index 0000000..b9581d2 --- /dev/null +++ b/external/pybind11/tests/test_cmake_build/embed.cpp @@ -0,0 +1,21 @@ +#include +namespace py = pybind11; + +PYBIND11_EMBEDDED_MODULE(test_cmake_build, m) { + m.def("add", [](int i, int j) { return i + j; }); +} + +int main(int argc, char *argv[]) { + if (argc != 2) + throw std::runtime_error("Expected test.py file as the first argument"); + auto test_py_file = argv[1]; + + py::scoped_interpreter guard{}; + + auto m = py::module::import("test_cmake_build"); + if (m.attr("add")(1, 2).cast() != 3) + throw std::runtime_error("embed.cpp failed"); + + py::module::import("sys").attr("argv") = py::make_tuple("test.py", "embed.cpp"); + py::eval_file(test_py_file, py::globals()); +} diff --git a/external/pybind11/tests/test_cmake_build/installed_embed/CMakeLists.txt b/external/pybind11/tests/test_cmake_build/installed_embed/CMakeLists.txt new file mode 100644 index 0000000..f7fc09c --- /dev/null +++ b/external/pybind11/tests/test_cmake_build/installed_embed/CMakeLists.txt @@ -0,0 +1,15 @@ +cmake_minimum_required(VERSION 3.0) +project(test_installed_embed CXX) + +set(CMAKE_MODULE_PATH "") +find_package(pybind11 CONFIG REQUIRED) +message(STATUS "Found pybind11 v${pybind11_VERSION}: ${pybind11_INCLUDE_DIRS}") + +add_executable(test_cmake_build ../embed.cpp) +target_link_libraries(test_cmake_build PRIVATE pybind11::embed) + +# Do not treat includes from IMPORTED target as SYSTEM (Python headers in pybind11::embed). +# This may be needed to resolve header conflicts, e.g. between Python release and debug headers. +set_target_properties(test_cmake_build PROPERTIES NO_SYSTEM_FROM_IMPORTED ON) + +add_custom_target(check $ ${PROJECT_SOURCE_DIR}/../test.py) diff --git a/external/pybind11/tests/test_cmake_build/installed_function/CMakeLists.txt b/external/pybind11/tests/test_cmake_build/installed_function/CMakeLists.txt new file mode 100644 index 0000000..e0c20a8 --- /dev/null +++ b/external/pybind11/tests/test_cmake_build/installed_function/CMakeLists.txt @@ -0,0 +1,12 @@ +cmake_minimum_required(VERSION 2.8.12) +project(test_installed_module CXX) + +set(CMAKE_MODULE_PATH "") + +find_package(pybind11 CONFIG REQUIRED) +message(STATUS "Found pybind11 v${pybind11_VERSION}: ${pybind11_INCLUDE_DIRS}") + +pybind11_add_module(test_cmake_build SHARED NO_EXTRAS ../main.cpp) + +add_custom_target(check ${CMAKE_COMMAND} -E env PYTHONPATH=$ + ${PYTHON_EXECUTABLE} ${PROJECT_SOURCE_DIR}/../test.py ${PROJECT_NAME}) diff --git a/external/pybind11/tests/test_cmake_build/installed_target/CMakeLists.txt b/external/pybind11/tests/test_cmake_build/installed_target/CMakeLists.txt new file mode 100644 index 0000000..cd3ae6f --- /dev/null +++ b/external/pybind11/tests/test_cmake_build/installed_target/CMakeLists.txt @@ -0,0 +1,22 @@ +cmake_minimum_required(VERSION 3.0) +project(test_installed_target CXX) + +set(CMAKE_MODULE_PATH "") + +find_package(pybind11 CONFIG REQUIRED) +message(STATUS "Found pybind11 v${pybind11_VERSION}: ${pybind11_INCLUDE_DIRS}") + +add_library(test_cmake_build MODULE ../main.cpp) + +target_link_libraries(test_cmake_build PRIVATE pybind11::module) + +# make sure result is, for example, test_installed_target.so, not libtest_installed_target.dylib +set_target_properties(test_cmake_build PROPERTIES PREFIX "${PYTHON_MODULE_PREFIX}" + SUFFIX "${PYTHON_MODULE_EXTENSION}") + +# Do not treat includes from IMPORTED target as SYSTEM (Python headers in pybind11::module). +# This may be needed to resolve header conflicts, e.g. between Python release and debug headers. +set_target_properties(test_cmake_build PROPERTIES NO_SYSTEM_FROM_IMPORTED ON) + +add_custom_target(check ${CMAKE_COMMAND} -E env PYTHONPATH=$ + ${PYTHON_EXECUTABLE} ${PROJECT_SOURCE_DIR}/../test.py ${PROJECT_NAME}) diff --git a/external/pybind11/tests/test_cmake_build/main.cpp b/external/pybind11/tests/test_cmake_build/main.cpp new file mode 100644 index 0000000..e30f2c4 --- /dev/null +++ b/external/pybind11/tests/test_cmake_build/main.cpp @@ -0,0 +1,6 @@ +#include +namespace py = pybind11; + +PYBIND11_MODULE(test_cmake_build, m) { + m.def("add", [](int i, int j) { return i + j; }); +} diff --git a/external/pybind11/tests/test_cmake_build/subdirectory_embed/CMakeLists.txt b/external/pybind11/tests/test_cmake_build/subdirectory_embed/CMakeLists.txt new file mode 100644 index 0000000..88ba60d --- /dev/null +++ b/external/pybind11/tests/test_cmake_build/subdirectory_embed/CMakeLists.txt @@ -0,0 +1,25 @@ +cmake_minimum_required(VERSION 3.0) +project(test_subdirectory_embed CXX) + +set(PYBIND11_INSTALL ON CACHE BOOL "") +set(PYBIND11_EXPORT_NAME test_export) + +add_subdirectory(${PYBIND11_PROJECT_DIR} pybind11) + +# Test basic target functionality +add_executable(test_cmake_build ../embed.cpp) +target_link_libraries(test_cmake_build PRIVATE pybind11::embed) + +add_custom_target(check $ ${PROJECT_SOURCE_DIR}/../test.py) + +# Test custom export group -- PYBIND11_EXPORT_NAME +add_library(test_embed_lib ../embed.cpp) +target_link_libraries(test_embed_lib PRIVATE pybind11::embed) + +install(TARGETS test_embed_lib + EXPORT test_export + ARCHIVE DESTINATION bin + LIBRARY DESTINATION lib + RUNTIME DESTINATION lib) +install(EXPORT test_export + DESTINATION lib/cmake/test_export/test_export-Targets.cmake) diff --git a/external/pybind11/tests/test_cmake_build/subdirectory_function/CMakeLists.txt b/external/pybind11/tests/test_cmake_build/subdirectory_function/CMakeLists.txt new file mode 100644 index 0000000..278007a --- /dev/null +++ b/external/pybind11/tests/test_cmake_build/subdirectory_function/CMakeLists.txt @@ -0,0 +1,8 @@ +cmake_minimum_required(VERSION 2.8.12) +project(test_subdirectory_module CXX) + +add_subdirectory(${PYBIND11_PROJECT_DIR} pybind11) +pybind11_add_module(test_cmake_build THIN_LTO ../main.cpp) + +add_custom_target(check ${CMAKE_COMMAND} -E env PYTHONPATH=$ + ${PYTHON_EXECUTABLE} ${PROJECT_SOURCE_DIR}/../test.py ${PROJECT_NAME}) diff --git a/external/pybind11/tests/test_cmake_build/subdirectory_target/CMakeLists.txt b/external/pybind11/tests/test_cmake_build/subdirectory_target/CMakeLists.txt new file mode 100644 index 0000000..6b142d6 --- /dev/null +++ b/external/pybind11/tests/test_cmake_build/subdirectory_target/CMakeLists.txt @@ -0,0 +1,15 @@ +cmake_minimum_required(VERSION 3.0) +project(test_subdirectory_target CXX) + +add_subdirectory(${PYBIND11_PROJECT_DIR} pybind11) + +add_library(test_cmake_build MODULE ../main.cpp) + +target_link_libraries(test_cmake_build PRIVATE pybind11::module) + +# make sure result is, for example, test_installed_target.so, not libtest_installed_target.dylib +set_target_properties(test_cmake_build PROPERTIES PREFIX "${PYTHON_MODULE_PREFIX}" + SUFFIX "${PYTHON_MODULE_EXTENSION}") + +add_custom_target(check ${CMAKE_COMMAND} -E env PYTHONPATH=$ + ${PYTHON_EXECUTABLE} ${PROJECT_SOURCE_DIR}/../test.py ${PROJECT_NAME}) diff --git a/external/pybind11/tests/test_cmake_build/test.py b/external/pybind11/tests/test_cmake_build/test.py new file mode 100644 index 0000000..1467a61 --- /dev/null +++ b/external/pybind11/tests/test_cmake_build/test.py @@ -0,0 +1,5 @@ +import sys +import test_cmake_build + +assert test_cmake_build.add(1, 2) == 3 +print("{} imports, runs, and adds: 1 + 2 = 3".format(sys.argv[1])) diff --git a/external/pybind11/tests/test_constants_and_functions.cpp b/external/pybind11/tests/test_constants_and_functions.cpp new file mode 100644 index 0000000..e8ec74b --- /dev/null +++ b/external/pybind11/tests/test_constants_and_functions.cpp @@ -0,0 +1,127 @@ +/* + tests/test_constants_and_functions.cpp -- global constants and functions, enumerations, raw byte strings + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" + +enum MyEnum { EFirstEntry = 1, ESecondEntry }; + +std::string test_function1() { + return "test_function()"; +} + +std::string test_function2(MyEnum k) { + return "test_function(enum=" + std::to_string(k) + ")"; +} + +std::string test_function3(int i) { + return "test_function(" + std::to_string(i) + ")"; +} + +py::str test_function4() { return "test_function()"; } +py::str test_function4(char *) { return "test_function(char *)"; } +py::str test_function4(int, float) { return "test_function(int, float)"; } +py::str test_function4(float, int) { return "test_function(float, int)"; } + +py::bytes return_bytes() { + const char *data = "\x01\x00\x02\x00"; + return std::string(data, 4); +} + +std::string print_bytes(py::bytes bytes) { + std::string ret = "bytes["; + const auto value = static_cast(bytes); + for (size_t i = 0; i < value.length(); ++i) { + ret += std::to_string(static_cast(value[i])) + " "; + } + ret.back() = ']'; + return ret; +} + +// Test that we properly handle C++17 exception specifiers (which are part of the function signature +// in C++17). These should all still work before C++17, but don't affect the function signature. +namespace test_exc_sp { +int f1(int x) noexcept { return x+1; } +int f2(int x) noexcept(true) { return x+2; } +int f3(int x) noexcept(false) { return x+3; } +#if defined(__GNUG__) +# pragma GCC diagnostic push +# pragma GCC diagnostic ignored "-Wdeprecated" +#endif +int f4(int x) throw() { return x+4; } // Deprecated equivalent to noexcept(true) +#if defined(__GNUG__) +# pragma GCC diagnostic pop +#endif +struct C { + int m1(int x) noexcept { return x-1; } + int m2(int x) const noexcept { return x-2; } + int m3(int x) noexcept(true) { return x-3; } + int m4(int x) const noexcept(true) { return x-4; } + int m5(int x) noexcept(false) { return x-5; } + int m6(int x) const noexcept(false) { return x-6; } +#if defined(__GNUG__) +# pragma GCC diagnostic push +# pragma GCC diagnostic ignored "-Wdeprecated" +#endif + int m7(int x) throw() { return x-7; } + int m8(int x) const throw() { return x-8; } +#if defined(__GNUG__) +# pragma GCC diagnostic pop +#endif +}; +} + + +TEST_SUBMODULE(constants_and_functions, m) { + // test_constants + m.attr("some_constant") = py::int_(14); + + // test_function_overloading + m.def("test_function", &test_function1); + m.def("test_function", &test_function2); + m.def("test_function", &test_function3); + +#if defined(PYBIND11_OVERLOAD_CAST) + m.def("test_function", py::overload_cast<>(&test_function4)); + m.def("test_function", py::overload_cast(&test_function4)); + m.def("test_function", py::overload_cast(&test_function4)); + m.def("test_function", py::overload_cast(&test_function4)); +#else + m.def("test_function", static_cast(&test_function4)); + m.def("test_function", static_cast(&test_function4)); + m.def("test_function", static_cast(&test_function4)); + m.def("test_function", static_cast(&test_function4)); +#endif + + py::enum_(m, "MyEnum") + .value("EFirstEntry", EFirstEntry) + .value("ESecondEntry", ESecondEntry) + .export_values(); + + // test_bytes + m.def("return_bytes", &return_bytes); + m.def("print_bytes", &print_bytes); + + // test_exception_specifiers + using namespace test_exc_sp; + py::class_(m, "C") + .def(py::init<>()) + .def("m1", &C::m1) + .def("m2", &C::m2) + .def("m3", &C::m3) + .def("m4", &C::m4) + .def("m5", &C::m5) + .def("m6", &C::m6) + .def("m7", &C::m7) + .def("m8", &C::m8) + ; + m.def("f1", f1); + m.def("f2", f2); + m.def("f3", f3); + m.def("f4", f4); +} diff --git a/external/pybind11/tests/test_constants_and_functions.py b/external/pybind11/tests/test_constants_and_functions.py new file mode 100644 index 0000000..472682d --- /dev/null +++ b/external/pybind11/tests/test_constants_and_functions.py @@ -0,0 +1,39 @@ +from pybind11_tests import constants_and_functions as m + + +def test_constants(): + assert m.some_constant == 14 + + +def test_function_overloading(): + assert m.test_function() == "test_function()" + assert m.test_function(7) == "test_function(7)" + assert m.test_function(m.MyEnum.EFirstEntry) == "test_function(enum=1)" + assert m.test_function(m.MyEnum.ESecondEntry) == "test_function(enum=2)" + + assert m.test_function() == "test_function()" + assert m.test_function("abcd") == "test_function(char *)" + assert m.test_function(1, 1.0) == "test_function(int, float)" + assert m.test_function(1, 1.0) == "test_function(int, float)" + assert m.test_function(2.0, 2) == "test_function(float, int)" + + +def test_bytes(): + assert m.print_bytes(m.return_bytes()) == "bytes[1 0 2 0]" + + +def test_exception_specifiers(): + c = m.C() + assert c.m1(2) == 1 + assert c.m2(3) == 1 + assert c.m3(5) == 2 + assert c.m4(7) == 3 + assert c.m5(10) == 5 + assert c.m6(14) == 8 + assert c.m7(20) == 13 + assert c.m8(29) == 21 + + assert m.f1(33) == 34 + assert m.f2(53) == 55 + assert m.f3(86) == 89 + assert m.f4(140) == 144 diff --git a/external/pybind11/tests/test_copy_move.cpp b/external/pybind11/tests/test_copy_move.cpp new file mode 100644 index 0000000..98d5e0a --- /dev/null +++ b/external/pybind11/tests/test_copy_move.cpp @@ -0,0 +1,213 @@ +/* + tests/test_copy_move_policies.cpp -- 'copy' and 'move' return value policies + and related tests + + Copyright (c) 2016 Ben North + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" +#include "constructor_stats.h" +#include + +template +struct empty { + static const derived& get_one() { return instance_; } + static derived instance_; +}; + +struct lacking_copy_ctor : public empty { + lacking_copy_ctor() {} + lacking_copy_ctor(const lacking_copy_ctor& other) = delete; +}; + +template <> lacking_copy_ctor empty::instance_ = {}; + +struct lacking_move_ctor : public empty { + lacking_move_ctor() {} + lacking_move_ctor(const lacking_move_ctor& other) = delete; + lacking_move_ctor(lacking_move_ctor&& other) = delete; +}; + +template <> lacking_move_ctor empty::instance_ = {}; + +/* Custom type caster move/copy test classes */ +class MoveOnlyInt { +public: + MoveOnlyInt() { print_default_created(this); } + MoveOnlyInt(int v) : value{std::move(v)} { print_created(this, value); } + MoveOnlyInt(MoveOnlyInt &&m) { print_move_created(this, m.value); std::swap(value, m.value); } + MoveOnlyInt &operator=(MoveOnlyInt &&m) { print_move_assigned(this, m.value); std::swap(value, m.value); return *this; } + MoveOnlyInt(const MoveOnlyInt &) = delete; + MoveOnlyInt &operator=(const MoveOnlyInt &) = delete; + ~MoveOnlyInt() { print_destroyed(this); } + + int value; +}; +class MoveOrCopyInt { +public: + MoveOrCopyInt() { print_default_created(this); } + MoveOrCopyInt(int v) : value{std::move(v)} { print_created(this, value); } + MoveOrCopyInt(MoveOrCopyInt &&m) { print_move_created(this, m.value); std::swap(value, m.value); } + MoveOrCopyInt &operator=(MoveOrCopyInt &&m) { print_move_assigned(this, m.value); std::swap(value, m.value); return *this; } + MoveOrCopyInt(const MoveOrCopyInt &c) { print_copy_created(this, c.value); value = c.value; } + MoveOrCopyInt &operator=(const MoveOrCopyInt &c) { print_copy_assigned(this, c.value); value = c.value; return *this; } + ~MoveOrCopyInt() { print_destroyed(this); } + + int value; +}; +class CopyOnlyInt { +public: + CopyOnlyInt() { print_default_created(this); } + CopyOnlyInt(int v) : value{std::move(v)} { print_created(this, value); } + CopyOnlyInt(const CopyOnlyInt &c) { print_copy_created(this, c.value); value = c.value; } + CopyOnlyInt &operator=(const CopyOnlyInt &c) { print_copy_assigned(this, c.value); value = c.value; return *this; } + ~CopyOnlyInt() { print_destroyed(this); } + + int value; +}; +NAMESPACE_BEGIN(pybind11) +NAMESPACE_BEGIN(detail) +template <> struct type_caster { + PYBIND11_TYPE_CASTER(MoveOnlyInt, _("MoveOnlyInt")); + bool load(handle src, bool) { value = MoveOnlyInt(src.cast()); return true; } + static handle cast(const MoveOnlyInt &m, return_value_policy r, handle p) { return pybind11::cast(m.value, r, p); } +}; + +template <> struct type_caster { + PYBIND11_TYPE_CASTER(MoveOrCopyInt, _("MoveOrCopyInt")); + bool load(handle src, bool) { value = MoveOrCopyInt(src.cast()); return true; } + static handle cast(const MoveOrCopyInt &m, return_value_policy r, handle p) { return pybind11::cast(m.value, r, p); } +}; + +template <> struct type_caster { +protected: + CopyOnlyInt value; +public: + static constexpr auto name = _("CopyOnlyInt"); + bool load(handle src, bool) { value = CopyOnlyInt(src.cast()); return true; } + static handle cast(const CopyOnlyInt &m, return_value_policy r, handle p) { return pybind11::cast(m.value, r, p); } + static handle cast(const CopyOnlyInt *src, return_value_policy policy, handle parent) { + if (!src) return none().release(); + return cast(*src, policy, parent); + } + operator CopyOnlyInt*() { return &value; } + operator CopyOnlyInt&() { return value; } + template using cast_op_type = pybind11::detail::cast_op_type; +}; +NAMESPACE_END(detail) +NAMESPACE_END(pybind11) + +TEST_SUBMODULE(copy_move_policies, m) { + // test_lacking_copy_ctor + py::class_(m, "lacking_copy_ctor") + .def_static("get_one", &lacking_copy_ctor::get_one, + py::return_value_policy::copy); + // test_lacking_move_ctor + py::class_(m, "lacking_move_ctor") + .def_static("get_one", &lacking_move_ctor::get_one, + py::return_value_policy::move); + + // test_move_and_copy_casts + m.def("move_and_copy_casts", [](py::object o) { + int r = 0; + r += py::cast(o).value; /* moves */ + r += py::cast(o).value; /* moves */ + r += py::cast(o).value; /* copies */ + MoveOrCopyInt m1(py::cast(o)); /* moves */ + MoveOnlyInt m2(py::cast(o)); /* moves */ + CopyOnlyInt m3(py::cast(o)); /* copies */ + r += m1.value + m2.value + m3.value; + + return r; + }); + + // test_move_and_copy_loads + m.def("move_only", [](MoveOnlyInt m) { return m.value; }); + m.def("move_or_copy", [](MoveOrCopyInt m) { return m.value; }); + m.def("copy_only", [](CopyOnlyInt m) { return m.value; }); + m.def("move_pair", [](std::pair p) { + return p.first.value + p.second.value; + }); + m.def("move_tuple", [](std::tuple t) { + return std::get<0>(t).value + std::get<1>(t).value + std::get<2>(t).value; + }); + m.def("copy_tuple", [](std::tuple t) { + return std::get<0>(t).value + std::get<1>(t).value; + }); + m.def("move_copy_nested", [](std::pair>, MoveOrCopyInt>> x) { + return x.first.value + std::get<0>(x.second.first).value + std::get<1>(x.second.first).value + + std::get<0>(std::get<2>(x.second.first)).value + x.second.second.value; + }); + m.def("move_and_copy_cstats", []() { + ConstructorStats::gc(); + // Reset counts to 0 so that previous tests don't affect later ones: + auto &mc = ConstructorStats::get(); + mc.move_assignments = mc.move_constructions = mc.copy_assignments = mc.copy_constructions = 0; + auto &mo = ConstructorStats::get(); + mo.move_assignments = mo.move_constructions = mo.copy_assignments = mo.copy_constructions = 0; + auto &co = ConstructorStats::get(); + co.move_assignments = co.move_constructions = co.copy_assignments = co.copy_constructions = 0; + py::dict d; + d["MoveOrCopyInt"] = py::cast(mc, py::return_value_policy::reference); + d["MoveOnlyInt"] = py::cast(mo, py::return_value_policy::reference); + d["CopyOnlyInt"] = py::cast(co, py::return_value_policy::reference); + return d; + }); +#ifdef PYBIND11_HAS_OPTIONAL + // test_move_and_copy_load_optional + m.attr("has_optional") = true; + m.def("move_optional", [](std::optional o) { + return o->value; + }); + m.def("move_or_copy_optional", [](std::optional o) { + return o->value; + }); + m.def("copy_optional", [](std::optional o) { + return o->value; + }); + m.def("move_optional_tuple", [](std::optional> x) { + return std::get<0>(*x).value + std::get<1>(*x).value + std::get<2>(*x).value; + }); +#else + m.attr("has_optional") = false; +#endif + + // #70 compilation issue if operator new is not public + struct PrivateOpNew { + int value = 1; + private: +#if defined(_MSC_VER) +# pragma warning(disable: 4822) // warning C4822: local class member function does not have a body +#endif + void *operator new(size_t bytes); + }; + py::class_(m, "PrivateOpNew").def_readonly("value", &PrivateOpNew::value); + m.def("private_op_new_value", []() { return PrivateOpNew(); }); + m.def("private_op_new_reference", []() -> const PrivateOpNew & { + static PrivateOpNew x{}; + return x; + }, py::return_value_policy::reference); + + // test_move_fallback + // #389: rvp::move should fall-through to copy on non-movable objects + struct MoveIssue1 { + int v; + MoveIssue1(int v) : v{v} {} + MoveIssue1(const MoveIssue1 &c) = default; + MoveIssue1(MoveIssue1 &&) = delete; + }; + py::class_(m, "MoveIssue1").def(py::init()).def_readwrite("value", &MoveIssue1::v); + + struct MoveIssue2 { + int v; + MoveIssue2(int v) : v{v} {} + MoveIssue2(MoveIssue2 &&) = default; + }; + py::class_(m, "MoveIssue2").def(py::init()).def_readwrite("value", &MoveIssue2::v); + + m.def("get_moveissue1", [](int i) { return new MoveIssue1(i); }, py::return_value_policy::move); + m.def("get_moveissue2", [](int i) { return MoveIssue2(i); }, py::return_value_policy::move); +} diff --git a/external/pybind11/tests/test_copy_move.py b/external/pybind11/tests/test_copy_move.py new file mode 100644 index 0000000..aff2d99 --- /dev/null +++ b/external/pybind11/tests/test_copy_move.py @@ -0,0 +1,112 @@ +import pytest +from pybind11_tests import copy_move_policies as m + + +def test_lacking_copy_ctor(): + with pytest.raises(RuntimeError) as excinfo: + m.lacking_copy_ctor.get_one() + assert "the object is non-copyable!" in str(excinfo.value) + + +def test_lacking_move_ctor(): + with pytest.raises(RuntimeError) as excinfo: + m.lacking_move_ctor.get_one() + assert "the object is neither movable nor copyable!" in str(excinfo.value) + + +def test_move_and_copy_casts(): + """Cast some values in C++ via custom type casters and count the number of moves/copies.""" + + cstats = m.move_and_copy_cstats() + c_m, c_mc, c_c = cstats["MoveOnlyInt"], cstats["MoveOrCopyInt"], cstats["CopyOnlyInt"] + + # The type move constructions/assignments below each get incremented: the move assignment comes + # from the type_caster load; the move construction happens when extracting that via a cast or + # loading into an argument. + assert m.move_and_copy_casts(3) == 18 + assert c_m.copy_assignments + c_m.copy_constructions == 0 + assert c_m.move_assignments == 2 + assert c_m.move_constructions >= 2 + assert c_mc.alive() == 0 + assert c_mc.copy_assignments + c_mc.copy_constructions == 0 + assert c_mc.move_assignments == 2 + assert c_mc.move_constructions >= 2 + assert c_c.alive() == 0 + assert c_c.copy_assignments == 2 + assert c_c.copy_constructions >= 2 + assert c_m.alive() + c_mc.alive() + c_c.alive() == 0 + + +def test_move_and_copy_loads(): + """Call some functions that load arguments via custom type casters and count the number of + moves/copies.""" + + cstats = m.move_and_copy_cstats() + c_m, c_mc, c_c = cstats["MoveOnlyInt"], cstats["MoveOrCopyInt"], cstats["CopyOnlyInt"] + + assert m.move_only(10) == 10 # 1 move, c_m + assert m.move_or_copy(11) == 11 # 1 move, c_mc + assert m.copy_only(12) == 12 # 1 copy, c_c + assert m.move_pair((13, 14)) == 27 # 1 c_m move, 1 c_mc move + assert m.move_tuple((15, 16, 17)) == 48 # 2 c_m moves, 1 c_mc move + assert m.copy_tuple((18, 19)) == 37 # 2 c_c copies + # Direct constructions: 2 c_m moves, 2 c_mc moves, 1 c_c copy + # Extra moves/copies when moving pairs/tuples: 3 c_m, 3 c_mc, 2 c_c + assert m.move_copy_nested((1, ((2, 3, (4,)), 5))) == 15 + + assert c_m.copy_assignments + c_m.copy_constructions == 0 + assert c_m.move_assignments == 6 + assert c_m.move_constructions == 9 + assert c_mc.copy_assignments + c_mc.copy_constructions == 0 + assert c_mc.move_assignments == 5 + assert c_mc.move_constructions == 8 + assert c_c.copy_assignments == 4 + assert c_c.copy_constructions == 6 + assert c_m.alive() + c_mc.alive() + c_c.alive() == 0 + + +@pytest.mark.skipif(not m.has_optional, reason='no ') +def test_move_and_copy_load_optional(): + """Tests move/copy loads of std::optional arguments""" + + cstats = m.move_and_copy_cstats() + c_m, c_mc, c_c = cstats["MoveOnlyInt"], cstats["MoveOrCopyInt"], cstats["CopyOnlyInt"] + + # The extra move/copy constructions below come from the std::optional move (which has to move + # its arguments): + assert m.move_optional(10) == 10 # c_m: 1 move assign, 2 move construct + assert m.move_or_copy_optional(11) == 11 # c_mc: 1 move assign, 2 move construct + assert m.copy_optional(12) == 12 # c_c: 1 copy assign, 2 copy construct + # 1 move assign + move construct moves each of c_m, c_mc, 1 c_c copy + # +1 move/copy construct each from moving the tuple + # +1 move/copy construct each from moving the optional (which moves the tuple again) + assert m.move_optional_tuple((3, 4, 5)) == 12 + + assert c_m.copy_assignments + c_m.copy_constructions == 0 + assert c_m.move_assignments == 2 + assert c_m.move_constructions == 5 + assert c_mc.copy_assignments + c_mc.copy_constructions == 0 + assert c_mc.move_assignments == 2 + assert c_mc.move_constructions == 5 + assert c_c.copy_assignments == 2 + assert c_c.copy_constructions == 5 + assert c_m.alive() + c_mc.alive() + c_c.alive() == 0 + + +def test_private_op_new(): + """An object with a private `operator new` cannot be returned by value""" + + with pytest.raises(RuntimeError) as excinfo: + m.private_op_new_value() + assert "the object is neither movable nor copyable" in str(excinfo.value) + + assert m.private_op_new_reference().value == 1 + + +def test_move_fallback(): + """#389: rvp::move should fall-through to copy on non-movable objects""" + + m2 = m.get_moveissue2(2) + assert m2.value == 2 + m1 = m.get_moveissue1(1) + assert m1.value == 1 diff --git a/external/pybind11/tests/test_docstring_options.cpp b/external/pybind11/tests/test_docstring_options.cpp new file mode 100644 index 0000000..8c8f79f --- /dev/null +++ b/external/pybind11/tests/test_docstring_options.cpp @@ -0,0 +1,61 @@ +/* + tests/test_docstring_options.cpp -- generation of docstrings and signatures + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" + +TEST_SUBMODULE(docstring_options, m) { + // test_docstring_options + { + py::options options; + options.disable_function_signatures(); + + m.def("test_function1", [](int, int) {}, py::arg("a"), py::arg("b")); + m.def("test_function2", [](int, int) {}, py::arg("a"), py::arg("b"), "A custom docstring"); + + m.def("test_overloaded1", [](int) {}, py::arg("i"), "Overload docstring"); + m.def("test_overloaded1", [](double) {}, py::arg("d")); + + m.def("test_overloaded2", [](int) {}, py::arg("i"), "overload docstring 1"); + m.def("test_overloaded2", [](double) {}, py::arg("d"), "overload docstring 2"); + + m.def("test_overloaded3", [](int) {}, py::arg("i")); + m.def("test_overloaded3", [](double) {}, py::arg("d"), "Overload docstr"); + + options.enable_function_signatures(); + + m.def("test_function3", [](int, int) {}, py::arg("a"), py::arg("b")); + m.def("test_function4", [](int, int) {}, py::arg("a"), py::arg("b"), "A custom docstring"); + + options.disable_function_signatures().disable_user_defined_docstrings(); + + m.def("test_function5", [](int, int) {}, py::arg("a"), py::arg("b"), "A custom docstring"); + + { + py::options nested_options; + nested_options.enable_user_defined_docstrings(); + m.def("test_function6", [](int, int) {}, py::arg("a"), py::arg("b"), "A custom docstring"); + } + } + + m.def("test_function7", [](int, int) {}, py::arg("a"), py::arg("b"), "A custom docstring"); + + { + py::options options; + options.disable_user_defined_docstrings(); + + struct DocstringTestFoo { + int value; + void setValue(int v) { value = v; } + int getValue() const { return value; } + }; + py::class_(m, "DocstringTestFoo", "This is a class docstring") + .def_property("value_prop", &DocstringTestFoo::getValue, &DocstringTestFoo::setValue, "This is a property docstring") + ; + } +} diff --git a/external/pybind11/tests/test_docstring_options.py b/external/pybind11/tests/test_docstring_options.py new file mode 100644 index 0000000..0dbca60 --- /dev/null +++ b/external/pybind11/tests/test_docstring_options.py @@ -0,0 +1,38 @@ +from pybind11_tests import docstring_options as m + + +def test_docstring_options(): + # options.disable_function_signatures() + assert not m.test_function1.__doc__ + + assert m.test_function2.__doc__ == "A custom docstring" + + # docstring specified on just the first overload definition: + assert m.test_overloaded1.__doc__ == "Overload docstring" + + # docstring on both overloads: + assert m.test_overloaded2.__doc__ == "overload docstring 1\noverload docstring 2" + + # docstring on only second overload: + assert m.test_overloaded3.__doc__ == "Overload docstr" + + # options.enable_function_signatures() + assert m.test_function3.__doc__ .startswith("test_function3(a: int, b: int) -> None") + + assert m.test_function4.__doc__ .startswith("test_function4(a: int, b: int) -> None") + assert m.test_function4.__doc__ .endswith("A custom docstring\n") + + # options.disable_function_signatures() + # options.disable_user_defined_docstrings() + assert not m.test_function5.__doc__ + + # nested options.enable_user_defined_docstrings() + assert m.test_function6.__doc__ == "A custom docstring" + + # RAII destructor + assert m.test_function7.__doc__ .startswith("test_function7(a: int, b: int) -> None") + assert m.test_function7.__doc__ .endswith("A custom docstring\n") + + # Suppression of user-defined docstrings for non-function objects + assert not m.DocstringTestFoo.__doc__ + assert not m.DocstringTestFoo.value_prop.__doc__ diff --git a/external/pybind11/tests/test_eigen.cpp b/external/pybind11/tests/test_eigen.cpp new file mode 100644 index 0000000..aba088d --- /dev/null +++ b/external/pybind11/tests/test_eigen.cpp @@ -0,0 +1,329 @@ +/* + tests/eigen.cpp -- automatic conversion of Eigen types + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" +#include "constructor_stats.h" +#include +#include + +#if defined(_MSC_VER) +# pragma warning(disable: 4996) // C4996: std::unary_negation is deprecated +#endif + +#include + +using MatrixXdR = Eigen::Matrix; + + + +// Sets/resets a testing reference matrix to have values of 10*r + c, where r and c are the +// (1-based) row/column number. +template void reset_ref(M &x) { + for (int i = 0; i < x.rows(); i++) for (int j = 0; j < x.cols(); j++) + x(i, j) = 11 + 10*i + j; +} + +// Returns a static, column-major matrix +Eigen::MatrixXd &get_cm() { + static Eigen::MatrixXd *x; + if (!x) { + x = new Eigen::MatrixXd(3, 3); + reset_ref(*x); + } + return *x; +} +// Likewise, but row-major +MatrixXdR &get_rm() { + static MatrixXdR *x; + if (!x) { + x = new MatrixXdR(3, 3); + reset_ref(*x); + } + return *x; +} +// Resets the values of the static matrices returned by get_cm()/get_rm() +void reset_refs() { + reset_ref(get_cm()); + reset_ref(get_rm()); +} + +// Returns element 2,1 from a matrix (used to test copy/nocopy) +double get_elem(Eigen::Ref m) { return m(2, 1); }; + + +// Returns a matrix with 10*r + 100*c added to each matrix element (to help test that the matrix +// reference is referencing rows/columns correctly). +template Eigen::MatrixXd adjust_matrix(MatrixArgType m) { + Eigen::MatrixXd ret(m); + for (int c = 0; c < m.cols(); c++) for (int r = 0; r < m.rows(); r++) + ret(r, c) += 10*r + 100*c; + return ret; +} + +struct CustomOperatorNew { + CustomOperatorNew() = default; + + Eigen::Matrix4d a = Eigen::Matrix4d::Zero(); + Eigen::Matrix4d b = Eigen::Matrix4d::Identity(); + + EIGEN_MAKE_ALIGNED_OPERATOR_NEW; +}; + +TEST_SUBMODULE(eigen, m) { + using FixedMatrixR = Eigen::Matrix; + using FixedMatrixC = Eigen::Matrix; + using DenseMatrixR = Eigen::Matrix; + using DenseMatrixC = Eigen::Matrix; + using FourRowMatrixC = Eigen::Matrix; + using FourColMatrixC = Eigen::Matrix; + using FourRowMatrixR = Eigen::Matrix; + using FourColMatrixR = Eigen::Matrix; + using SparseMatrixR = Eigen::SparseMatrix; + using SparseMatrixC = Eigen::SparseMatrix; + + m.attr("have_eigen") = true; + + // various tests + m.def("double_col", [](const Eigen::VectorXf &x) -> Eigen::VectorXf { return 2.0f * x; }); + m.def("double_row", [](const Eigen::RowVectorXf &x) -> Eigen::RowVectorXf { return 2.0f * x; }); + m.def("double_complex", [](const Eigen::VectorXcf &x) -> Eigen::VectorXcf { return 2.0f * x; }); + m.def("double_threec", [](py::EigenDRef x) { x *= 2; }); + m.def("double_threer", [](py::EigenDRef x) { x *= 2; }); + m.def("double_mat_cm", [](Eigen::MatrixXf x) -> Eigen::MatrixXf { return 2.0f * x; }); + m.def("double_mat_rm", [](DenseMatrixR x) -> DenseMatrixR { return 2.0f * x; }); + + // test_eigen_ref_to_python + // Different ways of passing via Eigen::Ref; the first and second are the Eigen-recommended + m.def("cholesky1", [](Eigen::Ref x) -> Eigen::MatrixXd { return x.llt().matrixL(); }); + m.def("cholesky2", [](const Eigen::Ref &x) -> Eigen::MatrixXd { return x.llt().matrixL(); }); + m.def("cholesky3", [](const Eigen::Ref &x) -> Eigen::MatrixXd { return x.llt().matrixL(); }); + m.def("cholesky4", [](Eigen::Ref x) -> Eigen::MatrixXd { return x.llt().matrixL(); }); + + // test_eigen_ref_mutators + // Mutators: these add some value to the given element using Eigen, but Eigen should be mapping into + // the numpy array data and so the result should show up there. There are three versions: one that + // works on a contiguous-row matrix (numpy's default), one for a contiguous-column matrix, and one + // for any matrix. + auto add_rm = [](Eigen::Ref x, int r, int c, double v) { x(r,c) += v; }; + auto add_cm = [](Eigen::Ref x, int r, int c, double v) { x(r,c) += v; }; + + // Mutators (Eigen maps into numpy variables): + m.def("add_rm", add_rm); // Only takes row-contiguous + m.def("add_cm", add_cm); // Only takes column-contiguous + // Overloaded versions that will accept either row or column contiguous: + m.def("add1", add_rm); + m.def("add1", add_cm); + m.def("add2", add_cm); + m.def("add2", add_rm); + // This one accepts a matrix of any stride: + m.def("add_any", [](py::EigenDRef x, int r, int c, double v) { x(r,c) += v; }); + + // Return mutable references (numpy maps into eigen variables) + m.def("get_cm_ref", []() { return Eigen::Ref(get_cm()); }); + m.def("get_rm_ref", []() { return Eigen::Ref(get_rm()); }); + // The same references, but non-mutable (numpy maps into eigen variables, but is !writeable) + m.def("get_cm_const_ref", []() { return Eigen::Ref(get_cm()); }); + m.def("get_rm_const_ref", []() { return Eigen::Ref(get_rm()); }); + + m.def("reset_refs", reset_refs); // Restores get_{cm,rm}_ref to original values + + // Increments and returns ref to (same) matrix + m.def("incr_matrix", [](Eigen::Ref m, double v) { + m += Eigen::MatrixXd::Constant(m.rows(), m.cols(), v); + return m; + }, py::return_value_policy::reference); + + // Same, but accepts a matrix of any strides + m.def("incr_matrix_any", [](py::EigenDRef m, double v) { + m += Eigen::MatrixXd::Constant(m.rows(), m.cols(), v); + return m; + }, py::return_value_policy::reference); + + // Returns an eigen slice of even rows + m.def("even_rows", [](py::EigenDRef m) { + return py::EigenDMap( + m.data(), (m.rows() + 1) / 2, m.cols(), + py::EigenDStride(m.outerStride(), 2 * m.innerStride())); + }, py::return_value_policy::reference); + + // Returns an eigen slice of even columns + m.def("even_cols", [](py::EigenDRef m) { + return py::EigenDMap( + m.data(), m.rows(), (m.cols() + 1) / 2, + py::EigenDStride(2 * m.outerStride(), m.innerStride())); + }, py::return_value_policy::reference); + + // Returns diagonals: a vector-like object with an inner stride != 1 + m.def("diagonal", [](const Eigen::Ref &x) { return x.diagonal(); }); + m.def("diagonal_1", [](const Eigen::Ref &x) { return x.diagonal<1>(); }); + m.def("diagonal_n", [](const Eigen::Ref &x, int index) { return x.diagonal(index); }); + + // Return a block of a matrix (gives non-standard strides) + m.def("block", [](const Eigen::Ref &x, int start_row, int start_col, int block_rows, int block_cols) { + return x.block(start_row, start_col, block_rows, block_cols); + }); + + // test_eigen_return_references, test_eigen_keepalive + // return value referencing/copying tests: + class ReturnTester { + Eigen::MatrixXd mat = create(); + public: + ReturnTester() { print_created(this); } + ~ReturnTester() { print_destroyed(this); } + static Eigen::MatrixXd create() { return Eigen::MatrixXd::Ones(10, 10); } + static const Eigen::MatrixXd createConst() { return Eigen::MatrixXd::Ones(10, 10); } + Eigen::MatrixXd &get() { return mat; } + Eigen::MatrixXd *getPtr() { return &mat; } + const Eigen::MatrixXd &view() { return mat; } + const Eigen::MatrixXd *viewPtr() { return &mat; } + Eigen::Ref ref() { return mat; } + Eigen::Ref refConst() { return mat; } + Eigen::Block block(int r, int c, int nrow, int ncol) { return mat.block(r, c, nrow, ncol); } + Eigen::Block blockConst(int r, int c, int nrow, int ncol) const { return mat.block(r, c, nrow, ncol); } + py::EigenDMap corners() { return py::EigenDMap(mat.data(), + py::EigenDStride(mat.outerStride() * (mat.outerSize()-1), mat.innerStride() * (mat.innerSize()-1))); } + py::EigenDMap cornersConst() const { return py::EigenDMap(mat.data(), + py::EigenDStride(mat.outerStride() * (mat.outerSize()-1), mat.innerStride() * (mat.innerSize()-1))); } + }; + using rvp = py::return_value_policy; + py::class_(m, "ReturnTester") + .def(py::init<>()) + .def_static("create", &ReturnTester::create) + .def_static("create_const", &ReturnTester::createConst) + .def("get", &ReturnTester::get, rvp::reference_internal) + .def("get_ptr", &ReturnTester::getPtr, rvp::reference_internal) + .def("view", &ReturnTester::view, rvp::reference_internal) + .def("view_ptr", &ReturnTester::view, rvp::reference_internal) + .def("copy_get", &ReturnTester::get) // Default rvp: copy + .def("copy_view", &ReturnTester::view) // " + .def("ref", &ReturnTester::ref) // Default for Ref is to reference + .def("ref_const", &ReturnTester::refConst) // Likewise, but const + .def("ref_safe", &ReturnTester::ref, rvp::reference_internal) + .def("ref_const_safe", &ReturnTester::refConst, rvp::reference_internal) + .def("copy_ref", &ReturnTester::ref, rvp::copy) + .def("copy_ref_const", &ReturnTester::refConst, rvp::copy) + .def("block", &ReturnTester::block) + .def("block_safe", &ReturnTester::block, rvp::reference_internal) + .def("block_const", &ReturnTester::blockConst, rvp::reference_internal) + .def("copy_block", &ReturnTester::block, rvp::copy) + .def("corners", &ReturnTester::corners, rvp::reference_internal) + .def("corners_const", &ReturnTester::cornersConst, rvp::reference_internal) + ; + + // test_special_matrix_objects + // Returns a DiagonalMatrix with diagonal (1,2,3,...) + m.def("incr_diag", [](int k) { + Eigen::DiagonalMatrix m(k); + for (int i = 0; i < k; i++) m.diagonal()[i] = i+1; + return m; + }); + + // Returns a SelfAdjointView referencing the lower triangle of m + m.def("symmetric_lower", [](const Eigen::MatrixXi &m) { + return m.selfadjointView(); + }); + // Returns a SelfAdjointView referencing the lower triangle of m + m.def("symmetric_upper", [](const Eigen::MatrixXi &m) { + return m.selfadjointView(); + }); + + // Test matrix for various functions below. + Eigen::MatrixXf mat(5, 6); + mat << 0, 3, 0, 0, 0, 11, + 22, 0, 0, 0, 17, 11, + 7, 5, 0, 1, 0, 11, + 0, 0, 0, 0, 0, 11, + 0, 0, 14, 0, 8, 11; + + // test_fixed, and various other tests + m.def("fixed_r", [mat]() -> FixedMatrixR { return FixedMatrixR(mat); }); + m.def("fixed_r_const", [mat]() -> const FixedMatrixR { return FixedMatrixR(mat); }); + m.def("fixed_c", [mat]() -> FixedMatrixC { return FixedMatrixC(mat); }); + m.def("fixed_copy_r", [](const FixedMatrixR &m) -> FixedMatrixR { return m; }); + m.def("fixed_copy_c", [](const FixedMatrixC &m) -> FixedMatrixC { return m; }); + // test_mutator_descriptors + m.def("fixed_mutator_r", [](Eigen::Ref) {}); + m.def("fixed_mutator_c", [](Eigen::Ref) {}); + m.def("fixed_mutator_a", [](py::EigenDRef) {}); + // test_dense + m.def("dense_r", [mat]() -> DenseMatrixR { return DenseMatrixR(mat); }); + m.def("dense_c", [mat]() -> DenseMatrixC { return DenseMatrixC(mat); }); + m.def("dense_copy_r", [](const DenseMatrixR &m) -> DenseMatrixR { return m; }); + m.def("dense_copy_c", [](const DenseMatrixC &m) -> DenseMatrixC { return m; }); + // test_sparse, test_sparse_signature + m.def("sparse_r", [mat]() -> SparseMatrixR { return Eigen::SparseView(mat); }); + m.def("sparse_c", [mat]() -> SparseMatrixC { return Eigen::SparseView(mat); }); + m.def("sparse_copy_r", [](const SparseMatrixR &m) -> SparseMatrixR { return m; }); + m.def("sparse_copy_c", [](const SparseMatrixC &m) -> SparseMatrixC { return m; }); + // test_partially_fixed + m.def("partial_copy_four_rm_r", [](const FourRowMatrixR &m) -> FourRowMatrixR { return m; }); + m.def("partial_copy_four_rm_c", [](const FourColMatrixR &m) -> FourColMatrixR { return m; }); + m.def("partial_copy_four_cm_r", [](const FourRowMatrixC &m) -> FourRowMatrixC { return m; }); + m.def("partial_copy_four_cm_c", [](const FourColMatrixC &m) -> FourColMatrixC { return m; }); + + // test_cpp_casting + // Test that we can cast a numpy object to a Eigen::MatrixXd explicitly + m.def("cpp_copy", [](py::handle m) { return m.cast()(1, 0); }); + m.def("cpp_ref_c", [](py::handle m) { return m.cast>()(1, 0); }); + m.def("cpp_ref_r", [](py::handle m) { return m.cast>()(1, 0); }); + m.def("cpp_ref_any", [](py::handle m) { return m.cast>()(1, 0); }); + + + // test_nocopy_wrapper + // Test that we can prevent copying into an argument that would normally copy: First a version + // that would allow copying (if types or strides don't match) for comparison: + m.def("get_elem", &get_elem); + // Now this alternative that calls the tells pybind to fail rather than copy: + m.def("get_elem_nocopy", [](Eigen::Ref m) -> double { return get_elem(m); }, + py::arg().noconvert()); + // Also test a row-major-only no-copy const ref: + m.def("get_elem_rm_nocopy", [](Eigen::Ref> &m) -> long { return m(2, 1); }, + py::arg().noconvert()); + + // test_issue738 + // Issue #738: 1xN or Nx1 2D matrices were neither accepted nor properly copied with an + // incompatible stride value on the length-1 dimension--but that should be allowed (without + // requiring a copy!) because the stride value can be safely ignored on a size-1 dimension. + m.def("iss738_f1", &adjust_matrix &>, py::arg().noconvert()); + m.def("iss738_f2", &adjust_matrix> &>, py::arg().noconvert()); + + // test_issue1105 + // Issue #1105: when converting from a numpy two-dimensional (Nx1) or (1xN) value into a dense + // eigen Vector or RowVector, the argument would fail to load because the numpy copy would fail: + // numpy won't broadcast a Nx1 into a 1-dimensional vector. + m.def("iss1105_col", [](Eigen::VectorXd) { return true; }); + m.def("iss1105_row", [](Eigen::RowVectorXd) { return true; }); + + // test_named_arguments + // Make sure named arguments are working properly: + m.def("matrix_multiply", [](const py::EigenDRef A, const py::EigenDRef B) + -> Eigen::MatrixXd { + if (A.cols() != B.rows()) throw std::domain_error("Nonconformable matrices!"); + return A * B; + }, py::arg("A"), py::arg("B")); + + // test_custom_operator_new + py::class_(m, "CustomOperatorNew") + .def(py::init<>()) + .def_readonly("a", &CustomOperatorNew::a) + .def_readonly("b", &CustomOperatorNew::b); + + // test_eigen_ref_life_support + // In case of a failure (the caster's temp array does not live long enough), creating + // a new array (np.ones(10)) increases the chances that the temp array will be garbage + // collected and/or that its memory will be overridden with different values. + m.def("get_elem_direct", [](Eigen::Ref v) { + py::module::import("numpy").attr("ones")(10); + return v(5); + }); + m.def("get_elem_indirect", [](std::vector> v) { + py::module::import("numpy").attr("ones")(10); + return v[0](5); + }); +} diff --git a/external/pybind11/tests/test_eigen.py b/external/pybind11/tests/test_eigen.py new file mode 100644 index 0000000..55d9351 --- /dev/null +++ b/external/pybind11/tests/test_eigen.py @@ -0,0 +1,694 @@ +import pytest +from pybind11_tests import ConstructorStats + +pytestmark = pytest.requires_eigen_and_numpy + +with pytest.suppress(ImportError): + from pybind11_tests import eigen as m + import numpy as np + + ref = np.array([[ 0., 3, 0, 0, 0, 11], + [22, 0, 0, 0, 17, 11], + [ 7, 5, 0, 1, 0, 11], + [ 0, 0, 0, 0, 0, 11], + [ 0, 0, 14, 0, 8, 11]]) + + +def assert_equal_ref(mat): + np.testing.assert_array_equal(mat, ref) + + +def assert_sparse_equal_ref(sparse_mat): + assert_equal_ref(sparse_mat.toarray()) + + +def test_fixed(): + assert_equal_ref(m.fixed_c()) + assert_equal_ref(m.fixed_r()) + assert_equal_ref(m.fixed_copy_r(m.fixed_r())) + assert_equal_ref(m.fixed_copy_c(m.fixed_c())) + assert_equal_ref(m.fixed_copy_r(m.fixed_c())) + assert_equal_ref(m.fixed_copy_c(m.fixed_r())) + + +def test_dense(): + assert_equal_ref(m.dense_r()) + assert_equal_ref(m.dense_c()) + assert_equal_ref(m.dense_copy_r(m.dense_r())) + assert_equal_ref(m.dense_copy_c(m.dense_c())) + assert_equal_ref(m.dense_copy_r(m.dense_c())) + assert_equal_ref(m.dense_copy_c(m.dense_r())) + + +def test_partially_fixed(): + ref2 = np.array([[0., 1, 2, 3], [4, 5, 6, 7], [8, 9, 10, 11], [12, 13, 14, 15]]) + np.testing.assert_array_equal(m.partial_copy_four_rm_r(ref2), ref2) + np.testing.assert_array_equal(m.partial_copy_four_rm_c(ref2), ref2) + np.testing.assert_array_equal(m.partial_copy_four_rm_r(ref2[:, 1]), ref2[:, [1]]) + np.testing.assert_array_equal(m.partial_copy_four_rm_c(ref2[0, :]), ref2[[0], :]) + np.testing.assert_array_equal(m.partial_copy_four_rm_r(ref2[:, (0, 2)]), ref2[:, (0, 2)]) + np.testing.assert_array_equal( + m.partial_copy_four_rm_c(ref2[(3, 1, 2), :]), ref2[(3, 1, 2), :]) + + np.testing.assert_array_equal(m.partial_copy_four_cm_r(ref2), ref2) + np.testing.assert_array_equal(m.partial_copy_four_cm_c(ref2), ref2) + np.testing.assert_array_equal(m.partial_copy_four_cm_r(ref2[:, 1]), ref2[:, [1]]) + np.testing.assert_array_equal(m.partial_copy_four_cm_c(ref2[0, :]), ref2[[0], :]) + np.testing.assert_array_equal(m.partial_copy_four_cm_r(ref2[:, (0, 2)]), ref2[:, (0, 2)]) + np.testing.assert_array_equal( + m.partial_copy_four_cm_c(ref2[(3, 1, 2), :]), ref2[(3, 1, 2), :]) + + # TypeError should be raise for a shape mismatch + functions = [m.partial_copy_four_rm_r, m.partial_copy_four_rm_c, + m.partial_copy_four_cm_r, m.partial_copy_four_cm_c] + matrix_with_wrong_shape = [[1, 2], + [3, 4]] + for f in functions: + with pytest.raises(TypeError) as excinfo: + f(matrix_with_wrong_shape) + assert "incompatible function arguments" in str(excinfo.value) + + +def test_mutator_descriptors(): + zr = np.arange(30, dtype='float32').reshape(5, 6) # row-major + zc = zr.reshape(6, 5).transpose() # column-major + + m.fixed_mutator_r(zr) + m.fixed_mutator_c(zc) + m.fixed_mutator_a(zr) + m.fixed_mutator_a(zc) + with pytest.raises(TypeError) as excinfo: + m.fixed_mutator_r(zc) + assert ('(arg0: numpy.ndarray[float32[5, 6], flags.writeable, flags.c_contiguous]) -> None' + in str(excinfo.value)) + with pytest.raises(TypeError) as excinfo: + m.fixed_mutator_c(zr) + assert ('(arg0: numpy.ndarray[float32[5, 6], flags.writeable, flags.f_contiguous]) -> None' + in str(excinfo.value)) + with pytest.raises(TypeError) as excinfo: + m.fixed_mutator_a(np.array([[1, 2], [3, 4]], dtype='float32')) + assert ('(arg0: numpy.ndarray[float32[5, 6], flags.writeable]) -> None' + in str(excinfo.value)) + zr.flags.writeable = False + with pytest.raises(TypeError): + m.fixed_mutator_r(zr) + with pytest.raises(TypeError): + m.fixed_mutator_a(zr) + + +def test_cpp_casting(): + assert m.cpp_copy(m.fixed_r()) == 22. + assert m.cpp_copy(m.fixed_c()) == 22. + z = np.array([[5., 6], [7, 8]]) + assert m.cpp_copy(z) == 7. + assert m.cpp_copy(m.get_cm_ref()) == 21. + assert m.cpp_copy(m.get_rm_ref()) == 21. + assert m.cpp_ref_c(m.get_cm_ref()) == 21. + assert m.cpp_ref_r(m.get_rm_ref()) == 21. + with pytest.raises(RuntimeError) as excinfo: + # Can't reference m.fixed_c: it contains floats, m.cpp_ref_any wants doubles + m.cpp_ref_any(m.fixed_c()) + assert 'Unable to cast Python instance' in str(excinfo.value) + with pytest.raises(RuntimeError) as excinfo: + # Can't reference m.fixed_r: it contains floats, m.cpp_ref_any wants doubles + m.cpp_ref_any(m.fixed_r()) + assert 'Unable to cast Python instance' in str(excinfo.value) + assert m.cpp_ref_any(m.ReturnTester.create()) == 1. + + assert m.cpp_ref_any(m.get_cm_ref()) == 21. + assert m.cpp_ref_any(m.get_cm_ref()) == 21. + + +def test_pass_readonly_array(): + z = np.full((5, 6), 42.0) + z.flags.writeable = False + np.testing.assert_array_equal(z, m.fixed_copy_r(z)) + np.testing.assert_array_equal(m.fixed_r_const(), m.fixed_r()) + assert not m.fixed_r_const().flags.writeable + np.testing.assert_array_equal(m.fixed_copy_r(m.fixed_r_const()), m.fixed_r_const()) + + +def test_nonunit_stride_from_python(): + counting_mat = np.arange(9.0, dtype=np.float32).reshape((3, 3)) + second_row = counting_mat[1, :] + second_col = counting_mat[:, 1] + np.testing.assert_array_equal(m.double_row(second_row), 2.0 * second_row) + np.testing.assert_array_equal(m.double_col(second_row), 2.0 * second_row) + np.testing.assert_array_equal(m.double_complex(second_row), 2.0 * second_row) + np.testing.assert_array_equal(m.double_row(second_col), 2.0 * second_col) + np.testing.assert_array_equal(m.double_col(second_col), 2.0 * second_col) + np.testing.assert_array_equal(m.double_complex(second_col), 2.0 * second_col) + + counting_3d = np.arange(27.0, dtype=np.float32).reshape((3, 3, 3)) + slices = [counting_3d[0, :, :], counting_3d[:, 0, :], counting_3d[:, :, 0]] + for slice_idx, ref_mat in enumerate(slices): + np.testing.assert_array_equal(m.double_mat_cm(ref_mat), 2.0 * ref_mat) + np.testing.assert_array_equal(m.double_mat_rm(ref_mat), 2.0 * ref_mat) + + # Mutator: + m.double_threer(second_row) + m.double_threec(second_col) + np.testing.assert_array_equal(counting_mat, [[0., 2, 2], [6, 16, 10], [6, 14, 8]]) + + +def test_negative_stride_from_python(msg): + """Eigen doesn't support (as of yet) negative strides. When a function takes an Eigen matrix by + copy or const reference, we can pass a numpy array that has negative strides. Otherwise, an + exception will be thrown as Eigen will not be able to map the numpy array.""" + + counting_mat = np.arange(9.0, dtype=np.float32).reshape((3, 3)) + counting_mat = counting_mat[::-1, ::-1] + second_row = counting_mat[1, :] + second_col = counting_mat[:, 1] + np.testing.assert_array_equal(m.double_row(second_row), 2.0 * second_row) + np.testing.assert_array_equal(m.double_col(second_row), 2.0 * second_row) + np.testing.assert_array_equal(m.double_complex(second_row), 2.0 * second_row) + np.testing.assert_array_equal(m.double_row(second_col), 2.0 * second_col) + np.testing.assert_array_equal(m.double_col(second_col), 2.0 * second_col) + np.testing.assert_array_equal(m.double_complex(second_col), 2.0 * second_col) + + counting_3d = np.arange(27.0, dtype=np.float32).reshape((3, 3, 3)) + counting_3d = counting_3d[::-1, ::-1, ::-1] + slices = [counting_3d[0, :, :], counting_3d[:, 0, :], counting_3d[:, :, 0]] + for slice_idx, ref_mat in enumerate(slices): + np.testing.assert_array_equal(m.double_mat_cm(ref_mat), 2.0 * ref_mat) + np.testing.assert_array_equal(m.double_mat_rm(ref_mat), 2.0 * ref_mat) + + # Mutator: + with pytest.raises(TypeError) as excinfo: + m.double_threer(second_row) + assert msg(excinfo.value) == """ + double_threer(): incompatible function arguments. The following argument types are supported: + 1. (arg0: numpy.ndarray[float32[1, 3], flags.writeable]) -> None + + Invoked with: """ + repr(np.array([ 5., 4., 3.], dtype='float32')) # noqa: E501 line too long + + with pytest.raises(TypeError) as excinfo: + m.double_threec(second_col) + assert msg(excinfo.value) == """ + double_threec(): incompatible function arguments. The following argument types are supported: + 1. (arg0: numpy.ndarray[float32[3, 1], flags.writeable]) -> None + + Invoked with: """ + repr(np.array([ 7., 4., 1.], dtype='float32')) # noqa: E501 line too long + + +def test_nonunit_stride_to_python(): + assert np.all(m.diagonal(ref) == ref.diagonal()) + assert np.all(m.diagonal_1(ref) == ref.diagonal(1)) + for i in range(-5, 7): + assert np.all(m.diagonal_n(ref, i) == ref.diagonal(i)), "m.diagonal_n({})".format(i) + + assert np.all(m.block(ref, 2, 1, 3, 3) == ref[2:5, 1:4]) + assert np.all(m.block(ref, 1, 4, 4, 2) == ref[1:, 4:]) + assert np.all(m.block(ref, 1, 4, 3, 2) == ref[1:4, 4:]) + + +def test_eigen_ref_to_python(): + chols = [m.cholesky1, m.cholesky2, m.cholesky3, m.cholesky4] + for i, chol in enumerate(chols, start=1): + mymat = chol(np.array([[1., 2, 4], [2, 13, 23], [4, 23, 77]])) + assert np.all(mymat == np.array([[1, 0, 0], [2, 3, 0], [4, 5, 6]])), "cholesky{}".format(i) + + +def assign_both(a1, a2, r, c, v): + a1[r, c] = v + a2[r, c] = v + + +def array_copy_but_one(a, r, c, v): + z = np.array(a, copy=True) + z[r, c] = v + return z + + +def test_eigen_return_references(): + """Tests various ways of returning references and non-referencing copies""" + + master = np.ones((10, 10)) + a = m.ReturnTester() + a_get1 = a.get() + assert not a_get1.flags.owndata and a_get1.flags.writeable + assign_both(a_get1, master, 3, 3, 5) + a_get2 = a.get_ptr() + assert not a_get2.flags.owndata and a_get2.flags.writeable + assign_both(a_get1, master, 2, 3, 6) + + a_view1 = a.view() + assert not a_view1.flags.owndata and not a_view1.flags.writeable + with pytest.raises(ValueError): + a_view1[2, 3] = 4 + a_view2 = a.view_ptr() + assert not a_view2.flags.owndata and not a_view2.flags.writeable + with pytest.raises(ValueError): + a_view2[2, 3] = 4 + + a_copy1 = a.copy_get() + assert a_copy1.flags.owndata and a_copy1.flags.writeable + np.testing.assert_array_equal(a_copy1, master) + a_copy1[7, 7] = -44 # Shouldn't affect anything else + c1want = array_copy_but_one(master, 7, 7, -44) + a_copy2 = a.copy_view() + assert a_copy2.flags.owndata and a_copy2.flags.writeable + np.testing.assert_array_equal(a_copy2, master) + a_copy2[4, 4] = -22 # Shouldn't affect anything else + c2want = array_copy_but_one(master, 4, 4, -22) + + a_ref1 = a.ref() + assert not a_ref1.flags.owndata and a_ref1.flags.writeable + assign_both(a_ref1, master, 1, 1, 15) + a_ref2 = a.ref_const() + assert not a_ref2.flags.owndata and not a_ref2.flags.writeable + with pytest.raises(ValueError): + a_ref2[5, 5] = 33 + a_ref3 = a.ref_safe() + assert not a_ref3.flags.owndata and a_ref3.flags.writeable + assign_both(a_ref3, master, 0, 7, 99) + a_ref4 = a.ref_const_safe() + assert not a_ref4.flags.owndata and not a_ref4.flags.writeable + with pytest.raises(ValueError): + a_ref4[7, 0] = 987654321 + + a_copy3 = a.copy_ref() + assert a_copy3.flags.owndata and a_copy3.flags.writeable + np.testing.assert_array_equal(a_copy3, master) + a_copy3[8, 1] = 11 + c3want = array_copy_but_one(master, 8, 1, 11) + a_copy4 = a.copy_ref_const() + assert a_copy4.flags.owndata and a_copy4.flags.writeable + np.testing.assert_array_equal(a_copy4, master) + a_copy4[8, 4] = 88 + c4want = array_copy_but_one(master, 8, 4, 88) + + a_block1 = a.block(3, 3, 2, 2) + assert not a_block1.flags.owndata and a_block1.flags.writeable + a_block1[0, 0] = 55 + master[3, 3] = 55 + a_block2 = a.block_safe(2, 2, 3, 2) + assert not a_block2.flags.owndata and a_block2.flags.writeable + a_block2[2, 1] = -123 + master[4, 3] = -123 + a_block3 = a.block_const(6, 7, 4, 3) + assert not a_block3.flags.owndata and not a_block3.flags.writeable + with pytest.raises(ValueError): + a_block3[2, 2] = -44444 + + a_copy5 = a.copy_block(2, 2, 2, 3) + assert a_copy5.flags.owndata and a_copy5.flags.writeable + np.testing.assert_array_equal(a_copy5, master[2:4, 2:5]) + a_copy5[1, 1] = 777 + c5want = array_copy_but_one(master[2:4, 2:5], 1, 1, 777) + + a_corn1 = a.corners() + assert not a_corn1.flags.owndata and a_corn1.flags.writeable + a_corn1 *= 50 + a_corn1[1, 1] = 999 + master[0, 0] = 50 + master[0, 9] = 50 + master[9, 0] = 50 + master[9, 9] = 999 + a_corn2 = a.corners_const() + assert not a_corn2.flags.owndata and not a_corn2.flags.writeable + with pytest.raises(ValueError): + a_corn2[1, 0] = 51 + + # All of the changes made all the way along should be visible everywhere + # now (except for the copies, of course) + np.testing.assert_array_equal(a_get1, master) + np.testing.assert_array_equal(a_get2, master) + np.testing.assert_array_equal(a_view1, master) + np.testing.assert_array_equal(a_view2, master) + np.testing.assert_array_equal(a_ref1, master) + np.testing.assert_array_equal(a_ref2, master) + np.testing.assert_array_equal(a_ref3, master) + np.testing.assert_array_equal(a_ref4, master) + np.testing.assert_array_equal(a_block1, master[3:5, 3:5]) + np.testing.assert_array_equal(a_block2, master[2:5, 2:4]) + np.testing.assert_array_equal(a_block3, master[6:10, 7:10]) + np.testing.assert_array_equal(a_corn1, master[0::master.shape[0] - 1, 0::master.shape[1] - 1]) + np.testing.assert_array_equal(a_corn2, master[0::master.shape[0] - 1, 0::master.shape[1] - 1]) + + np.testing.assert_array_equal(a_copy1, c1want) + np.testing.assert_array_equal(a_copy2, c2want) + np.testing.assert_array_equal(a_copy3, c3want) + np.testing.assert_array_equal(a_copy4, c4want) + np.testing.assert_array_equal(a_copy5, c5want) + + +def assert_keeps_alive(cl, method, *args): + cstats = ConstructorStats.get(cl) + start_with = cstats.alive() + a = cl() + assert cstats.alive() == start_with + 1 + z = method(a, *args) + assert cstats.alive() == start_with + 1 + del a + # Here's the keep alive in action: + assert cstats.alive() == start_with + 1 + del z + # Keep alive should have expired: + assert cstats.alive() == start_with + + +def test_eigen_keepalive(): + a = m.ReturnTester() + cstats = ConstructorStats.get(m.ReturnTester) + assert cstats.alive() == 1 + unsafe = [a.ref(), a.ref_const(), a.block(1, 2, 3, 4)] + copies = [a.copy_get(), a.copy_view(), a.copy_ref(), a.copy_ref_const(), + a.copy_block(4, 3, 2, 1)] + del a + assert cstats.alive() == 0 + del unsafe + del copies + + for meth in [m.ReturnTester.get, m.ReturnTester.get_ptr, m.ReturnTester.view, + m.ReturnTester.view_ptr, m.ReturnTester.ref_safe, m.ReturnTester.ref_const_safe, + m.ReturnTester.corners, m.ReturnTester.corners_const]: + assert_keeps_alive(m.ReturnTester, meth) + + for meth in [m.ReturnTester.block_safe, m.ReturnTester.block_const]: + assert_keeps_alive(m.ReturnTester, meth, 4, 3, 2, 1) + + +def test_eigen_ref_mutators(): + """Tests Eigen's ability to mutate numpy values""" + + orig = np.array([[1., 2, 3], [4, 5, 6], [7, 8, 9]]) + zr = np.array(orig) + zc = np.array(orig, order='F') + m.add_rm(zr, 1, 0, 100) + assert np.all(zr == np.array([[1., 2, 3], [104, 5, 6], [7, 8, 9]])) + m.add_cm(zc, 1, 0, 200) + assert np.all(zc == np.array([[1., 2, 3], [204, 5, 6], [7, 8, 9]])) + + m.add_any(zr, 1, 0, 20) + assert np.all(zr == np.array([[1., 2, 3], [124, 5, 6], [7, 8, 9]])) + m.add_any(zc, 1, 0, 10) + assert np.all(zc == np.array([[1., 2, 3], [214, 5, 6], [7, 8, 9]])) + + # Can't reference a col-major array with a row-major Ref, and vice versa: + with pytest.raises(TypeError): + m.add_rm(zc, 1, 0, 1) + with pytest.raises(TypeError): + m.add_cm(zr, 1, 0, 1) + + # Overloads: + m.add1(zr, 1, 0, -100) + m.add2(zr, 1, 0, -20) + assert np.all(zr == orig) + m.add1(zc, 1, 0, -200) + m.add2(zc, 1, 0, -10) + assert np.all(zc == orig) + + # a non-contiguous slice (this won't work on either the row- or + # column-contiguous refs, but should work for the any) + cornersr = zr[0::2, 0::2] + cornersc = zc[0::2, 0::2] + + assert np.all(cornersr == np.array([[1., 3], [7, 9]])) + assert np.all(cornersc == np.array([[1., 3], [7, 9]])) + + with pytest.raises(TypeError): + m.add_rm(cornersr, 0, 1, 25) + with pytest.raises(TypeError): + m.add_cm(cornersr, 0, 1, 25) + with pytest.raises(TypeError): + m.add_rm(cornersc, 0, 1, 25) + with pytest.raises(TypeError): + m.add_cm(cornersc, 0, 1, 25) + m.add_any(cornersr, 0, 1, 25) + m.add_any(cornersc, 0, 1, 44) + assert np.all(zr == np.array([[1., 2, 28], [4, 5, 6], [7, 8, 9]])) + assert np.all(zc == np.array([[1., 2, 47], [4, 5, 6], [7, 8, 9]])) + + # You shouldn't be allowed to pass a non-writeable array to a mutating Eigen method: + zro = zr[0:4, 0:4] + zro.flags.writeable = False + with pytest.raises(TypeError): + m.add_rm(zro, 0, 0, 0) + with pytest.raises(TypeError): + m.add_any(zro, 0, 0, 0) + with pytest.raises(TypeError): + m.add1(zro, 0, 0, 0) + with pytest.raises(TypeError): + m.add2(zro, 0, 0, 0) + + # integer array shouldn't be passable to a double-matrix-accepting mutating func: + zi = np.array([[1, 2], [3, 4]]) + with pytest.raises(TypeError): + m.add_rm(zi) + + +def test_numpy_ref_mutators(): + """Tests numpy mutating Eigen matrices (for returned Eigen::Ref<...>s)""" + + m.reset_refs() # In case another test already changed it + + zc = m.get_cm_ref() + zcro = m.get_cm_const_ref() + zr = m.get_rm_ref() + zrro = m.get_rm_const_ref() + + assert [zc[1, 2], zcro[1, 2], zr[1, 2], zrro[1, 2]] == [23] * 4 + + assert not zc.flags.owndata and zc.flags.writeable + assert not zr.flags.owndata and zr.flags.writeable + assert not zcro.flags.owndata and not zcro.flags.writeable + assert not zrro.flags.owndata and not zrro.flags.writeable + + zc[1, 2] = 99 + expect = np.array([[11., 12, 13], [21, 22, 99], [31, 32, 33]]) + # We should have just changed zc, of course, but also zcro and the original eigen matrix + assert np.all(zc == expect) + assert np.all(zcro == expect) + assert np.all(m.get_cm_ref() == expect) + + zr[1, 2] = 99 + assert np.all(zr == expect) + assert np.all(zrro == expect) + assert np.all(m.get_rm_ref() == expect) + + # Make sure the readonly ones are numpy-readonly: + with pytest.raises(ValueError): + zcro[1, 2] = 6 + with pytest.raises(ValueError): + zrro[1, 2] = 6 + + # We should be able to explicitly copy like this (and since we're copying, + # the const should drop away) + y1 = np.array(m.get_cm_const_ref()) + + assert y1.flags.owndata and y1.flags.writeable + # We should get copies of the eigen data, which was modified above: + assert y1[1, 2] == 99 + y1[1, 2] += 12 + assert y1[1, 2] == 111 + assert zc[1, 2] == 99 # Make sure we aren't referencing the original + + +def test_both_ref_mutators(): + """Tests a complex chain of nested eigen/numpy references""" + + m.reset_refs() # In case another test already changed it + + z = m.get_cm_ref() # numpy -> eigen + z[0, 2] -= 3 + z2 = m.incr_matrix(z, 1) # numpy -> eigen -> numpy -> eigen + z2[1, 1] += 6 + z3 = m.incr_matrix(z, 2) # (numpy -> eigen)^3 + z3[2, 2] += -5 + z4 = m.incr_matrix(z, 3) # (numpy -> eigen)^4 + z4[1, 1] -= 1 + z5 = m.incr_matrix(z, 4) # (numpy -> eigen)^5 + z5[0, 0] = 0 + assert np.all(z == z2) + assert np.all(z == z3) + assert np.all(z == z4) + assert np.all(z == z5) + expect = np.array([[0., 22, 20], [31, 37, 33], [41, 42, 38]]) + assert np.all(z == expect) + + y = np.array(range(100), dtype='float64').reshape(10, 10) + y2 = m.incr_matrix_any(y, 10) # np -> eigen -> np + y3 = m.incr_matrix_any(y2[0::2, 0::2], -33) # np -> eigen -> np slice -> np -> eigen -> np + y4 = m.even_rows(y3) # numpy -> eigen slice -> (... y3) + y5 = m.even_cols(y4) # numpy -> eigen slice -> (... y4) + y6 = m.incr_matrix_any(y5, 1000) # numpy -> eigen -> (... y5) + + # Apply same mutations using just numpy: + yexpect = np.array(range(100), dtype='float64').reshape(10, 10) + yexpect += 10 + yexpect[0::2, 0::2] -= 33 + yexpect[0::4, 0::4] += 1000 + assert np.all(y6 == yexpect[0::4, 0::4]) + assert np.all(y5 == yexpect[0::4, 0::4]) + assert np.all(y4 == yexpect[0::4, 0::2]) + assert np.all(y3 == yexpect[0::2, 0::2]) + assert np.all(y2 == yexpect) + assert np.all(y == yexpect) + + +def test_nocopy_wrapper(): + # get_elem requires a column-contiguous matrix reference, but should be + # callable with other types of matrix (via copying): + int_matrix_colmajor = np.array([[1, 2, 3], [4, 5, 6], [7, 8, 9]], order='F') + dbl_matrix_colmajor = np.array(int_matrix_colmajor, dtype='double', order='F', copy=True) + int_matrix_rowmajor = np.array(int_matrix_colmajor, order='C', copy=True) + dbl_matrix_rowmajor = np.array(int_matrix_rowmajor, dtype='double', order='C', copy=True) + + # All should be callable via get_elem: + assert m.get_elem(int_matrix_colmajor) == 8 + assert m.get_elem(dbl_matrix_colmajor) == 8 + assert m.get_elem(int_matrix_rowmajor) == 8 + assert m.get_elem(dbl_matrix_rowmajor) == 8 + + # All but the second should fail with m.get_elem_nocopy: + with pytest.raises(TypeError) as excinfo: + m.get_elem_nocopy(int_matrix_colmajor) + assert ('get_elem_nocopy(): incompatible function arguments.' in str(excinfo.value) and + ', flags.f_contiguous' in str(excinfo.value)) + assert m.get_elem_nocopy(dbl_matrix_colmajor) == 8 + with pytest.raises(TypeError) as excinfo: + m.get_elem_nocopy(int_matrix_rowmajor) + assert ('get_elem_nocopy(): incompatible function arguments.' in str(excinfo.value) and + ', flags.f_contiguous' in str(excinfo.value)) + with pytest.raises(TypeError) as excinfo: + m.get_elem_nocopy(dbl_matrix_rowmajor) + assert ('get_elem_nocopy(): incompatible function arguments.' in str(excinfo.value) and + ', flags.f_contiguous' in str(excinfo.value)) + + # For the row-major test, we take a long matrix in row-major, so only the third is allowed: + with pytest.raises(TypeError) as excinfo: + m.get_elem_rm_nocopy(int_matrix_colmajor) + assert ('get_elem_rm_nocopy(): incompatible function arguments.' in str(excinfo.value) and + ', flags.c_contiguous' in str(excinfo.value)) + with pytest.raises(TypeError) as excinfo: + m.get_elem_rm_nocopy(dbl_matrix_colmajor) + assert ('get_elem_rm_nocopy(): incompatible function arguments.' in str(excinfo.value) and + ', flags.c_contiguous' in str(excinfo.value)) + assert m.get_elem_rm_nocopy(int_matrix_rowmajor) == 8 + with pytest.raises(TypeError) as excinfo: + m.get_elem_rm_nocopy(dbl_matrix_rowmajor) + assert ('get_elem_rm_nocopy(): incompatible function arguments.' in str(excinfo.value) and + ', flags.c_contiguous' in str(excinfo.value)) + + +def test_eigen_ref_life_support(): + """Ensure the lifetime of temporary arrays created by the `Ref` caster + + The `Ref` caster sometimes creates a copy which needs to stay alive. This needs to + happen both for directs casts (just the array) or indirectly (e.g. list of arrays). + """ + + a = np.full(shape=10, fill_value=8, dtype=np.int8) + assert m.get_elem_direct(a) == 8 + + list_of_a = [a] + assert m.get_elem_indirect(list_of_a) == 8 + + +def test_special_matrix_objects(): + assert np.all(m.incr_diag(7) == np.diag([1., 2, 3, 4, 5, 6, 7])) + + asymm = np.array([[ 1., 2, 3, 4], + [ 5, 6, 7, 8], + [ 9, 10, 11, 12], + [13, 14, 15, 16]]) + symm_lower = np.array(asymm) + symm_upper = np.array(asymm) + for i in range(4): + for j in range(i + 1, 4): + symm_lower[i, j] = symm_lower[j, i] + symm_upper[j, i] = symm_upper[i, j] + + assert np.all(m.symmetric_lower(asymm) == symm_lower) + assert np.all(m.symmetric_upper(asymm) == symm_upper) + + +def test_dense_signature(doc): + assert doc(m.double_col) == """ + double_col(arg0: numpy.ndarray[float32[m, 1]]) -> numpy.ndarray[float32[m, 1]] + """ + assert doc(m.double_row) == """ + double_row(arg0: numpy.ndarray[float32[1, n]]) -> numpy.ndarray[float32[1, n]] + """ + assert doc(m.double_complex) == """ + double_complex(arg0: numpy.ndarray[complex64[m, 1]]) -> numpy.ndarray[complex64[m, 1]] + """ + assert doc(m.double_mat_rm) == """ + double_mat_rm(arg0: numpy.ndarray[float32[m, n]]) -> numpy.ndarray[float32[m, n]] + """ + + +def test_named_arguments(): + a = np.array([[1.0, 2], [3, 4], [5, 6]]) + b = np.ones((2, 1)) + + assert np.all(m.matrix_multiply(a, b) == np.array([[3.], [7], [11]])) + assert np.all(m.matrix_multiply(A=a, B=b) == np.array([[3.], [7], [11]])) + assert np.all(m.matrix_multiply(B=b, A=a) == np.array([[3.], [7], [11]])) + + with pytest.raises(ValueError) as excinfo: + m.matrix_multiply(b, a) + assert str(excinfo.value) == 'Nonconformable matrices!' + + with pytest.raises(ValueError) as excinfo: + m.matrix_multiply(A=b, B=a) + assert str(excinfo.value) == 'Nonconformable matrices!' + + with pytest.raises(ValueError) as excinfo: + m.matrix_multiply(B=a, A=b) + assert str(excinfo.value) == 'Nonconformable matrices!' + + +@pytest.requires_eigen_and_scipy +def test_sparse(): + assert_sparse_equal_ref(m.sparse_r()) + assert_sparse_equal_ref(m.sparse_c()) + assert_sparse_equal_ref(m.sparse_copy_r(m.sparse_r())) + assert_sparse_equal_ref(m.sparse_copy_c(m.sparse_c())) + assert_sparse_equal_ref(m.sparse_copy_r(m.sparse_c())) + assert_sparse_equal_ref(m.sparse_copy_c(m.sparse_r())) + + +@pytest.requires_eigen_and_scipy +def test_sparse_signature(doc): + assert doc(m.sparse_copy_r) == """ + sparse_copy_r(arg0: scipy.sparse.csr_matrix[float32]) -> scipy.sparse.csr_matrix[float32] + """ # noqa: E501 line too long + assert doc(m.sparse_copy_c) == """ + sparse_copy_c(arg0: scipy.sparse.csc_matrix[float32]) -> scipy.sparse.csc_matrix[float32] + """ # noqa: E501 line too long + + +def test_issue738(): + """Ignore strides on a length-1 dimension (even if they would be incompatible length > 1)""" + assert np.all(m.iss738_f1(np.array([[1., 2, 3]])) == np.array([[1., 102, 203]])) + assert np.all(m.iss738_f1(np.array([[1.], [2], [3]])) == np.array([[1.], [12], [23]])) + + assert np.all(m.iss738_f2(np.array([[1., 2, 3]])) == np.array([[1., 102, 203]])) + assert np.all(m.iss738_f2(np.array([[1.], [2], [3]])) == np.array([[1.], [12], [23]])) + + +def test_issue1105(): + """Issue 1105: 1xN or Nx1 input arrays weren't accepted for eigen + compile-time row vectors or column vector""" + assert m.iss1105_row(np.ones((1, 7))) + assert m.iss1105_col(np.ones((7, 1))) + + # These should still fail (incompatible dimensions): + with pytest.raises(TypeError) as excinfo: + m.iss1105_row(np.ones((7, 1))) + assert "incompatible function arguments" in str(excinfo.value) + with pytest.raises(TypeError) as excinfo: + m.iss1105_col(np.ones((1, 7))) + assert "incompatible function arguments" in str(excinfo.value) + + +def test_custom_operator_new(): + """Using Eigen types as member variables requires a class-specific + operator new with proper alignment""" + + o = m.CustomOperatorNew() + np.testing.assert_allclose(o.a, 0.0) + np.testing.assert_allclose(o.b.diagonal(), 1.0) diff --git a/external/pybind11/tests/test_embed/CMakeLists.txt b/external/pybind11/tests/test_embed/CMakeLists.txt new file mode 100644 index 0000000..8b4f1f8 --- /dev/null +++ b/external/pybind11/tests/test_embed/CMakeLists.txt @@ -0,0 +1,41 @@ +if(${PYTHON_MODULE_EXTENSION} MATCHES "pypy") + add_custom_target(cpptest) # Dummy target on PyPy. Embedding is not supported. + set(_suppress_unused_variable_warning "${DOWNLOAD_CATCH}") + return() +endif() + +find_package(Catch 1.9.3) +if(CATCH_FOUND) + message(STATUS "Building interpreter tests using Catch v${CATCH_VERSION}") +else() + message(STATUS "Catch not detected. Interpreter tests will be skipped. Install Catch headers" + " manually or use `cmake -DDOWNLOAD_CATCH=1` to fetch them automatically.") + return() +endif() + +add_executable(test_embed + catch.cpp + test_interpreter.cpp +) +target_include_directories(test_embed PRIVATE ${CATCH_INCLUDE_DIR}) +pybind11_enable_warnings(test_embed) + +if(NOT CMAKE_VERSION VERSION_LESS 3.0) + target_link_libraries(test_embed PRIVATE pybind11::embed) +else() + target_include_directories(test_embed PRIVATE ${PYBIND11_INCLUDE_DIR} ${PYTHON_INCLUDE_DIRS}) + target_compile_options(test_embed PRIVATE ${PYBIND11_CPP_STANDARD}) + target_link_libraries(test_embed PRIVATE ${PYTHON_LIBRARIES}) +endif() + +find_package(Threads REQUIRED) +target_link_libraries(test_embed PUBLIC ${CMAKE_THREAD_LIBS_INIT}) + +add_custom_target(cpptest COMMAND $ + WORKING_DIRECTORY ${CMAKE_CURRENT_SOURCE_DIR}) + +pybind11_add_module(external_module THIN_LTO external_module.cpp) +set_target_properties(external_module PROPERTIES LIBRARY_OUTPUT_DIRECTORY ${CMAKE_CURRENT_SOURCE_DIR}) +add_dependencies(cpptest external_module) + +add_dependencies(check cpptest) diff --git a/external/pybind11/tests/test_embed/catch.cpp b/external/pybind11/tests/test_embed/catch.cpp new file mode 100644 index 0000000..dd13738 --- /dev/null +++ b/external/pybind11/tests/test_embed/catch.cpp @@ -0,0 +1,22 @@ +// The Catch implementation is compiled here. This is a standalone +// translation unit to avoid recompiling it for every test change. + +#include + +#ifdef _MSC_VER +// Silence MSVC C++17 deprecation warning from Catch regarding std::uncaught_exceptions (up to catch +// 2.0.1; this should be fixed in the next catch release after 2.0.1). +# pragma warning(disable: 4996) +#endif + +#define CATCH_CONFIG_RUNNER +#include + +namespace py = pybind11; + +int main(int argc, char *argv[]) { + py::scoped_interpreter guard{}; + auto result = Catch::Session().run(argc, argv); + + return result < 0xff ? result : 0xff; +} diff --git a/external/pybind11/tests/test_embed/external_module.cpp b/external/pybind11/tests/test_embed/external_module.cpp new file mode 100644 index 0000000..e9a6058 --- /dev/null +++ b/external/pybind11/tests/test_embed/external_module.cpp @@ -0,0 +1,23 @@ +#include + +namespace py = pybind11; + +/* Simple test module/test class to check that the referenced internals data of external pybind11 + * modules aren't preserved over a finalize/initialize. + */ + +PYBIND11_MODULE(external_module, m) { + class A { + public: + A(int value) : v{value} {}; + int v; + }; + + py::class_(m, "A") + .def(py::init()) + .def_readwrite("value", &A::v); + + m.def("internals_at", []() { + return reinterpret_cast(&py::detail::get_internals()); + }); +} diff --git a/external/pybind11/tests/test_embed/test_interpreter.cpp b/external/pybind11/tests/test_embed/test_interpreter.cpp new file mode 100644 index 0000000..222bd56 --- /dev/null +++ b/external/pybind11/tests/test_embed/test_interpreter.cpp @@ -0,0 +1,284 @@ +#include + +#ifdef _MSC_VER +// Silence MSVC C++17 deprecation warning from Catch regarding std::uncaught_exceptions (up to catch +// 2.0.1; this should be fixed in the next catch release after 2.0.1). +# pragma warning(disable: 4996) +#endif + +#include + +#include +#include +#include + +namespace py = pybind11; +using namespace py::literals; + +class Widget { +public: + Widget(std::string message) : message(message) { } + virtual ~Widget() = default; + + std::string the_message() const { return message; } + virtual int the_answer() const = 0; + +private: + std::string message; +}; + +class PyWidget final : public Widget { + using Widget::Widget; + + int the_answer() const override { PYBIND11_OVERLOAD_PURE(int, Widget, the_answer); } +}; + +PYBIND11_EMBEDDED_MODULE(widget_module, m) { + py::class_(m, "Widget") + .def(py::init()) + .def_property_readonly("the_message", &Widget::the_message); + + m.def("add", [](int i, int j) { return i + j; }); +} + +PYBIND11_EMBEDDED_MODULE(throw_exception, ) { + throw std::runtime_error("C++ Error"); +} + +PYBIND11_EMBEDDED_MODULE(throw_error_already_set, ) { + auto d = py::dict(); + d["missing"].cast(); +} + +TEST_CASE("Pass classes and data between modules defined in C++ and Python") { + auto module = py::module::import("test_interpreter"); + REQUIRE(py::hasattr(module, "DerivedWidget")); + + auto locals = py::dict("hello"_a="Hello, World!", "x"_a=5, **module.attr("__dict__")); + py::exec(R"( + widget = DerivedWidget("{} - {}".format(hello, x)) + message = widget.the_message + )", py::globals(), locals); + REQUIRE(locals["message"].cast() == "Hello, World! - 5"); + + auto py_widget = module.attr("DerivedWidget")("The question"); + auto message = py_widget.attr("the_message"); + REQUIRE(message.cast() == "The question"); + + const auto &cpp_widget = py_widget.cast(); + REQUIRE(cpp_widget.the_answer() == 42); +} + +TEST_CASE("Import error handling") { + REQUIRE_NOTHROW(py::module::import("widget_module")); + REQUIRE_THROWS_WITH(py::module::import("throw_exception"), + "ImportError: C++ Error"); + REQUIRE_THROWS_WITH(py::module::import("throw_error_already_set"), + Catch::Contains("ImportError: KeyError")); +} + +TEST_CASE("There can be only one interpreter") { + static_assert(std::is_move_constructible::value, ""); + static_assert(!std::is_move_assignable::value, ""); + static_assert(!std::is_copy_constructible::value, ""); + static_assert(!std::is_copy_assignable::value, ""); + + REQUIRE_THROWS_WITH(py::initialize_interpreter(), "The interpreter is already running"); + REQUIRE_THROWS_WITH(py::scoped_interpreter(), "The interpreter is already running"); + + py::finalize_interpreter(); + REQUIRE_NOTHROW(py::scoped_interpreter()); + { + auto pyi1 = py::scoped_interpreter(); + auto pyi2 = std::move(pyi1); + } + py::initialize_interpreter(); +} + +bool has_pybind11_internals_builtin() { + auto builtins = py::handle(PyEval_GetBuiltins()); + return builtins.contains(PYBIND11_INTERNALS_ID); +}; + +bool has_pybind11_internals_static() { + auto **&ipp = py::detail::get_internals_pp(); + return ipp && *ipp; +} + +TEST_CASE("Restart the interpreter") { + // Verify pre-restart state. + REQUIRE(py::module::import("widget_module").attr("add")(1, 2).cast() == 3); + REQUIRE(has_pybind11_internals_builtin()); + REQUIRE(has_pybind11_internals_static()); + REQUIRE(py::module::import("external_module").attr("A")(123).attr("value").cast() == 123); + + // local and foreign module internals should point to the same internals: + REQUIRE(reinterpret_cast(*py::detail::get_internals_pp()) == + py::module::import("external_module").attr("internals_at")().cast()); + + // Restart the interpreter. + py::finalize_interpreter(); + REQUIRE(Py_IsInitialized() == 0); + + py::initialize_interpreter(); + REQUIRE(Py_IsInitialized() == 1); + + // Internals are deleted after a restart. + REQUIRE_FALSE(has_pybind11_internals_builtin()); + REQUIRE_FALSE(has_pybind11_internals_static()); + pybind11::detail::get_internals(); + REQUIRE(has_pybind11_internals_builtin()); + REQUIRE(has_pybind11_internals_static()); + REQUIRE(reinterpret_cast(*py::detail::get_internals_pp()) == + py::module::import("external_module").attr("internals_at")().cast()); + + // Make sure that an interpreter with no get_internals() created until finalize still gets the + // internals destroyed + py::finalize_interpreter(); + py::initialize_interpreter(); + bool ran = false; + py::module::import("__main__").attr("internals_destroy_test") = + py::capsule(&ran, [](void *ran) { py::detail::get_internals(); *static_cast(ran) = true; }); + REQUIRE_FALSE(has_pybind11_internals_builtin()); + REQUIRE_FALSE(has_pybind11_internals_static()); + REQUIRE_FALSE(ran); + py::finalize_interpreter(); + REQUIRE(ran); + py::initialize_interpreter(); + REQUIRE_FALSE(has_pybind11_internals_builtin()); + REQUIRE_FALSE(has_pybind11_internals_static()); + + // C++ modules can be reloaded. + auto cpp_module = py::module::import("widget_module"); + REQUIRE(cpp_module.attr("add")(1, 2).cast() == 3); + + // C++ type information is reloaded and can be used in python modules. + auto py_module = py::module::import("test_interpreter"); + auto py_widget = py_module.attr("DerivedWidget")("Hello after restart"); + REQUIRE(py_widget.attr("the_message").cast() == "Hello after restart"); +} + +TEST_CASE("Subinterpreter") { + // Add tags to the modules in the main interpreter and test the basics. + py::module::import("__main__").attr("main_tag") = "main interpreter"; + { + auto m = py::module::import("widget_module"); + m.attr("extension_module_tag") = "added to module in main interpreter"; + + REQUIRE(m.attr("add")(1, 2).cast() == 3); + } + REQUIRE(has_pybind11_internals_builtin()); + REQUIRE(has_pybind11_internals_static()); + + /// Create and switch to a subinterpreter. + auto main_tstate = PyThreadState_Get(); + auto sub_tstate = Py_NewInterpreter(); + + // Subinterpreters get their own copy of builtins. detail::get_internals() still + // works by returning from the static variable, i.e. all interpreters share a single + // global pybind11::internals; + REQUIRE_FALSE(has_pybind11_internals_builtin()); + REQUIRE(has_pybind11_internals_static()); + + // Modules tags should be gone. + REQUIRE_FALSE(py::hasattr(py::module::import("__main__"), "tag")); + { + auto m = py::module::import("widget_module"); + REQUIRE_FALSE(py::hasattr(m, "extension_module_tag")); + + // Function bindings should still work. + REQUIRE(m.attr("add")(1, 2).cast() == 3); + } + + // Restore main interpreter. + Py_EndInterpreter(sub_tstate); + PyThreadState_Swap(main_tstate); + + REQUIRE(py::hasattr(py::module::import("__main__"), "main_tag")); + REQUIRE(py::hasattr(py::module::import("widget_module"), "extension_module_tag")); +} + +TEST_CASE("Execution frame") { + // When the interpreter is embedded, there is no execution frame, but `py::exec` + // should still function by using reasonable globals: `__main__.__dict__`. + py::exec("var = dict(number=42)"); + REQUIRE(py::globals()["var"]["number"].cast() == 42); +} + +TEST_CASE("Threads") { + // Restart interpreter to ensure threads are not initialized + py::finalize_interpreter(); + py::initialize_interpreter(); + REQUIRE_FALSE(has_pybind11_internals_static()); + + constexpr auto num_threads = 10; + auto locals = py::dict("count"_a=0); + + { + py::gil_scoped_release gil_release{}; + REQUIRE(has_pybind11_internals_static()); + + auto threads = std::vector(); + for (auto i = 0; i < num_threads; ++i) { + threads.emplace_back([&]() { + py::gil_scoped_acquire gil{}; + locals["count"] = locals["count"].cast() + 1; + }); + } + + for (auto &thread : threads) { + thread.join(); + } + } + + REQUIRE(locals["count"].cast() == num_threads); +} + +// Scope exit utility https://stackoverflow.com/a/36644501/7255855 +struct scope_exit { + std::function f_; + explicit scope_exit(std::function f) noexcept : f_(std::move(f)) {} + ~scope_exit() { if (f_) f_(); } +}; + +TEST_CASE("Reload module from file") { + // Disable generation of cached bytecode (.pyc files) for this test, otherwise + // Python might pick up an old version from the cache instead of the new versions + // of the .py files generated below + auto sys = py::module::import("sys"); + bool dont_write_bytecode = sys.attr("dont_write_bytecode").cast(); + sys.attr("dont_write_bytecode") = true; + // Reset the value at scope exit + scope_exit reset_dont_write_bytecode([&]() { + sys.attr("dont_write_bytecode") = dont_write_bytecode; + }); + + std::string module_name = "test_module_reload"; + std::string module_file = module_name + ".py"; + + // Create the module .py file + std::ofstream test_module(module_file); + test_module << "def test():\n"; + test_module << " return 1\n"; + test_module.close(); + // Delete the file at scope exit + scope_exit delete_module_file([&]() { + std::remove(module_file.c_str()); + }); + + // Import the module from file + auto module = py::module::import(module_name.c_str()); + int result = module.attr("test")().cast(); + REQUIRE(result == 1); + + // Update the module .py file with a small change + test_module.open(module_file); + test_module << "def test():\n"; + test_module << " return 2\n"; + test_module.close(); + + // Reload the module + module.reload(); + result = module.attr("test")().cast(); + REQUIRE(result == 2); +} diff --git a/external/pybind11/tests/test_embed/test_interpreter.py b/external/pybind11/tests/test_embed/test_interpreter.py new file mode 100644 index 0000000..26a0479 --- /dev/null +++ b/external/pybind11/tests/test_embed/test_interpreter.py @@ -0,0 +1,9 @@ +from widget_module import Widget + + +class DerivedWidget(Widget): + def __init__(self, message): + super(DerivedWidget, self).__init__(message) + + def the_answer(self): + return 42 diff --git a/external/pybind11/tests/test_enum.cpp b/external/pybind11/tests/test_enum.cpp new file mode 100644 index 0000000..3153089 --- /dev/null +++ b/external/pybind11/tests/test_enum.cpp @@ -0,0 +1,87 @@ +/* + tests/test_enums.cpp -- enumerations + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" + +TEST_SUBMODULE(enums, m) { + // test_unscoped_enum + enum UnscopedEnum { + EOne = 1, + ETwo, + EThree + }; + py::enum_(m, "UnscopedEnum", py::arithmetic(), "An unscoped enumeration") + .value("EOne", EOne, "Docstring for EOne") + .value("ETwo", ETwo, "Docstring for ETwo") + .value("EThree", EThree, "Docstring for EThree") + .export_values(); + + // test_scoped_enum + enum class ScopedEnum { + Two = 2, + Three + }; + py::enum_(m, "ScopedEnum", py::arithmetic()) + .value("Two", ScopedEnum::Two) + .value("Three", ScopedEnum::Three); + + m.def("test_scoped_enum", [](ScopedEnum z) { + return "ScopedEnum::" + std::string(z == ScopedEnum::Two ? "Two" : "Three"); + }); + + // test_binary_operators + enum Flags { + Read = 4, + Write = 2, + Execute = 1 + }; + py::enum_(m, "Flags", py::arithmetic()) + .value("Read", Flags::Read) + .value("Write", Flags::Write) + .value("Execute", Flags::Execute) + .export_values(); + + // test_implicit_conversion + class ClassWithUnscopedEnum { + public: + enum EMode { + EFirstMode = 1, + ESecondMode + }; + + static EMode test_function(EMode mode) { + return mode; + } + }; + py::class_ exenum_class(m, "ClassWithUnscopedEnum"); + exenum_class.def_static("test_function", &ClassWithUnscopedEnum::test_function); + py::enum_(exenum_class, "EMode") + .value("EFirstMode", ClassWithUnscopedEnum::EFirstMode) + .value("ESecondMode", ClassWithUnscopedEnum::ESecondMode) + .export_values(); + + // test_enum_to_int + m.def("test_enum_to_int", [](int) { }); + m.def("test_enum_to_uint", [](uint32_t) { }); + m.def("test_enum_to_long_long", [](long long) { }); + + // test_duplicate_enum_name + enum SimpleEnum + { + ONE, TWO, THREE + }; + + m.def("register_bad_enum", [m]() { + py::enum_(m, "SimpleEnum") + .value("ONE", SimpleEnum::ONE) //NOTE: all value function calls are called with the same first parameter value + .value("ONE", SimpleEnum::TWO) + .value("ONE", SimpleEnum::THREE) + .export_values(); + }); +} diff --git a/external/pybind11/tests/test_enum.py b/external/pybind11/tests/test_enum.py new file mode 100644 index 0000000..7fe9b61 --- /dev/null +++ b/external/pybind11/tests/test_enum.py @@ -0,0 +1,206 @@ +import pytest +from pybind11_tests import enums as m + + +def test_unscoped_enum(): + assert str(m.UnscopedEnum.EOne) == "UnscopedEnum.EOne" + assert str(m.UnscopedEnum.ETwo) == "UnscopedEnum.ETwo" + assert str(m.EOne) == "UnscopedEnum.EOne" + + # name property + assert m.UnscopedEnum.EOne.name == "EOne" + assert m.UnscopedEnum.ETwo.name == "ETwo" + assert m.EOne.name == "EOne" + # name readonly + with pytest.raises(AttributeError): + m.UnscopedEnum.EOne.name = "" + # name returns a copy + foo = m.UnscopedEnum.EOne.name + foo = "bar" + assert m.UnscopedEnum.EOne.name == "EOne" + + # __members__ property + assert m.UnscopedEnum.__members__ == \ + {"EOne": m.UnscopedEnum.EOne, "ETwo": m.UnscopedEnum.ETwo, "EThree": m.UnscopedEnum.EThree} + # __members__ readonly + with pytest.raises(AttributeError): + m.UnscopedEnum.__members__ = {} + # __members__ returns a copy + foo = m.UnscopedEnum.__members__ + foo["bar"] = "baz" + assert m.UnscopedEnum.__members__ == \ + {"EOne": m.UnscopedEnum.EOne, "ETwo": m.UnscopedEnum.ETwo, "EThree": m.UnscopedEnum.EThree} + + for docstring_line in '''An unscoped enumeration + +Members: + + EOne : Docstring for EOne + + ETwo : Docstring for ETwo + + EThree : Docstring for EThree'''.split('\n'): + assert docstring_line in m.UnscopedEnum.__doc__ + + # Unscoped enums will accept ==/!= int comparisons + y = m.UnscopedEnum.ETwo + assert y == 2 + assert 2 == y + assert y != 3 + assert 3 != y + # Compare with None + assert (y != None) # noqa: E711 + assert not (y == None) # noqa: E711 + # Compare with an object + assert (y != object()) + assert not (y == object()) + # Compare with string + assert y != "2" + assert "2" != y + assert not ("2" == y) + assert not (y == "2") + + with pytest.raises(TypeError): + y < object() + + with pytest.raises(TypeError): + y <= object() + + with pytest.raises(TypeError): + y > object() + + with pytest.raises(TypeError): + y >= object() + + with pytest.raises(TypeError): + y | object() + + with pytest.raises(TypeError): + y & object() + + with pytest.raises(TypeError): + y ^ object() + + assert int(m.UnscopedEnum.ETwo) == 2 + assert str(m.UnscopedEnum(2)) == "UnscopedEnum.ETwo" + + # order + assert m.UnscopedEnum.EOne < m.UnscopedEnum.ETwo + assert m.UnscopedEnum.EOne < 2 + assert m.UnscopedEnum.ETwo > m.UnscopedEnum.EOne + assert m.UnscopedEnum.ETwo > 1 + assert m.UnscopedEnum.ETwo <= 2 + assert m.UnscopedEnum.ETwo >= 2 + assert m.UnscopedEnum.EOne <= m.UnscopedEnum.ETwo + assert m.UnscopedEnum.EOne <= 2 + assert m.UnscopedEnum.ETwo >= m.UnscopedEnum.EOne + assert m.UnscopedEnum.ETwo >= 1 + assert not (m.UnscopedEnum.ETwo < m.UnscopedEnum.EOne) + assert not (2 < m.UnscopedEnum.EOne) + + # arithmetic + assert m.UnscopedEnum.EOne & m.UnscopedEnum.EThree == m.UnscopedEnum.EOne + assert m.UnscopedEnum.EOne | m.UnscopedEnum.ETwo == m.UnscopedEnum.EThree + assert m.UnscopedEnum.EOne ^ m.UnscopedEnum.EThree == m.UnscopedEnum.ETwo + + +def test_scoped_enum(): + assert m.test_scoped_enum(m.ScopedEnum.Three) == "ScopedEnum::Three" + z = m.ScopedEnum.Two + assert m.test_scoped_enum(z) == "ScopedEnum::Two" + + # Scoped enums will *NOT* accept ==/!= int comparisons (Will always return False) + assert not z == 3 + assert not 3 == z + assert z != 3 + assert 3 != z + # Compare with None + assert (z != None) # noqa: E711 + assert not (z == None) # noqa: E711 + # Compare with an object + assert (z != object()) + assert not (z == object()) + # Scoped enums will *NOT* accept >, <, >= and <= int comparisons (Will throw exceptions) + with pytest.raises(TypeError): + z > 3 + with pytest.raises(TypeError): + z < 3 + with pytest.raises(TypeError): + z >= 3 + with pytest.raises(TypeError): + z <= 3 + + # order + assert m.ScopedEnum.Two < m.ScopedEnum.Three + assert m.ScopedEnum.Three > m.ScopedEnum.Two + assert m.ScopedEnum.Two <= m.ScopedEnum.Three + assert m.ScopedEnum.Two <= m.ScopedEnum.Two + assert m.ScopedEnum.Two >= m.ScopedEnum.Two + assert m.ScopedEnum.Three >= m.ScopedEnum.Two + + +def test_implicit_conversion(): + assert str(m.ClassWithUnscopedEnum.EMode.EFirstMode) == "EMode.EFirstMode" + assert str(m.ClassWithUnscopedEnum.EFirstMode) == "EMode.EFirstMode" + + f = m.ClassWithUnscopedEnum.test_function + first = m.ClassWithUnscopedEnum.EFirstMode + second = m.ClassWithUnscopedEnum.ESecondMode + + assert f(first) == 1 + + assert f(first) == f(first) + assert not f(first) != f(first) + + assert f(first) != f(second) + assert not f(first) == f(second) + + assert f(first) == int(f(first)) + assert not f(first) != int(f(first)) + + assert f(first) != int(f(second)) + assert not f(first) == int(f(second)) + + # noinspection PyDictCreation + x = {f(first): 1, f(second): 2} + x[f(first)] = 3 + x[f(second)] = 4 + # Hashing test + assert str(x) == "{EMode.EFirstMode: 3, EMode.ESecondMode: 4}" + + +def test_binary_operators(): + assert int(m.Flags.Read) == 4 + assert int(m.Flags.Write) == 2 + assert int(m.Flags.Execute) == 1 + assert int(m.Flags.Read | m.Flags.Write | m.Flags.Execute) == 7 + assert int(m.Flags.Read | m.Flags.Write) == 6 + assert int(m.Flags.Read | m.Flags.Execute) == 5 + assert int(m.Flags.Write | m.Flags.Execute) == 3 + assert int(m.Flags.Write | 1) == 3 + assert ~m.Flags.Write == -3 + + state = m.Flags.Read | m.Flags.Write + assert (state & m.Flags.Read) != 0 + assert (state & m.Flags.Write) != 0 + assert (state & m.Flags.Execute) == 0 + assert (state & 1) == 0 + + state2 = ~state + assert state2 == -7 + assert int(state ^ state2) == -1 + + +def test_enum_to_int(): + m.test_enum_to_int(m.Flags.Read) + m.test_enum_to_int(m.ClassWithUnscopedEnum.EMode.EFirstMode) + m.test_enum_to_uint(m.Flags.Read) + m.test_enum_to_uint(m.ClassWithUnscopedEnum.EMode.EFirstMode) + m.test_enum_to_long_long(m.Flags.Read) + m.test_enum_to_long_long(m.ClassWithUnscopedEnum.EMode.EFirstMode) + + +def test_duplicate_enum_name(): + with pytest.raises(ValueError) as excinfo: + m.register_bad_enum() + assert str(excinfo.value) == 'SimpleEnum: element "ONE" already exists!' diff --git a/external/pybind11/tests/test_eval.cpp b/external/pybind11/tests/test_eval.cpp new file mode 100644 index 0000000..e094821 --- /dev/null +++ b/external/pybind11/tests/test_eval.cpp @@ -0,0 +1,91 @@ +/* + tests/test_eval.cpp -- Usage of eval() and eval_file() + + Copyright (c) 2016 Klemens D. Morgenstern + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + + +#include +#include "pybind11_tests.h" + +TEST_SUBMODULE(eval_, m) { + // test_evals + + auto global = py::dict(py::module::import("__main__").attr("__dict__")); + + m.def("test_eval_statements", [global]() { + auto local = py::dict(); + local["call_test"] = py::cpp_function([&]() -> int { + return 42; + }); + + // Regular string literal + py::exec( + "message = 'Hello World!'\n" + "x = call_test()", + global, local + ); + + // Multi-line raw string literal + py::exec(R"( + if x == 42: + print(message) + else: + raise RuntimeError + )", global, local + ); + auto x = local["x"].cast(); + + return x == 42; + }); + + m.def("test_eval", [global]() { + auto local = py::dict(); + local["x"] = py::int_(42); + auto x = py::eval("x", global, local); + return x.cast() == 42; + }); + + m.def("test_eval_single_statement", []() { + auto local = py::dict(); + local["call_test"] = py::cpp_function([&]() -> int { + return 42; + }); + + auto result = py::eval("x = call_test()", py::dict(), local); + auto x = local["x"].cast(); + return result.is_none() && x == 42; + }); + + m.def("test_eval_file", [global](py::str filename) { + auto local = py::dict(); + local["y"] = py::int_(43); + + int val_out; + local["call_test2"] = py::cpp_function([&](int value) { val_out = value; }); + + auto result = py::eval_file(filename, global, local); + return val_out == 43 && result.is_none(); + }); + + m.def("test_eval_failure", []() { + try { + py::eval("nonsense code ..."); + } catch (py::error_already_set &) { + return true; + } + return false; + }); + + m.def("test_eval_file_failure", []() { + try { + py::eval_file("non-existing file"); + } catch (std::exception &) { + return true; + } + return false; + }); +} diff --git a/external/pybind11/tests/test_eval.py b/external/pybind11/tests/test_eval.py new file mode 100644 index 0000000..bda4ef6 --- /dev/null +++ b/external/pybind11/tests/test_eval.py @@ -0,0 +1,17 @@ +import os +from pybind11_tests import eval_ as m + + +def test_evals(capture): + with capture: + assert m.test_eval_statements() + assert capture == "Hello World!" + + assert m.test_eval() + assert m.test_eval_single_statement() + + filename = os.path.join(os.path.dirname(__file__), "test_eval_call.py") + assert m.test_eval_file(filename) + + assert m.test_eval_failure() + assert m.test_eval_file_failure() diff --git a/external/pybind11/tests/test_eval_call.py b/external/pybind11/tests/test_eval_call.py new file mode 100644 index 0000000..53c7e72 --- /dev/null +++ b/external/pybind11/tests/test_eval_call.py @@ -0,0 +1,4 @@ +# This file is called from 'test_eval.py' + +if 'call_test2' in locals(): + call_test2(y) # noqa: F821 undefined name diff --git a/external/pybind11/tests/test_exceptions.cpp b/external/pybind11/tests/test_exceptions.cpp new file mode 100644 index 0000000..d301390 --- /dev/null +++ b/external/pybind11/tests/test_exceptions.cpp @@ -0,0 +1,196 @@ +/* + tests/test_custom-exceptions.cpp -- exception translation + + Copyright (c) 2016 Pim Schellart + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" + +// A type that should be raised as an exception in Python +class MyException : public std::exception { +public: + explicit MyException(const char * m) : message{m} {} + virtual const char * what() const noexcept override {return message.c_str();} +private: + std::string message = ""; +}; + +// A type that should be translated to a standard Python exception +class MyException2 : public std::exception { +public: + explicit MyException2(const char * m) : message{m} {} + virtual const char * what() const noexcept override {return message.c_str();} +private: + std::string message = ""; +}; + +// A type that is not derived from std::exception (and is thus unknown) +class MyException3 { +public: + explicit MyException3(const char * m) : message{m} {} + virtual const char * what() const noexcept {return message.c_str();} +private: + std::string message = ""; +}; + +// A type that should be translated to MyException +// and delegated to its exception translator +class MyException4 : public std::exception { +public: + explicit MyException4(const char * m) : message{m} {} + virtual const char * what() const noexcept override {return message.c_str();} +private: + std::string message = ""; +}; + + +// Like the above, but declared via the helper function +class MyException5 : public std::logic_error { +public: + explicit MyException5(const std::string &what) : std::logic_error(what) {} +}; + +// Inherits from MyException5 +class MyException5_1 : public MyException5 { + using MyException5::MyException5; +}; + +struct PythonCallInDestructor { + PythonCallInDestructor(const py::dict &d) : d(d) {} + ~PythonCallInDestructor() { d["good"] = true; } + + py::dict d; +}; + +TEST_SUBMODULE(exceptions, m) { + m.def("throw_std_exception", []() { + throw std::runtime_error("This exception was intentionally thrown."); + }); + + // make a new custom exception and use it as a translation target + static py::exception ex(m, "MyException"); + py::register_exception_translator([](std::exception_ptr p) { + try { + if (p) std::rethrow_exception(p); + } catch (const MyException &e) { + // Set MyException as the active python error + ex(e.what()); + } + }); + + // register new translator for MyException2 + // no need to store anything here because this type will + // never by visible from Python + py::register_exception_translator([](std::exception_ptr p) { + try { + if (p) std::rethrow_exception(p); + } catch (const MyException2 &e) { + // Translate this exception to a standard RuntimeError + PyErr_SetString(PyExc_RuntimeError, e.what()); + } + }); + + // register new translator for MyException4 + // which will catch it and delegate to the previously registered + // translator for MyException by throwing a new exception + py::register_exception_translator([](std::exception_ptr p) { + try { + if (p) std::rethrow_exception(p); + } catch (const MyException4 &e) { + throw MyException(e.what()); + } + }); + + // A simple exception translation: + auto ex5 = py::register_exception(m, "MyException5"); + // A slightly more complicated one that declares MyException5_1 as a subclass of MyException5 + py::register_exception(m, "MyException5_1", ex5.ptr()); + + m.def("throws1", []() { throw MyException("this error should go to a custom type"); }); + m.def("throws2", []() { throw MyException2("this error should go to a standard Python exception"); }); + m.def("throws3", []() { throw MyException3("this error cannot be translated"); }); + m.def("throws4", []() { throw MyException4("this error is rethrown"); }); + m.def("throws5", []() { throw MyException5("this is a helper-defined translated exception"); }); + m.def("throws5_1", []() { throw MyException5_1("MyException5 subclass"); }); + m.def("throws_logic_error", []() { throw std::logic_error("this error should fall through to the standard handler"); }); + m.def("exception_matches", []() { + py::dict foo; + try { + // Assign to a py::object to force read access of nonexistent dict entry + py::object o = foo["bar"]; + } + catch (py::error_already_set& ex) { + if (!ex.matches(PyExc_KeyError)) throw; + return true; + } + return false; + }); + m.def("exception_matches_base", []() { + py::dict foo; + try { + // Assign to a py::object to force read access of nonexistent dict entry + py::object o = foo["bar"]; + } + catch (py::error_already_set &ex) { + if (!ex.matches(PyExc_Exception)) throw; + return true; + } + return false; + }); + m.def("modulenotfound_exception_matches_base", []() { + try { + // On Python >= 3.6, this raises a ModuleNotFoundError, a subclass of ImportError + py::module::import("nonexistent"); + } + catch (py::error_already_set &ex) { + if (!ex.matches(PyExc_ImportError)) throw; + return true; + } + return false; + }); + + m.def("throw_already_set", [](bool err) { + if (err) + PyErr_SetString(PyExc_ValueError, "foo"); + try { + throw py::error_already_set(); + } catch (const std::runtime_error& e) { + if ((err && e.what() != std::string("ValueError: foo")) || + (!err && e.what() != std::string("Unknown internal error occurred"))) + { + PyErr_Clear(); + throw std::runtime_error("error message mismatch"); + } + } + PyErr_Clear(); + if (err) + PyErr_SetString(PyExc_ValueError, "foo"); + throw py::error_already_set(); + }); + + m.def("python_call_in_destructor", [](py::dict d) { + try { + PythonCallInDestructor set_dict_in_destructor(d); + PyErr_SetString(PyExc_ValueError, "foo"); + throw py::error_already_set(); + } catch (const py::error_already_set&) { + return true; + } + return false; + }); + + // test_nested_throws + m.def("try_catch", [m](py::object exc_type, py::function f, py::args args) { + try { f(*args); } + catch (py::error_already_set &ex) { + if (ex.matches(exc_type)) + py::print(ex.what()); + else + throw; + } + }); + +} diff --git a/external/pybind11/tests/test_exceptions.py b/external/pybind11/tests/test_exceptions.py new file mode 100644 index 0000000..6edff9f --- /dev/null +++ b/external/pybind11/tests/test_exceptions.py @@ -0,0 +1,146 @@ +import pytest + +from pybind11_tests import exceptions as m +import pybind11_cross_module_tests as cm + + +def test_std_exception(msg): + with pytest.raises(RuntimeError) as excinfo: + m.throw_std_exception() + assert msg(excinfo.value) == "This exception was intentionally thrown." + + +def test_error_already_set(msg): + with pytest.raises(RuntimeError) as excinfo: + m.throw_already_set(False) + assert msg(excinfo.value) == "Unknown internal error occurred" + + with pytest.raises(ValueError) as excinfo: + m.throw_already_set(True) + assert msg(excinfo.value) == "foo" + + +def test_cross_module_exceptions(): + with pytest.raises(RuntimeError) as excinfo: + cm.raise_runtime_error() + assert str(excinfo.value) == "My runtime error" + + with pytest.raises(ValueError) as excinfo: + cm.raise_value_error() + assert str(excinfo.value) == "My value error" + + with pytest.raises(ValueError) as excinfo: + cm.throw_pybind_value_error() + assert str(excinfo.value) == "pybind11 value error" + + with pytest.raises(TypeError) as excinfo: + cm.throw_pybind_type_error() + assert str(excinfo.value) == "pybind11 type error" + + with pytest.raises(StopIteration) as excinfo: + cm.throw_stop_iteration() + + +def test_python_call_in_catch(): + d = {} + assert m.python_call_in_destructor(d) is True + assert d["good"] is True + + +def test_exception_matches(): + assert m.exception_matches() + assert m.exception_matches_base() + assert m.modulenotfound_exception_matches_base() + + +def test_custom(msg): + # Can we catch a MyException? + with pytest.raises(m.MyException) as excinfo: + m.throws1() + assert msg(excinfo.value) == "this error should go to a custom type" + + # Can we translate to standard Python exceptions? + with pytest.raises(RuntimeError) as excinfo: + m.throws2() + assert msg(excinfo.value) == "this error should go to a standard Python exception" + + # Can we handle unknown exceptions? + with pytest.raises(RuntimeError) as excinfo: + m.throws3() + assert msg(excinfo.value) == "Caught an unknown exception!" + + # Can we delegate to another handler by rethrowing? + with pytest.raises(m.MyException) as excinfo: + m.throws4() + assert msg(excinfo.value) == "this error is rethrown" + + # Can we fall-through to the default handler? + with pytest.raises(RuntimeError) as excinfo: + m.throws_logic_error() + assert msg(excinfo.value) == "this error should fall through to the standard handler" + + # Can we handle a helper-declared exception? + with pytest.raises(m.MyException5) as excinfo: + m.throws5() + assert msg(excinfo.value) == "this is a helper-defined translated exception" + + # Exception subclassing: + with pytest.raises(m.MyException5) as excinfo: + m.throws5_1() + assert msg(excinfo.value) == "MyException5 subclass" + assert isinstance(excinfo.value, m.MyException5_1) + + with pytest.raises(m.MyException5_1) as excinfo: + m.throws5_1() + assert msg(excinfo.value) == "MyException5 subclass" + + with pytest.raises(m.MyException5) as excinfo: + try: + m.throws5() + except m.MyException5_1: + raise RuntimeError("Exception error: caught child from parent") + assert msg(excinfo.value) == "this is a helper-defined translated exception" + + +def test_nested_throws(capture): + """Tests nested (e.g. C++ -> Python -> C++) exception handling""" + + def throw_myex(): + raise m.MyException("nested error") + + def throw_myex5(): + raise m.MyException5("nested error 5") + + # In the comments below, the exception is caught in the first step, thrown in the last step + + # C++ -> Python + with capture: + m.try_catch(m.MyException5, throw_myex5) + assert str(capture).startswith("MyException5: nested error 5") + + # Python -> C++ -> Python + with pytest.raises(m.MyException) as excinfo: + m.try_catch(m.MyException5, throw_myex) + assert str(excinfo.value) == "nested error" + + def pycatch(exctype, f, *args): + try: + f(*args) + except m.MyException as e: + print(e) + + # C++ -> Python -> C++ -> Python + with capture: + m.try_catch( + m.MyException5, pycatch, m.MyException, m.try_catch, m.MyException, throw_myex5) + assert str(capture).startswith("MyException5: nested error 5") + + # C++ -> Python -> C++ + with capture: + m.try_catch(m.MyException, pycatch, m.MyException5, m.throws4) + assert capture == "this error is rethrown" + + # Python -> C++ -> Python -> C++ + with pytest.raises(m.MyException5) as excinfo: + m.try_catch(m.MyException, pycatch, m.MyException, m.throws5) + assert str(excinfo.value) == "this is a helper-defined translated exception" diff --git a/external/pybind11/tests/test_factory_constructors.cpp b/external/pybind11/tests/test_factory_constructors.cpp new file mode 100644 index 0000000..5cfbfdc --- /dev/null +++ b/external/pybind11/tests/test_factory_constructors.cpp @@ -0,0 +1,338 @@ +/* + tests/test_factory_constructors.cpp -- tests construction from a factory function + via py::init_factory() + + Copyright (c) 2017 Jason Rhinelander + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" +#include "constructor_stats.h" +#include + +// Classes for testing python construction via C++ factory function: +// Not publicly constructible, copyable, or movable: +class TestFactory1 { + friend class TestFactoryHelper; + TestFactory1() : value("(empty)") { print_default_created(this); } + TestFactory1(int v) : value(std::to_string(v)) { print_created(this, value); } + TestFactory1(std::string v) : value(std::move(v)) { print_created(this, value); } + TestFactory1(TestFactory1 &&) = delete; + TestFactory1(const TestFactory1 &) = delete; + TestFactory1 &operator=(TestFactory1 &&) = delete; + TestFactory1 &operator=(const TestFactory1 &) = delete; +public: + std::string value; + ~TestFactory1() { print_destroyed(this); } +}; +// Non-public construction, but moveable: +class TestFactory2 { + friend class TestFactoryHelper; + TestFactory2() : value("(empty2)") { print_default_created(this); } + TestFactory2(int v) : value(std::to_string(v)) { print_created(this, value); } + TestFactory2(std::string v) : value(std::move(v)) { print_created(this, value); } +public: + TestFactory2(TestFactory2 &&m) { value = std::move(m.value); print_move_created(this); } + TestFactory2 &operator=(TestFactory2 &&m) { value = std::move(m.value); print_move_assigned(this); return *this; } + std::string value; + ~TestFactory2() { print_destroyed(this); } +}; +// Mixed direct/factory construction: +class TestFactory3 { +protected: + friend class TestFactoryHelper; + TestFactory3() : value("(empty3)") { print_default_created(this); } + TestFactory3(int v) : value(std::to_string(v)) { print_created(this, value); } +public: + TestFactory3(std::string v) : value(std::move(v)) { print_created(this, value); } + TestFactory3(TestFactory3 &&m) { value = std::move(m.value); print_move_created(this); } + TestFactory3 &operator=(TestFactory3 &&m) { value = std::move(m.value); print_move_assigned(this); return *this; } + std::string value; + virtual ~TestFactory3() { print_destroyed(this); } +}; +// Inheritance test +class TestFactory4 : public TestFactory3 { +public: + TestFactory4() : TestFactory3() { print_default_created(this); } + TestFactory4(int v) : TestFactory3(v) { print_created(this, v); } + virtual ~TestFactory4() { print_destroyed(this); } +}; +// Another class for an invalid downcast test +class TestFactory5 : public TestFactory3 { +public: + TestFactory5(int i) : TestFactory3(i) { print_created(this, i); } + virtual ~TestFactory5() { print_destroyed(this); } +}; + +class TestFactory6 { +protected: + int value; + bool alias = false; +public: + TestFactory6(int i) : value{i} { print_created(this, i); } + TestFactory6(TestFactory6 &&f) { print_move_created(this); value = f.value; alias = f.alias; } + TestFactory6(const TestFactory6 &f) { print_copy_created(this); value = f.value; alias = f.alias; } + virtual ~TestFactory6() { print_destroyed(this); } + virtual int get() { return value; } + bool has_alias() { return alias; } +}; +class PyTF6 : public TestFactory6 { +public: + // Special constructor that allows the factory to construct a PyTF6 from a TestFactory6 only + // when an alias is needed: + PyTF6(TestFactory6 &&base) : TestFactory6(std::move(base)) { alias = true; print_created(this, "move", value); } + PyTF6(int i) : TestFactory6(i) { alias = true; print_created(this, i); } + PyTF6(PyTF6 &&f) : TestFactory6(std::move(f)) { print_move_created(this); } + PyTF6(const PyTF6 &f) : TestFactory6(f) { print_copy_created(this); } + PyTF6(std::string s) : TestFactory6((int) s.size()) { alias = true; print_created(this, s); } + virtual ~PyTF6() { print_destroyed(this); } + int get() override { PYBIND11_OVERLOAD(int, TestFactory6, get, /*no args*/); } +}; + +class TestFactory7 { +protected: + int value; + bool alias = false; +public: + TestFactory7(int i) : value{i} { print_created(this, i); } + TestFactory7(TestFactory7 &&f) { print_move_created(this); value = f.value; alias = f.alias; } + TestFactory7(const TestFactory7 &f) { print_copy_created(this); value = f.value; alias = f.alias; } + virtual ~TestFactory7() { print_destroyed(this); } + virtual int get() { return value; } + bool has_alias() { return alias; } +}; +class PyTF7 : public TestFactory7 { +public: + PyTF7(int i) : TestFactory7(i) { alias = true; print_created(this, i); } + PyTF7(PyTF7 &&f) : TestFactory7(std::move(f)) { print_move_created(this); } + PyTF7(const PyTF7 &f) : TestFactory7(f) { print_copy_created(this); } + virtual ~PyTF7() { print_destroyed(this); } + int get() override { PYBIND11_OVERLOAD(int, TestFactory7, get, /*no args*/); } +}; + + +class TestFactoryHelper { +public: + // Non-movable, non-copyable type: + // Return via pointer: + static TestFactory1 *construct1() { return new TestFactory1(); } + // Holder: + static std::unique_ptr construct1(int a) { return std::unique_ptr(new TestFactory1(a)); } + // pointer again + static TestFactory1 *construct1_string(std::string a) { return new TestFactory1(a); } + + // Moveable type: + // pointer: + static TestFactory2 *construct2() { return new TestFactory2(); } + // holder: + static std::unique_ptr construct2(int a) { return std::unique_ptr(new TestFactory2(a)); } + // by value moving: + static TestFactory2 construct2(std::string a) { return TestFactory2(a); } + + // shared_ptr holder type: + // pointer: + static TestFactory3 *construct3() { return new TestFactory3(); } + // holder: + static std::shared_ptr construct3(int a) { return std::shared_ptr(new TestFactory3(a)); } +}; + +TEST_SUBMODULE(factory_constructors, m) { + + // Define various trivial types to allow simpler overload resolution: + py::module m_tag = m.def_submodule("tag"); +#define MAKE_TAG_TYPE(Name) \ + struct Name##_tag {}; \ + py::class_(m_tag, #Name "_tag").def(py::init<>()); \ + m_tag.attr(#Name) = py::cast(Name##_tag{}) + MAKE_TAG_TYPE(pointer); + MAKE_TAG_TYPE(unique_ptr); + MAKE_TAG_TYPE(move); + MAKE_TAG_TYPE(shared_ptr); + MAKE_TAG_TYPE(derived); + MAKE_TAG_TYPE(TF4); + MAKE_TAG_TYPE(TF5); + MAKE_TAG_TYPE(null_ptr); + MAKE_TAG_TYPE(base); + MAKE_TAG_TYPE(invalid_base); + MAKE_TAG_TYPE(alias); + MAKE_TAG_TYPE(unaliasable); + MAKE_TAG_TYPE(mixed); + + // test_init_factory_basic, test_bad_type + py::class_(m, "TestFactory1") + .def(py::init([](unique_ptr_tag, int v) { return TestFactoryHelper::construct1(v); })) + .def(py::init(&TestFactoryHelper::construct1_string)) // raw function pointer + .def(py::init([](pointer_tag) { return TestFactoryHelper::construct1(); })) + .def(py::init([](py::handle, int v, py::handle) { return TestFactoryHelper::construct1(v); })) + .def_readwrite("value", &TestFactory1::value) + ; + py::class_(m, "TestFactory2") + .def(py::init([](pointer_tag, int v) { return TestFactoryHelper::construct2(v); })) + .def(py::init([](unique_ptr_tag, std::string v) { return TestFactoryHelper::construct2(v); })) + .def(py::init([](move_tag) { return TestFactoryHelper::construct2(); })) + .def_readwrite("value", &TestFactory2::value) + ; + + // Stateful & reused: + int c = 1; + auto c4a = [c](pointer_tag, TF4_tag, int a) { (void) c; return new TestFactory4(a);}; + + // test_init_factory_basic, test_init_factory_casting + py::class_>(m, "TestFactory3") + .def(py::init([](pointer_tag, int v) { return TestFactoryHelper::construct3(v); })) + .def(py::init([](shared_ptr_tag) { return TestFactoryHelper::construct3(); })) + .def("__init__", [](TestFactory3 &self, std::string v) { new (&self) TestFactory3(v); }) // placement-new ctor + + // factories returning a derived type: + .def(py::init(c4a)) // derived ptr + .def(py::init([](pointer_tag, TF5_tag, int a) { return new TestFactory5(a); })) + // derived shared ptr: + .def(py::init([](shared_ptr_tag, TF4_tag, int a) { return std::make_shared(a); })) + .def(py::init([](shared_ptr_tag, TF5_tag, int a) { return std::make_shared(a); })) + + // Returns nullptr: + .def(py::init([](null_ptr_tag) { return (TestFactory3 *) nullptr; })) + + .def_readwrite("value", &TestFactory3::value) + ; + + // test_init_factory_casting + py::class_>(m, "TestFactory4") + .def(py::init(c4a)) // pointer + ; + + // Doesn't need to be registered, but registering makes getting ConstructorStats easier: + py::class_>(m, "TestFactory5"); + + // test_init_factory_alias + // Alias testing + py::class_(m, "TestFactory6") + .def(py::init([](base_tag, int i) { return TestFactory6(i); })) + .def(py::init([](alias_tag, int i) { return PyTF6(i); })) + .def(py::init([](alias_tag, std::string s) { return PyTF6(s); })) + .def(py::init([](alias_tag, pointer_tag, int i) { return new PyTF6(i); })) + .def(py::init([](base_tag, pointer_tag, int i) { return new TestFactory6(i); })) + .def(py::init([](base_tag, alias_tag, pointer_tag, int i) { return (TestFactory6 *) new PyTF6(i); })) + + .def("get", &TestFactory6::get) + .def("has_alias", &TestFactory6::has_alias) + + .def_static("get_cstats", &ConstructorStats::get, py::return_value_policy::reference) + .def_static("get_alias_cstats", &ConstructorStats::get, py::return_value_policy::reference) + ; + + // test_init_factory_dual + // Separate alias constructor testing + py::class_>(m, "TestFactory7") + .def(py::init( + [](int i) { return TestFactory7(i); }, + [](int i) { return PyTF7(i); })) + .def(py::init( + [](pointer_tag, int i) { return new TestFactory7(i); }, + [](pointer_tag, int i) { return new PyTF7(i); })) + .def(py::init( + [](mixed_tag, int i) { return new TestFactory7(i); }, + [](mixed_tag, int i) { return PyTF7(i); })) + .def(py::init( + [](mixed_tag, std::string s) { return TestFactory7((int) s.size()); }, + [](mixed_tag, std::string s) { return new PyTF7((int) s.size()); })) + .def(py::init( + [](base_tag, pointer_tag, int i) { return new TestFactory7(i); }, + [](base_tag, pointer_tag, int i) { return (TestFactory7 *) new PyTF7(i); })) + .def(py::init( + [](alias_tag, pointer_tag, int i) { return new PyTF7(i); }, + [](alias_tag, pointer_tag, int i) { return new PyTF7(10*i); })) + .def(py::init( + [](shared_ptr_tag, base_tag, int i) { return std::make_shared(i); }, + [](shared_ptr_tag, base_tag, int i) { auto *p = new PyTF7(i); return std::shared_ptr(p); })) + .def(py::init( + [](shared_ptr_tag, invalid_base_tag, int i) { return std::make_shared(i); }, + [](shared_ptr_tag, invalid_base_tag, int i) { return std::make_shared(i); })) // <-- invalid alias factory + + .def("get", &TestFactory7::get) + .def("has_alias", &TestFactory7::has_alias) + + .def_static("get_cstats", &ConstructorStats::get, py::return_value_policy::reference) + .def_static("get_alias_cstats", &ConstructorStats::get, py::return_value_policy::reference) + ; + + // test_placement_new_alternative + // Class with a custom new operator but *without* a placement new operator (issue #948) + class NoPlacementNew { + public: + NoPlacementNew(int i) : i(i) { } + static void *operator new(std::size_t s) { + auto *p = ::operator new(s); + py::print("operator new called, returning", reinterpret_cast(p)); + return p; + } + static void operator delete(void *p) { + py::print("operator delete called on", reinterpret_cast(p)); + ::operator delete(p); + } + int i; + }; + // As of 2.2, `py::init` no longer requires placement new + py::class_(m, "NoPlacementNew") + .def(py::init()) + .def(py::init([]() { return new NoPlacementNew(100); })) + .def_readwrite("i", &NoPlacementNew::i) + ; + + + // test_reallocations + // Class that has verbose operator_new/operator_delete calls + struct NoisyAlloc { + NoisyAlloc(const NoisyAlloc &) = default; + NoisyAlloc(int i) { py::print(py::str("NoisyAlloc(int {})").format(i)); } + NoisyAlloc(double d) { py::print(py::str("NoisyAlloc(double {})").format(d)); } + ~NoisyAlloc() { py::print("~NoisyAlloc()"); } + + static void *operator new(size_t s) { py::print("noisy new"); return ::operator new(s); } + static void *operator new(size_t, void *p) { py::print("noisy placement new"); return p; } + static void operator delete(void *p, size_t) { py::print("noisy delete"); ::operator delete(p); } + static void operator delete(void *, void *) { py::print("noisy placement delete"); } +#if defined(_MSC_VER) && _MSC_VER < 1910 + // MSVC 2015 bug: the above "noisy delete" isn't invoked (fixed in MSVC 2017) + static void operator delete(void *p) { py::print("noisy delete"); ::operator delete(p); } +#endif + }; + py::class_(m, "NoisyAlloc") + // Since these overloads have the same number of arguments, the dispatcher will try each of + // them until the arguments convert. Thus we can get a pre-allocation here when passing a + // single non-integer: + .def("__init__", [](NoisyAlloc *a, int i) { new (a) NoisyAlloc(i); }) // Regular constructor, runs first, requires preallocation + .def(py::init([](double d) { return new NoisyAlloc(d); })) + + // The two-argument version: first the factory pointer overload. + .def(py::init([](int i, int) { return new NoisyAlloc(i); })) + // Return-by-value: + .def(py::init([](double d, int) { return NoisyAlloc(d); })) + // Old-style placement new init; requires preallocation + .def("__init__", [](NoisyAlloc &a, double d, double) { new (&a) NoisyAlloc(d); }) + // Requires deallocation of previous overload preallocated value: + .def(py::init([](int i, double) { return new NoisyAlloc(i); })) + // Regular again: requires yet another preallocation + .def("__init__", [](NoisyAlloc &a, int i, std::string) { new (&a) NoisyAlloc(i); }) + ; + + + + + // static_assert testing (the following def's should all fail with appropriate compilation errors): +#if 0 + struct BadF1Base {}; + struct BadF1 : BadF1Base {}; + struct PyBadF1 : BadF1 {}; + py::class_> bf1(m, "BadF1"); + // wrapped factory function must return a compatible pointer, holder, or value + bf1.def(py::init([]() { return 3; })); + // incompatible factory function pointer return type + bf1.def(py::init([]() { static int three = 3; return &three; })); + // incompatible factory function std::shared_ptr return type: cannot convert shared_ptr to holder + // (non-polymorphic base) + bf1.def(py::init([]() { return std::shared_ptr(new BadF1()); })); +#endif +} diff --git a/external/pybind11/tests/test_factory_constructors.py b/external/pybind11/tests/test_factory_constructors.py new file mode 100644 index 0000000..78a3910 --- /dev/null +++ b/external/pybind11/tests/test_factory_constructors.py @@ -0,0 +1,459 @@ +import pytest +import re + +from pybind11_tests import factory_constructors as m +from pybind11_tests.factory_constructors import tag +from pybind11_tests import ConstructorStats + + +def test_init_factory_basic(): + """Tests py::init_factory() wrapper around various ways of returning the object""" + + cstats = [ConstructorStats.get(c) for c in [m.TestFactory1, m.TestFactory2, m.TestFactory3]] + cstats[0].alive() # force gc + n_inst = ConstructorStats.detail_reg_inst() + + x1 = m.TestFactory1(tag.unique_ptr, 3) + assert x1.value == "3" + y1 = m.TestFactory1(tag.pointer) + assert y1.value == "(empty)" + z1 = m.TestFactory1("hi!") + assert z1.value == "hi!" + + assert ConstructorStats.detail_reg_inst() == n_inst + 3 + + x2 = m.TestFactory2(tag.move) + assert x2.value == "(empty2)" + y2 = m.TestFactory2(tag.pointer, 7) + assert y2.value == "7" + z2 = m.TestFactory2(tag.unique_ptr, "hi again") + assert z2.value == "hi again" + + assert ConstructorStats.detail_reg_inst() == n_inst + 6 + + x3 = m.TestFactory3(tag.shared_ptr) + assert x3.value == "(empty3)" + y3 = m.TestFactory3(tag.pointer, 42) + assert y3.value == "42" + z3 = m.TestFactory3("bye") + assert z3.value == "bye" + + with pytest.raises(TypeError) as excinfo: + m.TestFactory3(tag.null_ptr) + assert str(excinfo.value) == "pybind11::init(): factory function returned nullptr" + + assert [i.alive() for i in cstats] == [3, 3, 3] + assert ConstructorStats.detail_reg_inst() == n_inst + 9 + + del x1, y2, y3, z3 + assert [i.alive() for i in cstats] == [2, 2, 1] + assert ConstructorStats.detail_reg_inst() == n_inst + 5 + del x2, x3, y1, z1, z2 + assert [i.alive() for i in cstats] == [0, 0, 0] + assert ConstructorStats.detail_reg_inst() == n_inst + + assert [i.values() for i in cstats] == [ + ["3", "hi!"], + ["7", "hi again"], + ["42", "bye"] + ] + assert [i.default_constructions for i in cstats] == [1, 1, 1] + + +def test_init_factory_signature(msg): + with pytest.raises(TypeError) as excinfo: + m.TestFactory1("invalid", "constructor", "arguments") + assert msg(excinfo.value) == """ + __init__(): incompatible constructor arguments. The following argument types are supported: + 1. m.factory_constructors.TestFactory1(arg0: m.factory_constructors.tag.unique_ptr_tag, arg1: int) + 2. m.factory_constructors.TestFactory1(arg0: str) + 3. m.factory_constructors.TestFactory1(arg0: m.factory_constructors.tag.pointer_tag) + 4. m.factory_constructors.TestFactory1(arg0: handle, arg1: int, arg2: handle) + + Invoked with: 'invalid', 'constructor', 'arguments' + """ # noqa: E501 line too long + + assert msg(m.TestFactory1.__init__.__doc__) == """ + __init__(*args, **kwargs) + Overloaded function. + + 1. __init__(self: m.factory_constructors.TestFactory1, arg0: m.factory_constructors.tag.unique_ptr_tag, arg1: int) -> None + + 2. __init__(self: m.factory_constructors.TestFactory1, arg0: str) -> None + + 3. __init__(self: m.factory_constructors.TestFactory1, arg0: m.factory_constructors.tag.pointer_tag) -> None + + 4. __init__(self: m.factory_constructors.TestFactory1, arg0: handle, arg1: int, arg2: handle) -> None + """ # noqa: E501 line too long + + +def test_init_factory_casting(): + """Tests py::init_factory() wrapper with various upcasting and downcasting returns""" + + cstats = [ConstructorStats.get(c) for c in [m.TestFactory3, m.TestFactory4, m.TestFactory5]] + cstats[0].alive() # force gc + n_inst = ConstructorStats.detail_reg_inst() + + # Construction from derived references: + a = m.TestFactory3(tag.pointer, tag.TF4, 4) + assert a.value == "4" + b = m.TestFactory3(tag.shared_ptr, tag.TF4, 5) + assert b.value == "5" + c = m.TestFactory3(tag.pointer, tag.TF5, 6) + assert c.value == "6" + d = m.TestFactory3(tag.shared_ptr, tag.TF5, 7) + assert d.value == "7" + + assert ConstructorStats.detail_reg_inst() == n_inst + 4 + + # Shared a lambda with TF3: + e = m.TestFactory4(tag.pointer, tag.TF4, 8) + assert e.value == "8" + + assert ConstructorStats.detail_reg_inst() == n_inst + 5 + assert [i.alive() for i in cstats] == [5, 3, 2] + + del a + assert [i.alive() for i in cstats] == [4, 2, 2] + assert ConstructorStats.detail_reg_inst() == n_inst + 4 + + del b, c, e + assert [i.alive() for i in cstats] == [1, 0, 1] + assert ConstructorStats.detail_reg_inst() == n_inst + 1 + + del d + assert [i.alive() for i in cstats] == [0, 0, 0] + assert ConstructorStats.detail_reg_inst() == n_inst + + assert [i.values() for i in cstats] == [ + ["4", "5", "6", "7", "8"], + ["4", "5", "8"], + ["6", "7"] + ] + + +def test_init_factory_alias(): + """Tests py::init_factory() wrapper with value conversions and alias types""" + + cstats = [m.TestFactory6.get_cstats(), m.TestFactory6.get_alias_cstats()] + cstats[0].alive() # force gc + n_inst = ConstructorStats.detail_reg_inst() + + a = m.TestFactory6(tag.base, 1) + assert a.get() == 1 + assert not a.has_alias() + b = m.TestFactory6(tag.alias, "hi there") + assert b.get() == 8 + assert b.has_alias() + c = m.TestFactory6(tag.alias, 3) + assert c.get() == 3 + assert c.has_alias() + d = m.TestFactory6(tag.alias, tag.pointer, 4) + assert d.get() == 4 + assert d.has_alias() + e = m.TestFactory6(tag.base, tag.pointer, 5) + assert e.get() == 5 + assert not e.has_alias() + f = m.TestFactory6(tag.base, tag.alias, tag.pointer, 6) + assert f.get() == 6 + assert f.has_alias() + + assert ConstructorStats.detail_reg_inst() == n_inst + 6 + assert [i.alive() for i in cstats] == [6, 4] + + del a, b, e + assert [i.alive() for i in cstats] == [3, 3] + assert ConstructorStats.detail_reg_inst() == n_inst + 3 + del f, c, d + assert [i.alive() for i in cstats] == [0, 0] + assert ConstructorStats.detail_reg_inst() == n_inst + + class MyTest(m.TestFactory6): + def __init__(self, *args): + m.TestFactory6.__init__(self, *args) + + def get(self): + return -5 + m.TestFactory6.get(self) + + # Return Class by value, moved into new alias: + z = MyTest(tag.base, 123) + assert z.get() == 118 + assert z.has_alias() + + # Return alias by value, moved into new alias: + y = MyTest(tag.alias, "why hello!") + assert y.get() == 5 + assert y.has_alias() + + # Return Class by pointer, moved into new alias then original destroyed: + x = MyTest(tag.base, tag.pointer, 47) + assert x.get() == 42 + assert x.has_alias() + + assert ConstructorStats.detail_reg_inst() == n_inst + 3 + assert [i.alive() for i in cstats] == [3, 3] + del x, y, z + assert [i.alive() for i in cstats] == [0, 0] + assert ConstructorStats.detail_reg_inst() == n_inst + + assert [i.values() for i in cstats] == [ + ["1", "8", "3", "4", "5", "6", "123", "10", "47"], + ["hi there", "3", "4", "6", "move", "123", "why hello!", "move", "47"] + ] + + +def test_init_factory_dual(): + """Tests init factory functions with dual main/alias factory functions""" + from pybind11_tests.factory_constructors import TestFactory7 + + cstats = [TestFactory7.get_cstats(), TestFactory7.get_alias_cstats()] + cstats[0].alive() # force gc + n_inst = ConstructorStats.detail_reg_inst() + + class PythFactory7(TestFactory7): + def get(self): + return 100 + TestFactory7.get(self) + + a1 = TestFactory7(1) + a2 = PythFactory7(2) + assert a1.get() == 1 + assert a2.get() == 102 + assert not a1.has_alias() + assert a2.has_alias() + + b1 = TestFactory7(tag.pointer, 3) + b2 = PythFactory7(tag.pointer, 4) + assert b1.get() == 3 + assert b2.get() == 104 + assert not b1.has_alias() + assert b2.has_alias() + + c1 = TestFactory7(tag.mixed, 5) + c2 = PythFactory7(tag.mixed, 6) + assert c1.get() == 5 + assert c2.get() == 106 + assert not c1.has_alias() + assert c2.has_alias() + + d1 = TestFactory7(tag.base, tag.pointer, 7) + d2 = PythFactory7(tag.base, tag.pointer, 8) + assert d1.get() == 7 + assert d2.get() == 108 + assert not d1.has_alias() + assert d2.has_alias() + + # Both return an alias; the second multiplies the value by 10: + e1 = TestFactory7(tag.alias, tag.pointer, 9) + e2 = PythFactory7(tag.alias, tag.pointer, 10) + assert e1.get() == 9 + assert e2.get() == 200 + assert e1.has_alias() + assert e2.has_alias() + + f1 = TestFactory7(tag.shared_ptr, tag.base, 11) + f2 = PythFactory7(tag.shared_ptr, tag.base, 12) + assert f1.get() == 11 + assert f2.get() == 112 + assert not f1.has_alias() + assert f2.has_alias() + + g1 = TestFactory7(tag.shared_ptr, tag.invalid_base, 13) + assert g1.get() == 13 + assert not g1.has_alias() + with pytest.raises(TypeError) as excinfo: + PythFactory7(tag.shared_ptr, tag.invalid_base, 14) + assert (str(excinfo.value) == + "pybind11::init(): construction failed: returned holder-wrapped instance is not an " + "alias instance") + + assert [i.alive() for i in cstats] == [13, 7] + assert ConstructorStats.detail_reg_inst() == n_inst + 13 + + del a1, a2, b1, d1, e1, e2 + assert [i.alive() for i in cstats] == [7, 4] + assert ConstructorStats.detail_reg_inst() == n_inst + 7 + del b2, c1, c2, d2, f1, f2, g1 + assert [i.alive() for i in cstats] == [0, 0] + assert ConstructorStats.detail_reg_inst() == n_inst + + assert [i.values() for i in cstats] == [ + ["1", "2", "3", "4", "5", "6", "7", "8", "9", "100", "11", "12", "13", "14"], + ["2", "4", "6", "8", "9", "100", "12"] + ] + + +def test_no_placement_new(capture): + """Prior to 2.2, `py::init<...>` relied on the type supporting placement + new; this tests a class without placement new support.""" + with capture: + a = m.NoPlacementNew(123) + + found = re.search(r'^operator new called, returning (\d+)\n$', str(capture)) + assert found + assert a.i == 123 + with capture: + del a + pytest.gc_collect() + assert capture == "operator delete called on " + found.group(1) + + with capture: + b = m.NoPlacementNew() + + found = re.search(r'^operator new called, returning (\d+)\n$', str(capture)) + assert found + assert b.i == 100 + with capture: + del b + pytest.gc_collect() + assert capture == "operator delete called on " + found.group(1) + + +def test_multiple_inheritance(): + class MITest(m.TestFactory1, m.TestFactory2): + def __init__(self): + m.TestFactory1.__init__(self, tag.unique_ptr, 33) + m.TestFactory2.__init__(self, tag.move) + + a = MITest() + assert m.TestFactory1.value.fget(a) == "33" + assert m.TestFactory2.value.fget(a) == "(empty2)" + + +def create_and_destroy(*args): + a = m.NoisyAlloc(*args) + print("---") + del a + pytest.gc_collect() + + +def strip_comments(s): + return re.sub(r'\s+#.*', '', s) + + +def test_reallocations(capture, msg): + """When the constructor is overloaded, previous overloads can require a preallocated value. + This test makes sure that such preallocated values only happen when they might be necessary, + and that they are deallocated properly""" + + pytest.gc_collect() + + with capture: + create_and_destroy(1) + assert msg(capture) == """ + noisy new + noisy placement new + NoisyAlloc(int 1) + --- + ~NoisyAlloc() + noisy delete + """ + with capture: + create_and_destroy(1.5) + assert msg(capture) == strip_comments(""" + noisy new # allocation required to attempt first overload + noisy delete # have to dealloc before considering factory init overload + noisy new # pointer factory calling "new", part 1: allocation + NoisyAlloc(double 1.5) # ... part two, invoking constructor + --- + ~NoisyAlloc() # Destructor + noisy delete # operator delete + """) + + with capture: + create_and_destroy(2, 3) + assert msg(capture) == strip_comments(""" + noisy new # pointer factory calling "new", allocation + NoisyAlloc(int 2) # constructor + --- + ~NoisyAlloc() # Destructor + noisy delete # operator delete + """) + + with capture: + create_and_destroy(2.5, 3) + assert msg(capture) == strip_comments(""" + NoisyAlloc(double 2.5) # construction (local func variable: operator_new not called) + noisy new # return-by-value "new" part 1: allocation + ~NoisyAlloc() # moved-away local func variable destruction + --- + ~NoisyAlloc() # Destructor + noisy delete # operator delete + """) + + with capture: + create_and_destroy(3.5, 4.5) + assert msg(capture) == strip_comments(""" + noisy new # preallocation needed before invoking placement-new overload + noisy placement new # Placement new + NoisyAlloc(double 3.5) # construction + --- + ~NoisyAlloc() # Destructor + noisy delete # operator delete + """) + + with capture: + create_and_destroy(4, 0.5) + assert msg(capture) == strip_comments(""" + noisy new # preallocation needed before invoking placement-new overload + noisy delete # deallocation of preallocated storage + noisy new # Factory pointer allocation + NoisyAlloc(int 4) # factory pointer construction + --- + ~NoisyAlloc() # Destructor + noisy delete # operator delete + """) + + with capture: + create_and_destroy(5, "hi") + assert msg(capture) == strip_comments(""" + noisy new # preallocation needed before invoking first placement new + noisy delete # delete before considering new-style constructor + noisy new # preallocation for second placement new + noisy placement new # Placement new in the second placement new overload + NoisyAlloc(int 5) # construction + --- + ~NoisyAlloc() # Destructor + noisy delete # operator delete + """) + + +@pytest.unsupported_on_py2 +def test_invalid_self(): + """Tests invocation of the pybind-registered base class with an invalid `self` argument. You + can only actually do this on Python 3: Python 2 raises an exception itself if you try.""" + class NotPybindDerived(object): + pass + + # Attempts to initialize with an invalid type passed as `self`: + class BrokenTF1(m.TestFactory1): + def __init__(self, bad): + if bad == 1: + a = m.TestFactory2(tag.pointer, 1) + m.TestFactory1.__init__(a, tag.pointer) + elif bad == 2: + a = NotPybindDerived() + m.TestFactory1.__init__(a, tag.pointer) + + # Same as above, but for a class with an alias: + class BrokenTF6(m.TestFactory6): + def __init__(self, bad): + if bad == 1: + a = m.TestFactory2(tag.pointer, 1) + m.TestFactory6.__init__(a, tag.base, 1) + elif bad == 2: + a = m.TestFactory2(tag.pointer, 1) + m.TestFactory6.__init__(a, tag.alias, 1) + elif bad == 3: + m.TestFactory6.__init__(NotPybindDerived.__new__(NotPybindDerived), tag.base, 1) + elif bad == 4: + m.TestFactory6.__init__(NotPybindDerived.__new__(NotPybindDerived), tag.alias, 1) + + for arg in (1, 2): + with pytest.raises(TypeError) as excinfo: + BrokenTF1(arg) + assert str(excinfo.value) == "__init__(self, ...) called with invalid `self` argument" + + for arg in (1, 2, 3, 4): + with pytest.raises(TypeError) as excinfo: + BrokenTF6(arg) + assert str(excinfo.value) == "__init__(self, ...) called with invalid `self` argument" diff --git a/external/pybind11/tests/test_gil_scoped.cpp b/external/pybind11/tests/test_gil_scoped.cpp new file mode 100644 index 0000000..76c17fd --- /dev/null +++ b/external/pybind11/tests/test_gil_scoped.cpp @@ -0,0 +1,52 @@ +/* + tests/test_gil_scoped.cpp -- acquire and release gil + + Copyright (c) 2017 Borja Zarco (Google LLC) + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" +#include + + +class VirtClass { +public: + virtual ~VirtClass() {} + virtual void virtual_func() {} + virtual void pure_virtual_func() = 0; +}; + +class PyVirtClass : public VirtClass { + void virtual_func() override { + PYBIND11_OVERLOAD(void, VirtClass, virtual_func,); + } + void pure_virtual_func() override { + PYBIND11_OVERLOAD_PURE(void, VirtClass, pure_virtual_func,); + } +}; + +TEST_SUBMODULE(gil_scoped, m) { + py::class_(m, "VirtClass") + .def(py::init<>()) + .def("virtual_func", &VirtClass::virtual_func) + .def("pure_virtual_func", &VirtClass::pure_virtual_func); + + m.def("test_callback_py_obj", + [](py::object func) { func(); }); + m.def("test_callback_std_func", + [](const std::function &func) { func(); }); + m.def("test_callback_virtual_func", + [](VirtClass &virt) { virt.virtual_func(); }); + m.def("test_callback_pure_virtual_func", + [](VirtClass &virt) { virt.pure_virtual_func(); }); + m.def("test_cross_module_gil", + []() { + auto cm = py::module::import("cross_module_gil_utils"); + auto gil_acquire = reinterpret_cast( + PyLong_AsVoidPtr(cm.attr("gil_acquire_funcaddr").ptr())); + py::gil_scoped_release gil_release; + gil_acquire(); + }); +} diff --git a/external/pybind11/tests/test_gil_scoped.py b/external/pybind11/tests/test_gil_scoped.py new file mode 100644 index 0000000..1548337 --- /dev/null +++ b/external/pybind11/tests/test_gil_scoped.py @@ -0,0 +1,85 @@ +import multiprocessing +import threading +from pybind11_tests import gil_scoped as m + + +def _run_in_process(target, *args, **kwargs): + """Runs target in process and returns its exitcode after 10s (None if still alive).""" + process = multiprocessing.Process(target=target, args=args, kwargs=kwargs) + process.daemon = True + try: + process.start() + # Do not need to wait much, 10s should be more than enough. + process.join(timeout=10) + return process.exitcode + finally: + if process.is_alive(): + process.terminate() + + +def _python_to_cpp_to_python(): + """Calls different C++ functions that come back to Python.""" + class ExtendedVirtClass(m.VirtClass): + def virtual_func(self): + pass + + def pure_virtual_func(self): + pass + + extended = ExtendedVirtClass() + m.test_callback_py_obj(lambda: None) + m.test_callback_std_func(lambda: None) + m.test_callback_virtual_func(extended) + m.test_callback_pure_virtual_func(extended) + + +def _python_to_cpp_to_python_from_threads(num_threads, parallel=False): + """Calls different C++ functions that come back to Python, from Python threads.""" + threads = [] + for _ in range(num_threads): + thread = threading.Thread(target=_python_to_cpp_to_python) + thread.daemon = True + thread.start() + if parallel: + threads.append(thread) + else: + thread.join() + for thread in threads: + thread.join() + + +def test_python_to_cpp_to_python_from_thread(): + """Makes sure there is no GIL deadlock when running in a thread. + + It runs in a separate process to be able to stop and assert if it deadlocks. + """ + assert _run_in_process(_python_to_cpp_to_python_from_threads, 1) == 0 + + +def test_python_to_cpp_to_python_from_thread_multiple_parallel(): + """Makes sure there is no GIL deadlock when running in a thread multiple times in parallel. + + It runs in a separate process to be able to stop and assert if it deadlocks. + """ + assert _run_in_process(_python_to_cpp_to_python_from_threads, 8, parallel=True) == 0 + + +def test_python_to_cpp_to_python_from_thread_multiple_sequential(): + """Makes sure there is no GIL deadlock when running in a thread multiple times sequentially. + + It runs in a separate process to be able to stop and assert if it deadlocks. + """ + assert _run_in_process(_python_to_cpp_to_python_from_threads, 8, parallel=False) == 0 + + +def test_python_to_cpp_to_python_from_process(): + """Makes sure there is no GIL deadlock when using processes. + + This test is for completion, but it was never an issue. + """ + assert _run_in_process(_python_to_cpp_to_python) == 0 + + +def test_cross_module_gil(): + """Makes sure that the GIL can be acquired by another module from a GIL-released state.""" + m.test_cross_module_gil() # Should not raise a SIGSEGV diff --git a/external/pybind11/tests/test_iostream.cpp b/external/pybind11/tests/test_iostream.cpp new file mode 100644 index 0000000..e67f88a --- /dev/null +++ b/external/pybind11/tests/test_iostream.cpp @@ -0,0 +1,73 @@ +/* + tests/test_iostream.cpp -- Usage of scoped_output_redirect + + Copyright (c) 2017 Henry F. Schreiner + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + + +#include +#include "pybind11_tests.h" +#include + + +void noisy_function(std::string msg, bool flush) { + + std::cout << msg; + if (flush) + std::cout << std::flush; +} + +void noisy_funct_dual(std::string msg, std::string emsg) { + std::cout << msg; + std::cerr << emsg; +} + +TEST_SUBMODULE(iostream, m) { + + add_ostream_redirect(m); + + // test_evals + + m.def("captured_output_default", [](std::string msg) { + py::scoped_ostream_redirect redir; + std::cout << msg << std::flush; + }); + + m.def("captured_output", [](std::string msg) { + py::scoped_ostream_redirect redir(std::cout, py::module::import("sys").attr("stdout")); + std::cout << msg << std::flush; + }); + + m.def("guard_output", &noisy_function, + py::call_guard(), + py::arg("msg"), py::arg("flush")=true); + + m.def("captured_err", [](std::string msg) { + py::scoped_ostream_redirect redir(std::cerr, py::module::import("sys").attr("stderr")); + std::cerr << msg << std::flush; + }); + + m.def("noisy_function", &noisy_function, py::arg("msg"), py::arg("flush") = true); + + m.def("dual_guard", &noisy_funct_dual, + py::call_guard(), + py::arg("msg"), py::arg("emsg")); + + m.def("raw_output", [](std::string msg) { + std::cout << msg << std::flush; + }); + + m.def("raw_err", [](std::string msg) { + std::cerr << msg << std::flush; + }); + + m.def("captured_dual", [](std::string msg, std::string emsg) { + py::scoped_ostream_redirect redirout(std::cout, py::module::import("sys").attr("stdout")); + py::scoped_ostream_redirect redirerr(std::cerr, py::module::import("sys").attr("stderr")); + std::cout << msg << std::flush; + std::cerr << emsg << std::flush; + }); +} diff --git a/external/pybind11/tests/test_iostream.py b/external/pybind11/tests/test_iostream.py new file mode 100644 index 0000000..27095b2 --- /dev/null +++ b/external/pybind11/tests/test_iostream.py @@ -0,0 +1,214 @@ +from pybind11_tests import iostream as m +import sys + +from contextlib import contextmanager + +try: + # Python 3 + from io import StringIO +except ImportError: + # Python 2 + try: + from cStringIO import StringIO + except ImportError: + from StringIO import StringIO + +try: + # Python 3.4 + from contextlib import redirect_stdout +except ImportError: + @contextmanager + def redirect_stdout(target): + original = sys.stdout + sys.stdout = target + yield + sys.stdout = original + +try: + # Python 3.5 + from contextlib import redirect_stderr +except ImportError: + @contextmanager + def redirect_stderr(target): + original = sys.stderr + sys.stderr = target + yield + sys.stderr = original + + +def test_captured(capsys): + msg = "I've been redirected to Python, I hope!" + m.captured_output(msg) + stdout, stderr = capsys.readouterr() + assert stdout == msg + assert stderr == '' + + m.captured_output_default(msg) + stdout, stderr = capsys.readouterr() + assert stdout == msg + assert stderr == '' + + m.captured_err(msg) + stdout, stderr = capsys.readouterr() + assert stdout == '' + assert stderr == msg + + +def test_captured_large_string(capsys): + # Make this bigger than the buffer used on the C++ side: 1024 chars + msg = "I've been redirected to Python, I hope!" + msg = msg * (1024 // len(msg) + 1) + + m.captured_output_default(msg) + stdout, stderr = capsys.readouterr() + assert stdout == msg + assert stderr == '' + + +def test_guard_capture(capsys): + msg = "I've been redirected to Python, I hope!" + m.guard_output(msg) + stdout, stderr = capsys.readouterr() + assert stdout == msg + assert stderr == '' + + +def test_series_captured(capture): + with capture: + m.captured_output("a") + m.captured_output("b") + assert capture == "ab" + + +def test_flush(capfd): + msg = "(not flushed)" + msg2 = "(flushed)" + + with m.ostream_redirect(): + m.noisy_function(msg, flush=False) + stdout, stderr = capfd.readouterr() + assert stdout == '' + + m.noisy_function(msg2, flush=True) + stdout, stderr = capfd.readouterr() + assert stdout == msg + msg2 + + m.noisy_function(msg, flush=False) + + stdout, stderr = capfd.readouterr() + assert stdout == msg + + +def test_not_captured(capfd): + msg = "Something that should not show up in log" + stream = StringIO() + with redirect_stdout(stream): + m.raw_output(msg) + stdout, stderr = capfd.readouterr() + assert stdout == msg + assert stderr == '' + assert stream.getvalue() == '' + + stream = StringIO() + with redirect_stdout(stream): + m.captured_output(msg) + stdout, stderr = capfd.readouterr() + assert stdout == '' + assert stderr == '' + assert stream.getvalue() == msg + + +def test_err(capfd): + msg = "Something that should not show up in log" + stream = StringIO() + with redirect_stderr(stream): + m.raw_err(msg) + stdout, stderr = capfd.readouterr() + assert stdout == '' + assert stderr == msg + assert stream.getvalue() == '' + + stream = StringIO() + with redirect_stderr(stream): + m.captured_err(msg) + stdout, stderr = capfd.readouterr() + assert stdout == '' + assert stderr == '' + assert stream.getvalue() == msg + + +def test_multi_captured(capfd): + stream = StringIO() + with redirect_stdout(stream): + m.captured_output("a") + m.raw_output("b") + m.captured_output("c") + m.raw_output("d") + stdout, stderr = capfd.readouterr() + assert stdout == 'bd' + assert stream.getvalue() == 'ac' + + +def test_dual(capsys): + m.captured_dual("a", "b") + stdout, stderr = capsys.readouterr() + assert stdout == "a" + assert stderr == "b" + + +def test_redirect(capfd): + msg = "Should not be in log!" + stream = StringIO() + with redirect_stdout(stream): + m.raw_output(msg) + stdout, stderr = capfd.readouterr() + assert stdout == msg + assert stream.getvalue() == '' + + stream = StringIO() + with redirect_stdout(stream): + with m.ostream_redirect(): + m.raw_output(msg) + stdout, stderr = capfd.readouterr() + assert stdout == '' + assert stream.getvalue() == msg + + stream = StringIO() + with redirect_stdout(stream): + m.raw_output(msg) + stdout, stderr = capfd.readouterr() + assert stdout == msg + assert stream.getvalue() == '' + + +def test_redirect_err(capfd): + msg = "StdOut" + msg2 = "StdErr" + + stream = StringIO() + with redirect_stderr(stream): + with m.ostream_redirect(stdout=False): + m.raw_output(msg) + m.raw_err(msg2) + stdout, stderr = capfd.readouterr() + assert stdout == msg + assert stderr == '' + assert stream.getvalue() == msg2 + + +def test_redirect_both(capfd): + msg = "StdOut" + msg2 = "StdErr" + + stream = StringIO() + stream2 = StringIO() + with redirect_stdout(stream): + with redirect_stderr(stream2): + with m.ostream_redirect(): + m.raw_output(msg) + m.raw_err(msg2) + stdout, stderr = capfd.readouterr() + assert stdout == '' + assert stderr == '' + assert stream.getvalue() == msg + assert stream2.getvalue() == msg2 diff --git a/external/pybind11/tests/test_kwargs_and_defaults.cpp b/external/pybind11/tests/test_kwargs_and_defaults.cpp new file mode 100644 index 0000000..6563fb9 --- /dev/null +++ b/external/pybind11/tests/test_kwargs_and_defaults.cpp @@ -0,0 +1,102 @@ +/* + tests/test_kwargs_and_defaults.cpp -- keyword arguments and default values + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" +#include "constructor_stats.h" +#include + +TEST_SUBMODULE(kwargs_and_defaults, m) { + auto kw_func = [](int x, int y) { return "x=" + std::to_string(x) + ", y=" + std::to_string(y); }; + + // test_named_arguments + m.def("kw_func0", kw_func); + m.def("kw_func1", kw_func, py::arg("x"), py::arg("y")); + m.def("kw_func2", kw_func, py::arg("x") = 100, py::arg("y") = 200); + m.def("kw_func3", [](const char *) { }, py::arg("data") = std::string("Hello world!")); + + /* A fancier default argument */ + std::vector list{{13, 17}}; + m.def("kw_func4", [](const std::vector &entries) { + std::string ret = "{"; + for (int i : entries) + ret += std::to_string(i) + " "; + ret.back() = '}'; + return ret; + }, py::arg("myList") = list); + + m.def("kw_func_udl", kw_func, "x"_a, "y"_a=300); + m.def("kw_func_udl_z", kw_func, "x"_a, "y"_a=0); + + // test_args_and_kwargs + m.def("args_function", [](py::args args) -> py::tuple { + return std::move(args); + }); + m.def("args_kwargs_function", [](py::args args, py::kwargs kwargs) { + return py::make_tuple(args, kwargs); + }); + + // test_mixed_args_and_kwargs + m.def("mixed_plus_args", [](int i, double j, py::args args) { + return py::make_tuple(i, j, args); + }); + m.def("mixed_plus_kwargs", [](int i, double j, py::kwargs kwargs) { + return py::make_tuple(i, j, kwargs); + }); + auto mixed_plus_both = [](int i, double j, py::args args, py::kwargs kwargs) { + return py::make_tuple(i, j, args, kwargs); + }; + m.def("mixed_plus_args_kwargs", mixed_plus_both); + + m.def("mixed_plus_args_kwargs_defaults", mixed_plus_both, + py::arg("i") = 1, py::arg("j") = 3.14159); + + // test_args_refcount + // PyPy needs a garbage collection to get the reference count values to match CPython's behaviour + #ifdef PYPY_VERSION + #define GC_IF_NEEDED ConstructorStats::gc() + #else + #define GC_IF_NEEDED + #endif + m.def("arg_refcount_h", [](py::handle h) { GC_IF_NEEDED; return h.ref_count(); }); + m.def("arg_refcount_h", [](py::handle h, py::handle, py::handle) { GC_IF_NEEDED; return h.ref_count(); }); + m.def("arg_refcount_o", [](py::object o) { GC_IF_NEEDED; return o.ref_count(); }); + m.def("args_refcount", [](py::args a) { + GC_IF_NEEDED; + py::tuple t(a.size()); + for (size_t i = 0; i < a.size(); i++) + // Use raw Python API here to avoid an extra, intermediate incref on the tuple item: + t[i] = (int) Py_REFCNT(PyTuple_GET_ITEM(a.ptr(), static_cast(i))); + return t; + }); + m.def("mixed_args_refcount", [](py::object o, py::args a) { + GC_IF_NEEDED; + py::tuple t(a.size() + 1); + t[0] = o.ref_count(); + for (size_t i = 0; i < a.size(); i++) + // Use raw Python API here to avoid an extra, intermediate incref on the tuple item: + t[i + 1] = (int) Py_REFCNT(PyTuple_GET_ITEM(a.ptr(), static_cast(i))); + return t; + }); + + // pybind11 won't allow these to be bound: args and kwargs, if present, must be at the end. + // Uncomment these to test that the static_assert is indeed working: +// m.def("bad_args1", [](py::args, int) {}); +// m.def("bad_args2", [](py::kwargs, int) {}); +// m.def("bad_args3", [](py::kwargs, py::args) {}); +// m.def("bad_args4", [](py::args, int, py::kwargs) {}); +// m.def("bad_args5", [](py::args, py::kwargs, int) {}); +// m.def("bad_args6", [](py::args, py::args) {}); +// m.def("bad_args7", [](py::kwargs, py::kwargs) {}); + + // test_function_signatures (along with most of the above) + struct KWClass { void foo(int, float) {} }; + py::class_(m, "KWClass") + .def("foo0", &KWClass::foo) + .def("foo1", &KWClass::foo, "x"_a, "y"_a); +} diff --git a/external/pybind11/tests/test_kwargs_and_defaults.py b/external/pybind11/tests/test_kwargs_and_defaults.py new file mode 100644 index 0000000..27a05a0 --- /dev/null +++ b/external/pybind11/tests/test_kwargs_and_defaults.py @@ -0,0 +1,147 @@ +import pytest +from pybind11_tests import kwargs_and_defaults as m + + +def test_function_signatures(doc): + assert doc(m.kw_func0) == "kw_func0(arg0: int, arg1: int) -> str" + assert doc(m.kw_func1) == "kw_func1(x: int, y: int) -> str" + assert doc(m.kw_func2) == "kw_func2(x: int = 100, y: int = 200) -> str" + assert doc(m.kw_func3) == "kw_func3(data: str = 'Hello world!') -> None" + assert doc(m.kw_func4) == "kw_func4(myList: List[int] = [13, 17]) -> str" + assert doc(m.kw_func_udl) == "kw_func_udl(x: int, y: int = 300) -> str" + assert doc(m.kw_func_udl_z) == "kw_func_udl_z(x: int, y: int = 0) -> str" + assert doc(m.args_function) == "args_function(*args) -> tuple" + assert doc(m.args_kwargs_function) == "args_kwargs_function(*args, **kwargs) -> tuple" + assert doc(m.KWClass.foo0) == \ + "foo0(self: m.kwargs_and_defaults.KWClass, arg0: int, arg1: float) -> None" + assert doc(m.KWClass.foo1) == \ + "foo1(self: m.kwargs_and_defaults.KWClass, x: int, y: float) -> None" + + +def test_named_arguments(msg): + assert m.kw_func0(5, 10) == "x=5, y=10" + + assert m.kw_func1(5, 10) == "x=5, y=10" + assert m.kw_func1(5, y=10) == "x=5, y=10" + assert m.kw_func1(y=10, x=5) == "x=5, y=10" + + assert m.kw_func2() == "x=100, y=200" + assert m.kw_func2(5) == "x=5, y=200" + assert m.kw_func2(x=5) == "x=5, y=200" + assert m.kw_func2(y=10) == "x=100, y=10" + assert m.kw_func2(5, 10) == "x=5, y=10" + assert m.kw_func2(x=5, y=10) == "x=5, y=10" + + with pytest.raises(TypeError) as excinfo: + # noinspection PyArgumentList + m.kw_func2(x=5, y=10, z=12) + assert excinfo.match( + r'(?s)^kw_func2\(\): incompatible.*Invoked with: kwargs: ((x=5|y=10|z=12)(, |$))' + '{3}$') + + assert m.kw_func4() == "{13 17}" + assert m.kw_func4(myList=[1, 2, 3]) == "{1 2 3}" + + assert m.kw_func_udl(x=5, y=10) == "x=5, y=10" + assert m.kw_func_udl_z(x=5) == "x=5, y=0" + + +def test_arg_and_kwargs(): + args = 'arg1_value', 'arg2_value', 3 + assert m.args_function(*args) == args + + args = 'a1', 'a2' + kwargs = dict(arg3='a3', arg4=4) + assert m.args_kwargs_function(*args, **kwargs) == (args, kwargs) + + +def test_mixed_args_and_kwargs(msg): + mpa = m.mixed_plus_args + mpk = m.mixed_plus_kwargs + mpak = m.mixed_plus_args_kwargs + mpakd = m.mixed_plus_args_kwargs_defaults + + assert mpa(1, 2.5, 4, 99.5, None) == (1, 2.5, (4, 99.5, None)) + assert mpa(1, 2.5) == (1, 2.5, ()) + with pytest.raises(TypeError) as excinfo: + assert mpa(1) + assert msg(excinfo.value) == """ + mixed_plus_args(): incompatible function arguments. The following argument types are supported: + 1. (arg0: int, arg1: float, *args) -> tuple + + Invoked with: 1 + """ # noqa: E501 line too long + with pytest.raises(TypeError) as excinfo: + assert mpa() + assert msg(excinfo.value) == """ + mixed_plus_args(): incompatible function arguments. The following argument types are supported: + 1. (arg0: int, arg1: float, *args) -> tuple + + Invoked with: + """ # noqa: E501 line too long + + assert mpk(-2, 3.5, pi=3.14159, e=2.71828) == (-2, 3.5, {'e': 2.71828, 'pi': 3.14159}) + assert mpak(7, 7.7, 7.77, 7.777, 7.7777, minusseven=-7) == ( + 7, 7.7, (7.77, 7.777, 7.7777), {'minusseven': -7}) + assert mpakd() == (1, 3.14159, (), {}) + assert mpakd(3) == (3, 3.14159, (), {}) + assert mpakd(j=2.71828) == (1, 2.71828, (), {}) + assert mpakd(k=42) == (1, 3.14159, (), {'k': 42}) + assert mpakd(1, 1, 2, 3, 5, 8, then=13, followedby=21) == ( + 1, 1, (2, 3, 5, 8), {'then': 13, 'followedby': 21}) + # Arguments specified both positionally and via kwargs should fail: + with pytest.raises(TypeError) as excinfo: + assert mpakd(1, i=1) + assert msg(excinfo.value) == """ + mixed_plus_args_kwargs_defaults(): incompatible function arguments. The following argument types are supported: + 1. (i: int = 1, j: float = 3.14159, *args, **kwargs) -> tuple + + Invoked with: 1; kwargs: i=1 + """ # noqa: E501 line too long + with pytest.raises(TypeError) as excinfo: + assert mpakd(1, 2, j=1) + assert msg(excinfo.value) == """ + mixed_plus_args_kwargs_defaults(): incompatible function arguments. The following argument types are supported: + 1. (i: int = 1, j: float = 3.14159, *args, **kwargs) -> tuple + + Invoked with: 1, 2; kwargs: j=1 + """ # noqa: E501 line too long + + +def test_args_refcount(): + """Issue/PR #1216 - py::args elements get double-inc_ref()ed when combined with regular + arguments""" + refcount = m.arg_refcount_h + + myval = 54321 + expected = refcount(myval) + assert m.arg_refcount_h(myval) == expected + assert m.arg_refcount_o(myval) == expected + 1 + assert m.arg_refcount_h(myval) == expected + assert refcount(myval) == expected + + assert m.mixed_plus_args(1, 2.0, "a", myval) == (1, 2.0, ("a", myval)) + assert refcount(myval) == expected + + assert m.mixed_plus_kwargs(3, 4.0, a=1, b=myval) == (3, 4.0, {"a": 1, "b": myval}) + assert refcount(myval) == expected + + assert m.args_function(-1, myval) == (-1, myval) + assert refcount(myval) == expected + + assert m.mixed_plus_args_kwargs(5, 6.0, myval, a=myval) == (5, 6.0, (myval,), {"a": myval}) + assert refcount(myval) == expected + + assert m.args_kwargs_function(7, 8, myval, a=1, b=myval) == \ + ((7, 8, myval), {"a": 1, "b": myval}) + assert refcount(myval) == expected + + exp3 = refcount(myval, myval, myval) + assert m.args_refcount(myval, myval, myval) == (exp3, exp3, exp3) + assert refcount(myval) == expected + + # This function takes the first arg as a `py::object` and the rest as a `py::args`. Unlike the + # previous case, when we have both positional and `py::args` we need to construct a new tuple + # for the `py::args`; in the previous case, we could simply inc_ref and pass on Python's input + # tuple without having to inc_ref the individual elements, but here we can't, hence the extra + # refs. + assert m.mixed_args_refcount(myval, myval, myval) == (exp3 + 3, exp3 + 3, exp3 + 3) diff --git a/external/pybind11/tests/test_local_bindings.cpp b/external/pybind11/tests/test_local_bindings.cpp new file mode 100644 index 0000000..97c02db --- /dev/null +++ b/external/pybind11/tests/test_local_bindings.cpp @@ -0,0 +1,101 @@ +/* + tests/test_local_bindings.cpp -- tests the py::module_local class feature which makes a class + binding local to the module in which it is defined. + + Copyright (c) 2017 Jason Rhinelander + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" +#include "local_bindings.h" +#include +#include +#include + +TEST_SUBMODULE(local_bindings, m) { + // test_load_external + m.def("load_external1", [](ExternalType1 &e) { return e.i; }); + m.def("load_external2", [](ExternalType2 &e) { return e.i; }); + + // test_local_bindings + // Register a class with py::module_local: + bind_local(m, "LocalType", py::module_local()) + .def("get3", [](LocalType &t) { return t.i + 3; }) + ; + + m.def("local_value", [](LocalType &l) { return l.i; }); + + // test_nonlocal_failure + // The main pybind11 test module is loaded first, so this registration will succeed (the second + // one, in pybind11_cross_module_tests.cpp, is designed to fail): + bind_local(m, "NonLocalType") + .def(py::init()) + .def("get", [](LocalType &i) { return i.i; }) + ; + + // test_duplicate_local + // py::module_local declarations should be visible across compilation units that get linked together; + // this tries to register a duplicate local. It depends on a definition in test_class.cpp and + // should raise a runtime error from the duplicate definition attempt. If test_class isn't + // available it *also* throws a runtime error (with "test_class not enabled" as value). + m.def("register_local_external", [m]() { + auto main = py::module::import("pybind11_tests"); + if (py::hasattr(main, "class_")) { + bind_local(m, "LocalExternal", py::module_local()); + } + else throw std::runtime_error("test_class not enabled"); + }); + + // test_stl_bind_local + // stl_bind.h binders defaults to py::module_local if the types are local or converting: + py::bind_vector(m, "LocalVec"); + py::bind_map(m, "LocalMap"); + // and global if the type (or one of the types, for the map) is global: + py::bind_vector(m, "NonLocalVec"); + py::bind_map(m, "NonLocalMap"); + + // test_stl_bind_global + // They can, however, be overridden to global using `py::module_local(false)`: + bind_local(m, "NonLocal2"); + py::bind_vector(m, "LocalVec2", py::module_local()); + py::bind_map(m, "NonLocalMap2", py::module_local(false)); + + // test_mixed_local_global + // We try this both with the global type registered first and vice versa (the order shouldn't + // matter). + m.def("register_mixed_global", [m]() { + bind_local(m, "MixedGlobalLocal", py::module_local(false)); + }); + m.def("register_mixed_local", [m]() { + bind_local(m, "MixedLocalGlobal", py::module_local()); + }); + m.def("get_mixed_gl", [](int i) { return MixedGlobalLocal(i); }); + m.def("get_mixed_lg", [](int i) { return MixedLocalGlobal(i); }); + + // test_internal_locals_differ + m.def("local_cpp_types_addr", []() { return (uintptr_t) &py::detail::registered_local_types_cpp(); }); + + // test_stl_caster_vs_stl_bind + m.def("load_vector_via_caster", [](std::vector v) { + return std::accumulate(v.begin(), v.end(), 0); + }); + + // test_cross_module_calls + m.def("return_self", [](LocalVec *v) { return v; }); + m.def("return_copy", [](const LocalVec &v) { return LocalVec(v); }); + + class Cat : public pets::Pet { public: Cat(std::string name) : Pet(name) {}; }; + py::class_(m, "Pet", py::module_local()) + .def("get_name", &pets::Pet::name); + // Binding for local extending class: + py::class_(m, "Cat") + .def(py::init()); + m.def("pet_name", [](pets::Pet &p) { return p.name(); }); + + py::class_(m, "MixGL").def(py::init()); + m.def("get_gl_value", [](MixGL &o) { return o.i + 10; }); + + py::class_(m, "MixGL2").def(py::init()); +} diff --git a/external/pybind11/tests/test_local_bindings.py b/external/pybind11/tests/test_local_bindings.py new file mode 100644 index 0000000..b380376 --- /dev/null +++ b/external/pybind11/tests/test_local_bindings.py @@ -0,0 +1,226 @@ +import pytest + +from pybind11_tests import local_bindings as m + + +def test_load_external(): + """Load a `py::module_local` type that's only registered in an external module""" + import pybind11_cross_module_tests as cm + + assert m.load_external1(cm.ExternalType1(11)) == 11 + assert m.load_external2(cm.ExternalType2(22)) == 22 + + with pytest.raises(TypeError) as excinfo: + assert m.load_external2(cm.ExternalType1(21)) == 21 + assert "incompatible function arguments" in str(excinfo.value) + + with pytest.raises(TypeError) as excinfo: + assert m.load_external1(cm.ExternalType2(12)) == 12 + assert "incompatible function arguments" in str(excinfo.value) + + +def test_local_bindings(): + """Tests that duplicate `py::module_local` class bindings work across modules""" + + # Make sure we can load the second module with the conflicting (but local) definition: + import pybind11_cross_module_tests as cm + + i1 = m.LocalType(5) + assert i1.get() == 4 + assert i1.get3() == 8 + + i2 = cm.LocalType(10) + assert i2.get() == 11 + assert i2.get2() == 12 + + assert not hasattr(i1, 'get2') + assert not hasattr(i2, 'get3') + + # Loading within the local module + assert m.local_value(i1) == 5 + assert cm.local_value(i2) == 10 + + # Cross-module loading works as well (on failure, the type loader looks for + # external module-local converters): + assert m.local_value(i2) == 10 + assert cm.local_value(i1) == 5 + + +def test_nonlocal_failure(): + """Tests that attempting to register a non-local type in multiple modules fails""" + import pybind11_cross_module_tests as cm + + with pytest.raises(RuntimeError) as excinfo: + cm.register_nonlocal() + assert str(excinfo.value) == 'generic_type: type "NonLocalType" is already registered!' + + +def test_duplicate_local(): + """Tests expected failure when registering a class twice with py::local in the same module""" + with pytest.raises(RuntimeError) as excinfo: + m.register_local_external() + import pybind11_tests + assert str(excinfo.value) == ( + 'generic_type: type "LocalExternal" is already registered!' + if hasattr(pybind11_tests, 'class_') else 'test_class not enabled') + + +def test_stl_bind_local(): + import pybind11_cross_module_tests as cm + + v1, v2 = m.LocalVec(), cm.LocalVec() + v1.append(m.LocalType(1)) + v1.append(m.LocalType(2)) + v2.append(cm.LocalType(1)) + v2.append(cm.LocalType(2)) + + # Cross module value loading: + v1.append(cm.LocalType(3)) + v2.append(m.LocalType(3)) + + assert [i.get() for i in v1] == [0, 1, 2] + assert [i.get() for i in v2] == [2, 3, 4] + + v3, v4 = m.NonLocalVec(), cm.NonLocalVec2() + v3.append(m.NonLocalType(1)) + v3.append(m.NonLocalType(2)) + v4.append(m.NonLocal2(3)) + v4.append(m.NonLocal2(4)) + + assert [i.get() for i in v3] == [1, 2] + assert [i.get() for i in v4] == [13, 14] + + d1, d2 = m.LocalMap(), cm.LocalMap() + d1["a"] = v1[0] + d1["b"] = v1[1] + d2["c"] = v2[0] + d2["d"] = v2[1] + assert {i: d1[i].get() for i in d1} == {'a': 0, 'b': 1} + assert {i: d2[i].get() for i in d2} == {'c': 2, 'd': 3} + + +def test_stl_bind_global(): + import pybind11_cross_module_tests as cm + + with pytest.raises(RuntimeError) as excinfo: + cm.register_nonlocal_map() + assert str(excinfo.value) == 'generic_type: type "NonLocalMap" is already registered!' + + with pytest.raises(RuntimeError) as excinfo: + cm.register_nonlocal_vec() + assert str(excinfo.value) == 'generic_type: type "NonLocalVec" is already registered!' + + with pytest.raises(RuntimeError) as excinfo: + cm.register_nonlocal_map2() + assert str(excinfo.value) == 'generic_type: type "NonLocalMap2" is already registered!' + + +def test_mixed_local_global(): + """Local types take precedence over globally registered types: a module with a `module_local` + type can be registered even if the type is already registered globally. With the module, + casting will go to the local type; outside the module casting goes to the global type.""" + import pybind11_cross_module_tests as cm + m.register_mixed_global() + m.register_mixed_local() + + a = [] + a.append(m.MixedGlobalLocal(1)) + a.append(m.MixedLocalGlobal(2)) + a.append(m.get_mixed_gl(3)) + a.append(m.get_mixed_lg(4)) + + assert [x.get() for x in a] == [101, 1002, 103, 1004] + + cm.register_mixed_global_local() + cm.register_mixed_local_global() + a.append(m.MixedGlobalLocal(5)) + a.append(m.MixedLocalGlobal(6)) + a.append(cm.MixedGlobalLocal(7)) + a.append(cm.MixedLocalGlobal(8)) + a.append(m.get_mixed_gl(9)) + a.append(m.get_mixed_lg(10)) + a.append(cm.get_mixed_gl(11)) + a.append(cm.get_mixed_lg(12)) + + assert [x.get() for x in a] == \ + [101, 1002, 103, 1004, 105, 1006, 207, 2008, 109, 1010, 211, 2012] + + +def test_internal_locals_differ(): + """Makes sure the internal local type map differs across the two modules""" + import pybind11_cross_module_tests as cm + assert m.local_cpp_types_addr() != cm.local_cpp_types_addr() + + +def test_stl_caster_vs_stl_bind(msg): + """One module uses a generic vector caster from `` while the other + exports `std::vector` via `py:bind_vector` and `py::module_local`""" + import pybind11_cross_module_tests as cm + + v1 = cm.VectorInt([1, 2, 3]) + assert m.load_vector_via_caster(v1) == 6 + assert cm.load_vector_via_binding(v1) == 6 + + v2 = [1, 2, 3] + assert m.load_vector_via_caster(v2) == 6 + with pytest.raises(TypeError) as excinfo: + cm.load_vector_via_binding(v2) == 6 + assert msg(excinfo.value) == """ + load_vector_via_binding(): incompatible function arguments. The following argument types are supported: + 1. (arg0: pybind11_cross_module_tests.VectorInt) -> int + + Invoked with: [1, 2, 3] + """ # noqa: E501 line too long + + +def test_cross_module_calls(): + import pybind11_cross_module_tests as cm + + v1 = m.LocalVec() + v1.append(m.LocalType(1)) + v2 = cm.LocalVec() + v2.append(cm.LocalType(2)) + + # Returning the self pointer should get picked up as returning an existing + # instance (even when that instance is of a foreign, non-local type). + assert m.return_self(v1) is v1 + assert cm.return_self(v2) is v2 + assert m.return_self(v2) is v2 + assert cm.return_self(v1) is v1 + + assert m.LocalVec is not cm.LocalVec + # Returning a copy, on the other hand, always goes to the local type, + # regardless of where the source type came from. + assert type(m.return_copy(v1)) is m.LocalVec + assert type(m.return_copy(v2)) is m.LocalVec + assert type(cm.return_copy(v1)) is cm.LocalVec + assert type(cm.return_copy(v2)) is cm.LocalVec + + # Test the example given in the documentation (which also tests inheritance casting): + mycat = m.Cat("Fluffy") + mydog = cm.Dog("Rover") + assert mycat.get_name() == "Fluffy" + assert mydog.name() == "Rover" + assert m.Cat.__base__.__name__ == "Pet" + assert cm.Dog.__base__.__name__ == "Pet" + assert m.Cat.__base__ is not cm.Dog.__base__ + assert m.pet_name(mycat) == "Fluffy" + assert m.pet_name(mydog) == "Rover" + assert cm.pet_name(mycat) == "Fluffy" + assert cm.pet_name(mydog) == "Rover" + + assert m.MixGL is not cm.MixGL + a = m.MixGL(1) + b = cm.MixGL(2) + assert m.get_gl_value(a) == 11 + assert m.get_gl_value(b) == 12 + assert cm.get_gl_value(a) == 101 + assert cm.get_gl_value(b) == 102 + + c, d = m.MixGL2(3), cm.MixGL2(4) + with pytest.raises(TypeError) as excinfo: + m.get_gl_value(c) + assert "incompatible function arguments" in str(excinfo.value) + with pytest.raises(TypeError) as excinfo: + m.get_gl_value(d) + assert "incompatible function arguments" in str(excinfo.value) diff --git a/external/pybind11/tests/test_methods_and_attributes.cpp b/external/pybind11/tests/test_methods_and_attributes.cpp new file mode 100644 index 0000000..c7b82f1 --- /dev/null +++ b/external/pybind11/tests/test_methods_and_attributes.cpp @@ -0,0 +1,460 @@ +/* + tests/test_methods_and_attributes.cpp -- constructors, deconstructors, attribute access, + __str__, argument and return value conventions + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" +#include "constructor_stats.h" + +#if !defined(PYBIND11_OVERLOAD_CAST) +template +using overload_cast_ = pybind11::detail::overload_cast_impl; +#endif + +class ExampleMandA { +public: + ExampleMandA() { print_default_created(this); } + ExampleMandA(int value) : value(value) { print_created(this, value); } + ExampleMandA(const ExampleMandA &e) : value(e.value) { print_copy_created(this); } + ExampleMandA(ExampleMandA &&e) : value(e.value) { print_move_created(this); } + ~ExampleMandA() { print_destroyed(this); } + + std::string toString() { + return "ExampleMandA[value=" + std::to_string(value) + "]"; + } + + void operator=(const ExampleMandA &e) { print_copy_assigned(this); value = e.value; } + void operator=(ExampleMandA &&e) { print_move_assigned(this); value = e.value; } + + void add1(ExampleMandA other) { value += other.value; } // passing by value + void add2(ExampleMandA &other) { value += other.value; } // passing by reference + void add3(const ExampleMandA &other) { value += other.value; } // passing by const reference + void add4(ExampleMandA *other) { value += other->value; } // passing by pointer + void add5(const ExampleMandA *other) { value += other->value; } // passing by const pointer + + void add6(int other) { value += other; } // passing by value + void add7(int &other) { value += other; } // passing by reference + void add8(const int &other) { value += other; } // passing by const reference + void add9(int *other) { value += *other; } // passing by pointer + void add10(const int *other) { value += *other; } // passing by const pointer + + ExampleMandA self1() { return *this; } // return by value + ExampleMandA &self2() { return *this; } // return by reference + const ExampleMandA &self3() { return *this; } // return by const reference + ExampleMandA *self4() { return this; } // return by pointer + const ExampleMandA *self5() { return this; } // return by const pointer + + int internal1() { return value; } // return by value + int &internal2() { return value; } // return by reference + const int &internal3() { return value; } // return by const reference + int *internal4() { return &value; } // return by pointer + const int *internal5() { return &value; } // return by const pointer + + py::str overloaded() { return "()"; } + py::str overloaded(int) { return "(int)"; } + py::str overloaded(int, float) { return "(int, float)"; } + py::str overloaded(float, int) { return "(float, int)"; } + py::str overloaded(int, int) { return "(int, int)"; } + py::str overloaded(float, float) { return "(float, float)"; } + py::str overloaded(int) const { return "(int) const"; } + py::str overloaded(int, float) const { return "(int, float) const"; } + py::str overloaded(float, int) const { return "(float, int) const"; } + py::str overloaded(int, int) const { return "(int, int) const"; } + py::str overloaded(float, float) const { return "(float, float) const"; } + + static py::str overloaded(float) { return "static float"; } + + int value = 0; +}; + +struct TestProperties { + int value = 1; + static int static_value; + + int get() const { return value; } + void set(int v) { value = v; } + + static int static_get() { return static_value; } + static void static_set(int v) { static_value = v; } +}; +int TestProperties::static_value = 1; + +struct TestPropertiesOverride : TestProperties { + int value = 99; + static int static_value; +}; +int TestPropertiesOverride::static_value = 99; + +struct TestPropRVP { + UserType v1{1}; + UserType v2{1}; + static UserType sv1; + static UserType sv2; + + const UserType &get1() const { return v1; } + const UserType &get2() const { return v2; } + UserType get_rvalue() const { return v2; } + void set1(int v) { v1.set(v); } + void set2(int v) { v2.set(v); } +}; +UserType TestPropRVP::sv1(1); +UserType TestPropRVP::sv2(1); + +// py::arg/py::arg_v testing: these arguments just record their argument when invoked +class ArgInspector1 { public: std::string arg = "(default arg inspector 1)"; }; +class ArgInspector2 { public: std::string arg = "(default arg inspector 2)"; }; +class ArgAlwaysConverts { }; +namespace pybind11 { namespace detail { +template <> struct type_caster { +public: + PYBIND11_TYPE_CASTER(ArgInspector1, _("ArgInspector1")); + + bool load(handle src, bool convert) { + value.arg = "loading ArgInspector1 argument " + + std::string(convert ? "WITH" : "WITHOUT") + " conversion allowed. " + "Argument value = " + (std::string) str(src); + return true; + } + + static handle cast(const ArgInspector1 &src, return_value_policy, handle) { + return str(src.arg).release(); + } +}; +template <> struct type_caster { +public: + PYBIND11_TYPE_CASTER(ArgInspector2, _("ArgInspector2")); + + bool load(handle src, bool convert) { + value.arg = "loading ArgInspector2 argument " + + std::string(convert ? "WITH" : "WITHOUT") + " conversion allowed. " + "Argument value = " + (std::string) str(src); + return true; + } + + static handle cast(const ArgInspector2 &src, return_value_policy, handle) { + return str(src.arg).release(); + } +}; +template <> struct type_caster { +public: + PYBIND11_TYPE_CASTER(ArgAlwaysConverts, _("ArgAlwaysConverts")); + + bool load(handle, bool convert) { + return convert; + } + + static handle cast(const ArgAlwaysConverts &, return_value_policy, handle) { + return py::none().release(); + } +}; +}} + +// test_custom_caster_destruction +class DestructionTester { +public: + DestructionTester() { print_default_created(this); } + ~DestructionTester() { print_destroyed(this); } + DestructionTester(const DestructionTester &) { print_copy_created(this); } + DestructionTester(DestructionTester &&) { print_move_created(this); } + DestructionTester &operator=(const DestructionTester &) { print_copy_assigned(this); return *this; } + DestructionTester &operator=(DestructionTester &&) { print_move_assigned(this); return *this; } +}; +namespace pybind11 { namespace detail { +template <> struct type_caster { + PYBIND11_TYPE_CASTER(DestructionTester, _("DestructionTester")); + bool load(handle, bool) { return true; } + + static handle cast(const DestructionTester &, return_value_policy, handle) { + return py::bool_(true).release(); + } +}; +}} + +// Test None-allowed py::arg argument policy +class NoneTester { public: int answer = 42; }; +int none1(const NoneTester &obj) { return obj.answer; } +int none2(NoneTester *obj) { return obj ? obj->answer : -1; } +int none3(std::shared_ptr &obj) { return obj ? obj->answer : -1; } +int none4(std::shared_ptr *obj) { return obj && *obj ? (*obj)->answer : -1; } +int none5(std::shared_ptr obj) { return obj ? obj->answer : -1; } + +struct StrIssue { + int val = -1; + + StrIssue() = default; + StrIssue(int i) : val{i} {} +}; + +// Issues #854, #910: incompatible function args when member function/pointer is in unregistered base class +class UnregisteredBase { +public: + void do_nothing() const {} + void increase_value() { rw_value++; ro_value += 0.25; } + void set_int(int v) { rw_value = v; } + int get_int() const { return rw_value; } + double get_double() const { return ro_value; } + int rw_value = 42; + double ro_value = 1.25; +}; +class RegisteredDerived : public UnregisteredBase { +public: + using UnregisteredBase::UnregisteredBase; + double sum() const { return rw_value + ro_value; } +}; + +TEST_SUBMODULE(methods_and_attributes, m) { + // test_methods_and_attributes + py::class_ emna(m, "ExampleMandA"); + emna.def(py::init<>()) + .def(py::init()) + .def(py::init()) + .def("add1", &ExampleMandA::add1) + .def("add2", &ExampleMandA::add2) + .def("add3", &ExampleMandA::add3) + .def("add4", &ExampleMandA::add4) + .def("add5", &ExampleMandA::add5) + .def("add6", &ExampleMandA::add6) + .def("add7", &ExampleMandA::add7) + .def("add8", &ExampleMandA::add8) + .def("add9", &ExampleMandA::add9) + .def("add10", &ExampleMandA::add10) + .def("self1", &ExampleMandA::self1) + .def("self2", &ExampleMandA::self2) + .def("self3", &ExampleMandA::self3) + .def("self4", &ExampleMandA::self4) + .def("self5", &ExampleMandA::self5) + .def("internal1", &ExampleMandA::internal1) + .def("internal2", &ExampleMandA::internal2) + .def("internal3", &ExampleMandA::internal3) + .def("internal4", &ExampleMandA::internal4) + .def("internal5", &ExampleMandA::internal5) +#if defined(PYBIND11_OVERLOAD_CAST) + .def("overloaded", py::overload_cast<>(&ExampleMandA::overloaded)) + .def("overloaded", py::overload_cast(&ExampleMandA::overloaded)) + .def("overloaded", py::overload_cast(&ExampleMandA::overloaded)) + .def("overloaded", py::overload_cast(&ExampleMandA::overloaded)) + .def("overloaded", py::overload_cast(&ExampleMandA::overloaded)) + .def("overloaded", py::overload_cast(&ExampleMandA::overloaded)) + .def("overloaded_float", py::overload_cast(&ExampleMandA::overloaded)) + .def("overloaded_const", py::overload_cast(&ExampleMandA::overloaded, py::const_)) + .def("overloaded_const", py::overload_cast(&ExampleMandA::overloaded, py::const_)) + .def("overloaded_const", py::overload_cast(&ExampleMandA::overloaded, py::const_)) + .def("overloaded_const", py::overload_cast(&ExampleMandA::overloaded, py::const_)) + .def("overloaded_const", py::overload_cast(&ExampleMandA::overloaded, py::const_)) +#else + // Use both the traditional static_cast method and the C++11 compatible overload_cast_ + .def("overloaded", overload_cast_<>()(&ExampleMandA::overloaded)) + .def("overloaded", overload_cast_()(&ExampleMandA::overloaded)) + .def("overloaded", overload_cast_()(&ExampleMandA::overloaded)) + .def("overloaded", static_cast(&ExampleMandA::overloaded)) + .def("overloaded", static_cast(&ExampleMandA::overloaded)) + .def("overloaded", static_cast(&ExampleMandA::overloaded)) + .def("overloaded_float", overload_cast_()(&ExampleMandA::overloaded)) + .def("overloaded_const", overload_cast_()(&ExampleMandA::overloaded, py::const_)) + .def("overloaded_const", overload_cast_()(&ExampleMandA::overloaded, py::const_)) + .def("overloaded_const", static_cast(&ExampleMandA::overloaded)) + .def("overloaded_const", static_cast(&ExampleMandA::overloaded)) + .def("overloaded_const", static_cast(&ExampleMandA::overloaded)) +#endif + // test_no_mixed_overloads + // Raise error if trying to mix static/non-static overloads on the same name: + .def_static("add_mixed_overloads1", []() { + auto emna = py::reinterpret_borrow>(py::module::import("pybind11_tests.methods_and_attributes").attr("ExampleMandA")); + emna.def ("overload_mixed1", static_cast(&ExampleMandA::overloaded)) + .def_static("overload_mixed1", static_cast(&ExampleMandA::overloaded)); + }) + .def_static("add_mixed_overloads2", []() { + auto emna = py::reinterpret_borrow>(py::module::import("pybind11_tests.methods_and_attributes").attr("ExampleMandA")); + emna.def_static("overload_mixed2", static_cast(&ExampleMandA::overloaded)) + .def ("overload_mixed2", static_cast(&ExampleMandA::overloaded)); + }) + .def("__str__", &ExampleMandA::toString) + .def_readwrite("value", &ExampleMandA::value); + + // test_copy_method + // Issue #443: can't call copied methods in Python 3 + emna.attr("add2b") = emna.attr("add2"); + + // test_properties, test_static_properties, test_static_cls + py::class_(m, "TestProperties") + .def(py::init<>()) + .def_readonly("def_readonly", &TestProperties::value) + .def_readwrite("def_readwrite", &TestProperties::value) + .def_property("def_writeonly", nullptr, + [](TestProperties& s,int v) { s.value = v; } ) + .def_property("def_property_writeonly", nullptr, &TestProperties::set) + .def_property_readonly("def_property_readonly", &TestProperties::get) + .def_property("def_property", &TestProperties::get, &TestProperties::set) + .def_property("def_property_impossible", nullptr, nullptr) + .def_readonly_static("def_readonly_static", &TestProperties::static_value) + .def_readwrite_static("def_readwrite_static", &TestProperties::static_value) + .def_property_static("def_writeonly_static", nullptr, + [](py::object, int v) { TestProperties::static_value = v; }) + .def_property_readonly_static("def_property_readonly_static", + [](py::object) { return TestProperties::static_get(); }) + .def_property_static("def_property_writeonly_static", nullptr, + [](py::object, int v) { return TestProperties::static_set(v); }) + .def_property_static("def_property_static", + [](py::object) { return TestProperties::static_get(); }, + [](py::object, int v) { TestProperties::static_set(v); }) + .def_property_static("static_cls", + [](py::object cls) { return cls; }, + [](py::object cls, py::function f) { f(cls); }); + + py::class_(m, "TestPropertiesOverride") + .def(py::init<>()) + .def_readonly("def_readonly", &TestPropertiesOverride::value) + .def_readonly_static("def_readonly_static", &TestPropertiesOverride::static_value); + + auto static_get1 = [](py::object) -> const UserType & { return TestPropRVP::sv1; }; + auto static_get2 = [](py::object) -> const UserType & { return TestPropRVP::sv2; }; + auto static_set1 = [](py::object, int v) { TestPropRVP::sv1.set(v); }; + auto static_set2 = [](py::object, int v) { TestPropRVP::sv2.set(v); }; + auto rvp_copy = py::return_value_policy::copy; + + // test_property_return_value_policies + py::class_(m, "TestPropRVP") + .def(py::init<>()) + .def_property_readonly("ro_ref", &TestPropRVP::get1) + .def_property_readonly("ro_copy", &TestPropRVP::get2, rvp_copy) + .def_property_readonly("ro_func", py::cpp_function(&TestPropRVP::get2, rvp_copy)) + .def_property("rw_ref", &TestPropRVP::get1, &TestPropRVP::set1) + .def_property("rw_copy", &TestPropRVP::get2, &TestPropRVP::set2, rvp_copy) + .def_property("rw_func", py::cpp_function(&TestPropRVP::get2, rvp_copy), &TestPropRVP::set2) + .def_property_readonly_static("static_ro_ref", static_get1) + .def_property_readonly_static("static_ro_copy", static_get2, rvp_copy) + .def_property_readonly_static("static_ro_func", py::cpp_function(static_get2, rvp_copy)) + .def_property_static("static_rw_ref", static_get1, static_set1) + .def_property_static("static_rw_copy", static_get2, static_set2, rvp_copy) + .def_property_static("static_rw_func", py::cpp_function(static_get2, rvp_copy), static_set2) + // test_property_rvalue_policy + .def_property_readonly("rvalue", &TestPropRVP::get_rvalue) + .def_property_readonly_static("static_rvalue", [](py::object) { return UserType(1); }); + + // test_metaclass_override + struct MetaclassOverride { }; + py::class_(m, "MetaclassOverride", py::metaclass((PyObject *) &PyType_Type)) + .def_property_readonly_static("readonly", [](py::object) { return 1; }); + +#if !defined(PYPY_VERSION) + // test_dynamic_attributes + class DynamicClass { + public: + DynamicClass() { print_default_created(this); } + ~DynamicClass() { print_destroyed(this); } + }; + py::class_(m, "DynamicClass", py::dynamic_attr()) + .def(py::init()); + + class CppDerivedDynamicClass : public DynamicClass { }; + py::class_(m, "CppDerivedDynamicClass") + .def(py::init()); +#endif + + // test_noconvert_args + // + // Test converting. The ArgAlwaysConverts is just there to make the first no-conversion pass + // fail so that our call always ends up happening via the second dispatch (the one that allows + // some conversion). + class ArgInspector { + public: + ArgInspector1 f(ArgInspector1 a, ArgAlwaysConverts) { return a; } + std::string g(ArgInspector1 a, const ArgInspector1 &b, int c, ArgInspector2 *d, ArgAlwaysConverts) { + return a.arg + "\n" + b.arg + "\n" + std::to_string(c) + "\n" + d->arg; + } + static ArgInspector2 h(ArgInspector2 a, ArgAlwaysConverts) { return a; } + }; + py::class_(m, "ArgInspector") + .def(py::init<>()) + .def("f", &ArgInspector::f, py::arg(), py::arg() = ArgAlwaysConverts()) + .def("g", &ArgInspector::g, "a"_a.noconvert(), "b"_a, "c"_a.noconvert()=13, "d"_a=ArgInspector2(), py::arg() = ArgAlwaysConverts()) + .def_static("h", &ArgInspector::h, py::arg().noconvert(), py::arg() = ArgAlwaysConverts()) + ; + m.def("arg_inspect_func", [](ArgInspector2 a, ArgInspector1 b, ArgAlwaysConverts) { return a.arg + "\n" + b.arg; }, + py::arg().noconvert(false), py::arg_v(nullptr, ArgInspector1()).noconvert(true), py::arg() = ArgAlwaysConverts()); + + m.def("floats_preferred", [](double f) { return 0.5 * f; }, py::arg("f")); + m.def("floats_only", [](double f) { return 0.5 * f; }, py::arg("f").noconvert()); + m.def("ints_preferred", [](int i) { return i / 2; }, py::arg("i")); + m.def("ints_only", [](int i) { return i / 2; }, py::arg("i").noconvert()); + + // test_bad_arg_default + // Issue/PR #648: bad arg default debugging output +#if !defined(NDEBUG) + m.attr("debug_enabled") = true; +#else + m.attr("debug_enabled") = false; +#endif + m.def("bad_arg_def_named", []{ + auto m = py::module::import("pybind11_tests"); + m.def("should_fail", [](int, UnregisteredType) {}, py::arg(), py::arg("a") = UnregisteredType()); + }); + m.def("bad_arg_def_unnamed", []{ + auto m = py::module::import("pybind11_tests"); + m.def("should_fail", [](int, UnregisteredType) {}, py::arg(), py::arg() = UnregisteredType()); + }); + + // test_accepts_none + py::class_>(m, "NoneTester") + .def(py::init<>()); + m.def("no_none1", &none1, py::arg().none(false)); + m.def("no_none2", &none2, py::arg().none(false)); + m.def("no_none3", &none3, py::arg().none(false)); + m.def("no_none4", &none4, py::arg().none(false)); + m.def("no_none5", &none5, py::arg().none(false)); + m.def("ok_none1", &none1); + m.def("ok_none2", &none2, py::arg().none(true)); + m.def("ok_none3", &none3); + m.def("ok_none4", &none4, py::arg().none(true)); + m.def("ok_none5", &none5); + + // test_str_issue + // Issue #283: __str__ called on uninitialized instance when constructor arguments invalid + py::class_(m, "StrIssue") + .def(py::init()) + .def(py::init<>()) + .def("__str__", [](const StrIssue &si) { + return "StrIssue[" + std::to_string(si.val) + "]"; } + ); + + // test_unregistered_base_implementations + // + // Issues #854/910: incompatible function args when member function/pointer is in unregistered + // base class The methods and member pointers below actually resolve to members/pointers in + // UnregisteredBase; before this test/fix they would be registered via lambda with a first + // argument of an unregistered type, and thus uncallable. + py::class_(m, "RegisteredDerived") + .def(py::init<>()) + .def("do_nothing", &RegisteredDerived::do_nothing) + .def("increase_value", &RegisteredDerived::increase_value) + .def_readwrite("rw_value", &RegisteredDerived::rw_value) + .def_readonly("ro_value", &RegisteredDerived::ro_value) + // These should trigger a static_assert if uncommented + //.def_readwrite("fails", &UserType::value) // should trigger a static_assert if uncommented + //.def_readonly("fails", &UserType::value) // should trigger a static_assert if uncommented + .def_property("rw_value_prop", &RegisteredDerived::get_int, &RegisteredDerived::set_int) + .def_property_readonly("ro_value_prop", &RegisteredDerived::get_double) + // This one is in the registered class: + .def("sum", &RegisteredDerived::sum) + ; + + using Adapted = decltype(py::method_adaptor(&RegisteredDerived::do_nothing)); + static_assert(std::is_same::value, ""); + + // test_custom_caster_destruction + // Test that `take_ownership` works on types with a custom type caster when given a pointer + + // default policy: don't take ownership: + m.def("custom_caster_no_destroy", []() { static auto *dt = new DestructionTester(); return dt; }); + + m.def("custom_caster_destroy", []() { return new DestructionTester(); }, + py::return_value_policy::take_ownership); // Takes ownership: destroy when finished + m.def("custom_caster_destroy_const", []() -> const DestructionTester * { return new DestructionTester(); }, + py::return_value_policy::take_ownership); // Likewise (const doesn't inhibit destruction) + m.def("destruction_tester_cstats", &ConstructorStats::get, py::return_value_policy::reference); +} diff --git a/external/pybind11/tests/test_methods_and_attributes.py b/external/pybind11/tests/test_methods_and_attributes.py new file mode 100644 index 0000000..f1c862b --- /dev/null +++ b/external/pybind11/tests/test_methods_and_attributes.py @@ -0,0 +1,512 @@ +import pytest +from pybind11_tests import methods_and_attributes as m +from pybind11_tests import ConstructorStats + + +def test_methods_and_attributes(): + instance1 = m.ExampleMandA() + instance2 = m.ExampleMandA(32) + + instance1.add1(instance2) + instance1.add2(instance2) + instance1.add3(instance2) + instance1.add4(instance2) + instance1.add5(instance2) + instance1.add6(32) + instance1.add7(32) + instance1.add8(32) + instance1.add9(32) + instance1.add10(32) + + assert str(instance1) == "ExampleMandA[value=320]" + assert str(instance2) == "ExampleMandA[value=32]" + assert str(instance1.self1()) == "ExampleMandA[value=320]" + assert str(instance1.self2()) == "ExampleMandA[value=320]" + assert str(instance1.self3()) == "ExampleMandA[value=320]" + assert str(instance1.self4()) == "ExampleMandA[value=320]" + assert str(instance1.self5()) == "ExampleMandA[value=320]" + + assert instance1.internal1() == 320 + assert instance1.internal2() == 320 + assert instance1.internal3() == 320 + assert instance1.internal4() == 320 + assert instance1.internal5() == 320 + + assert instance1.overloaded() == "()" + assert instance1.overloaded(0) == "(int)" + assert instance1.overloaded(1, 1.0) == "(int, float)" + assert instance1.overloaded(2.0, 2) == "(float, int)" + assert instance1.overloaded(3, 3) == "(int, int)" + assert instance1.overloaded(4., 4.) == "(float, float)" + assert instance1.overloaded_const(-3) == "(int) const" + assert instance1.overloaded_const(5, 5.0) == "(int, float) const" + assert instance1.overloaded_const(6.0, 6) == "(float, int) const" + assert instance1.overloaded_const(7, 7) == "(int, int) const" + assert instance1.overloaded_const(8., 8.) == "(float, float) const" + assert instance1.overloaded_float(1, 1) == "(float, float)" + assert instance1.overloaded_float(1, 1.) == "(float, float)" + assert instance1.overloaded_float(1., 1) == "(float, float)" + assert instance1.overloaded_float(1., 1.) == "(float, float)" + + assert instance1.value == 320 + instance1.value = 100 + assert str(instance1) == "ExampleMandA[value=100]" + + cstats = ConstructorStats.get(m.ExampleMandA) + assert cstats.alive() == 2 + del instance1, instance2 + assert cstats.alive() == 0 + assert cstats.values() == ["32"] + assert cstats.default_constructions == 1 + assert cstats.copy_constructions == 3 + assert cstats.move_constructions >= 1 + assert cstats.copy_assignments == 0 + assert cstats.move_assignments == 0 + + +def test_copy_method(): + """Issue #443: calling copied methods fails in Python 3""" + + m.ExampleMandA.add2c = m.ExampleMandA.add2 + m.ExampleMandA.add2d = m.ExampleMandA.add2b + a = m.ExampleMandA(123) + assert a.value == 123 + a.add2(m.ExampleMandA(-100)) + assert a.value == 23 + a.add2b(m.ExampleMandA(20)) + assert a.value == 43 + a.add2c(m.ExampleMandA(6)) + assert a.value == 49 + a.add2d(m.ExampleMandA(-7)) + assert a.value == 42 + + +def test_properties(): + instance = m.TestProperties() + + assert instance.def_readonly == 1 + with pytest.raises(AttributeError): + instance.def_readonly = 2 + + instance.def_readwrite = 2 + assert instance.def_readwrite == 2 + + assert instance.def_property_readonly == 2 + with pytest.raises(AttributeError): + instance.def_property_readonly = 3 + + instance.def_property = 3 + assert instance.def_property == 3 + + with pytest.raises(AttributeError) as excinfo: + dummy = instance.def_property_writeonly # noqa: F841 unused var + assert "unreadable attribute" in str(excinfo.value) + + instance.def_property_writeonly = 4 + assert instance.def_property_readonly == 4 + + with pytest.raises(AttributeError) as excinfo: + dummy = instance.def_property_impossible # noqa: F841 unused var + assert "unreadable attribute" in str(excinfo.value) + + with pytest.raises(AttributeError) as excinfo: + instance.def_property_impossible = 5 + assert "can't set attribute" in str(excinfo.value) + + +def test_static_properties(): + assert m.TestProperties.def_readonly_static == 1 + with pytest.raises(AttributeError) as excinfo: + m.TestProperties.def_readonly_static = 2 + assert "can't set attribute" in str(excinfo.value) + + m.TestProperties.def_readwrite_static = 2 + assert m.TestProperties.def_readwrite_static == 2 + + with pytest.raises(AttributeError) as excinfo: + dummy = m.TestProperties.def_writeonly_static # noqa: F841 unused var + assert "unreadable attribute" in str(excinfo.value) + + m.TestProperties.def_writeonly_static = 3 + assert m.TestProperties.def_readonly_static == 3 + + assert m.TestProperties.def_property_readonly_static == 3 + with pytest.raises(AttributeError) as excinfo: + m.TestProperties.def_property_readonly_static = 99 + assert "can't set attribute" in str(excinfo.value) + + m.TestProperties.def_property_static = 4 + assert m.TestProperties.def_property_static == 4 + + with pytest.raises(AttributeError) as excinfo: + dummy = m.TestProperties.def_property_writeonly_static + assert "unreadable attribute" in str(excinfo.value) + + m.TestProperties.def_property_writeonly_static = 5 + assert m.TestProperties.def_property_static == 5 + + # Static property read and write via instance + instance = m.TestProperties() + + m.TestProperties.def_readwrite_static = 0 + assert m.TestProperties.def_readwrite_static == 0 + assert instance.def_readwrite_static == 0 + + instance.def_readwrite_static = 2 + assert m.TestProperties.def_readwrite_static == 2 + assert instance.def_readwrite_static == 2 + + with pytest.raises(AttributeError) as excinfo: + dummy = instance.def_property_writeonly_static # noqa: F841 unused var + assert "unreadable attribute" in str(excinfo.value) + + instance.def_property_writeonly_static = 4 + assert instance.def_property_static == 4 + + # It should be possible to override properties in derived classes + assert m.TestPropertiesOverride().def_readonly == 99 + assert m.TestPropertiesOverride.def_readonly_static == 99 + + +def test_static_cls(): + """Static property getter and setters expect the type object as the their only argument""" + + instance = m.TestProperties() + assert m.TestProperties.static_cls is m.TestProperties + assert instance.static_cls is m.TestProperties + + def check_self(self): + assert self is m.TestProperties + + m.TestProperties.static_cls = check_self + instance.static_cls = check_self + + +def test_metaclass_override(): + """Overriding pybind11's default metaclass changes the behavior of `static_property`""" + + assert type(m.ExampleMandA).__name__ == "pybind11_type" + assert type(m.MetaclassOverride).__name__ == "type" + + assert m.MetaclassOverride.readonly == 1 + assert type(m.MetaclassOverride.__dict__["readonly"]).__name__ == "pybind11_static_property" + + # Regular `type` replaces the property instead of calling `__set__()` + m.MetaclassOverride.readonly = 2 + assert m.MetaclassOverride.readonly == 2 + assert isinstance(m.MetaclassOverride.__dict__["readonly"], int) + + +def test_no_mixed_overloads(): + from pybind11_tests import debug_enabled + + with pytest.raises(RuntimeError) as excinfo: + m.ExampleMandA.add_mixed_overloads1() + assert (str(excinfo.value) == + "overloading a method with both static and instance methods is not supported; " + + ("compile in debug mode for more details" if not debug_enabled else + "error while attempting to bind static method ExampleMandA.overload_mixed1" + "(arg0: float) -> str") + ) + + with pytest.raises(RuntimeError) as excinfo: + m.ExampleMandA.add_mixed_overloads2() + assert (str(excinfo.value) == + "overloading a method with both static and instance methods is not supported; " + + ("compile in debug mode for more details" if not debug_enabled else + "error while attempting to bind instance method ExampleMandA.overload_mixed2" + "(self: pybind11_tests.methods_and_attributes.ExampleMandA, arg0: int, arg1: int)" + " -> str") + ) + + +@pytest.mark.parametrize("access", ["ro", "rw", "static_ro", "static_rw"]) +def test_property_return_value_policies(access): + if not access.startswith("static"): + obj = m.TestPropRVP() + else: + obj = m.TestPropRVP + + ref = getattr(obj, access + "_ref") + assert ref.value == 1 + ref.value = 2 + assert getattr(obj, access + "_ref").value == 2 + ref.value = 1 # restore original value for static properties + + copy = getattr(obj, access + "_copy") + assert copy.value == 1 + copy.value = 2 + assert getattr(obj, access + "_copy").value == 1 + + copy = getattr(obj, access + "_func") + assert copy.value == 1 + copy.value = 2 + assert getattr(obj, access + "_func").value == 1 + + +def test_property_rvalue_policy(): + """When returning an rvalue, the return value policy is automatically changed from + `reference(_internal)` to `move`. The following would not work otherwise.""" + + instance = m.TestPropRVP() + o = instance.rvalue + assert o.value == 1 + + os = m.TestPropRVP.static_rvalue + assert os.value == 1 + + +# https://bitbucket.org/pypy/pypy/issues/2447 +@pytest.unsupported_on_pypy +def test_dynamic_attributes(): + instance = m.DynamicClass() + assert not hasattr(instance, "foo") + assert "foo" not in dir(instance) + + # Dynamically add attribute + instance.foo = 42 + assert hasattr(instance, "foo") + assert instance.foo == 42 + assert "foo" in dir(instance) + + # __dict__ should be accessible and replaceable + assert "foo" in instance.__dict__ + instance.__dict__ = {"bar": True} + assert not hasattr(instance, "foo") + assert hasattr(instance, "bar") + + with pytest.raises(TypeError) as excinfo: + instance.__dict__ = [] + assert str(excinfo.value) == "__dict__ must be set to a dictionary, not a 'list'" + + cstats = ConstructorStats.get(m.DynamicClass) + assert cstats.alive() == 1 + del instance + assert cstats.alive() == 0 + + # Derived classes should work as well + class PythonDerivedDynamicClass(m.DynamicClass): + pass + + for cls in m.CppDerivedDynamicClass, PythonDerivedDynamicClass: + derived = cls() + derived.foobar = 100 + assert derived.foobar == 100 + + assert cstats.alive() == 1 + del derived + assert cstats.alive() == 0 + + +# https://bitbucket.org/pypy/pypy/issues/2447 +@pytest.unsupported_on_pypy +def test_cyclic_gc(): + # One object references itself + instance = m.DynamicClass() + instance.circular_reference = instance + + cstats = ConstructorStats.get(m.DynamicClass) + assert cstats.alive() == 1 + del instance + assert cstats.alive() == 0 + + # Two object reference each other + i1 = m.DynamicClass() + i2 = m.DynamicClass() + i1.cycle = i2 + i2.cycle = i1 + + assert cstats.alive() == 2 + del i1, i2 + assert cstats.alive() == 0 + + +def test_noconvert_args(msg): + a = m.ArgInspector() + assert msg(a.f("hi")) == """ + loading ArgInspector1 argument WITH conversion allowed. Argument value = hi + """ + assert msg(a.g("this is a", "this is b")) == """ + loading ArgInspector1 argument WITHOUT conversion allowed. Argument value = this is a + loading ArgInspector1 argument WITH conversion allowed. Argument value = this is b + 13 + loading ArgInspector2 argument WITH conversion allowed. Argument value = (default arg inspector 2) + """ # noqa: E501 line too long + assert msg(a.g("this is a", "this is b", 42)) == """ + loading ArgInspector1 argument WITHOUT conversion allowed. Argument value = this is a + loading ArgInspector1 argument WITH conversion allowed. Argument value = this is b + 42 + loading ArgInspector2 argument WITH conversion allowed. Argument value = (default arg inspector 2) + """ # noqa: E501 line too long + assert msg(a.g("this is a", "this is b", 42, "this is d")) == """ + loading ArgInspector1 argument WITHOUT conversion allowed. Argument value = this is a + loading ArgInspector1 argument WITH conversion allowed. Argument value = this is b + 42 + loading ArgInspector2 argument WITH conversion allowed. Argument value = this is d + """ + assert (a.h("arg 1") == + "loading ArgInspector2 argument WITHOUT conversion allowed. Argument value = arg 1") + assert msg(m.arg_inspect_func("A1", "A2")) == """ + loading ArgInspector2 argument WITH conversion allowed. Argument value = A1 + loading ArgInspector1 argument WITHOUT conversion allowed. Argument value = A2 + """ + + assert m.floats_preferred(4) == 2.0 + assert m.floats_only(4.0) == 2.0 + with pytest.raises(TypeError) as excinfo: + m.floats_only(4) + assert msg(excinfo.value) == """ + floats_only(): incompatible function arguments. The following argument types are supported: + 1. (f: float) -> float + + Invoked with: 4 + """ + + assert m.ints_preferred(4) == 2 + assert m.ints_preferred(True) == 0 + with pytest.raises(TypeError) as excinfo: + m.ints_preferred(4.0) + assert msg(excinfo.value) == """ + ints_preferred(): incompatible function arguments. The following argument types are supported: + 1. (i: int) -> int + + Invoked with: 4.0 + """ # noqa: E501 line too long + + assert m.ints_only(4) == 2 + with pytest.raises(TypeError) as excinfo: + m.ints_only(4.0) + assert msg(excinfo.value) == """ + ints_only(): incompatible function arguments. The following argument types are supported: + 1. (i: int) -> int + + Invoked with: 4.0 + """ + + +def test_bad_arg_default(msg): + from pybind11_tests import debug_enabled + + with pytest.raises(RuntimeError) as excinfo: + m.bad_arg_def_named() + assert msg(excinfo.value) == ( + "arg(): could not convert default argument 'a: UnregisteredType' in function " + "'should_fail' into a Python object (type not registered yet?)" + if debug_enabled else + "arg(): could not convert default argument into a Python object (type not registered " + "yet?). Compile in debug mode for more information." + ) + + with pytest.raises(RuntimeError) as excinfo: + m.bad_arg_def_unnamed() + assert msg(excinfo.value) == ( + "arg(): could not convert default argument 'UnregisteredType' in function " + "'should_fail' into a Python object (type not registered yet?)" + if debug_enabled else + "arg(): could not convert default argument into a Python object (type not registered " + "yet?). Compile in debug mode for more information." + ) + + +def test_accepts_none(msg): + a = m.NoneTester() + assert m.no_none1(a) == 42 + assert m.no_none2(a) == 42 + assert m.no_none3(a) == 42 + assert m.no_none4(a) == 42 + assert m.no_none5(a) == 42 + assert m.ok_none1(a) == 42 + assert m.ok_none2(a) == 42 + assert m.ok_none3(a) == 42 + assert m.ok_none4(a) == 42 + assert m.ok_none5(a) == 42 + + with pytest.raises(TypeError) as excinfo: + m.no_none1(None) + assert "incompatible function arguments" in str(excinfo.value) + with pytest.raises(TypeError) as excinfo: + m.no_none2(None) + assert "incompatible function arguments" in str(excinfo.value) + with pytest.raises(TypeError) as excinfo: + m.no_none3(None) + assert "incompatible function arguments" in str(excinfo.value) + with pytest.raises(TypeError) as excinfo: + m.no_none4(None) + assert "incompatible function arguments" in str(excinfo.value) + with pytest.raises(TypeError) as excinfo: + m.no_none5(None) + assert "incompatible function arguments" in str(excinfo.value) + + # The first one still raises because you can't pass None as a lvalue reference arg: + with pytest.raises(TypeError) as excinfo: + assert m.ok_none1(None) == -1 + assert msg(excinfo.value) == """ + ok_none1(): incompatible function arguments. The following argument types are supported: + 1. (arg0: m.methods_and_attributes.NoneTester) -> int + + Invoked with: None + """ + + # The rest take the argument as pointer or holder, and accept None: + assert m.ok_none2(None) == -1 + assert m.ok_none3(None) == -1 + assert m.ok_none4(None) == -1 + assert m.ok_none5(None) == -1 + + +def test_str_issue(msg): + """#283: __str__ called on uninitialized instance when constructor arguments invalid""" + + assert str(m.StrIssue(3)) == "StrIssue[3]" + + with pytest.raises(TypeError) as excinfo: + str(m.StrIssue("no", "such", "constructor")) + assert msg(excinfo.value) == """ + __init__(): incompatible constructor arguments. The following argument types are supported: + 1. m.methods_and_attributes.StrIssue(arg0: int) + 2. m.methods_and_attributes.StrIssue() + + Invoked with: 'no', 'such', 'constructor' + """ + + +def test_unregistered_base_implementations(): + a = m.RegisteredDerived() + a.do_nothing() + assert a.rw_value == 42 + assert a.ro_value == 1.25 + a.rw_value += 5 + assert a.sum() == 48.25 + a.increase_value() + assert a.rw_value == 48 + assert a.ro_value == 1.5 + assert a.sum() == 49.5 + assert a.rw_value_prop == 48 + a.rw_value_prop += 1 + assert a.rw_value_prop == 49 + a.increase_value() + assert a.ro_value_prop == 1.75 + + +def test_custom_caster_destruction(): + """Tests that returning a pointer to a type that gets converted with a custom type caster gets + destroyed when the function has py::return_value_policy::take_ownership policy applied.""" + + cstats = m.destruction_tester_cstats() + # This one *doesn't* have take_ownership: the pointer should be used but not destroyed: + z = m.custom_caster_no_destroy() + assert cstats.alive() == 1 and cstats.default_constructions == 1 + assert z + + # take_ownership applied: this constructs a new object, casts it, then destroys it: + z = m.custom_caster_destroy() + assert z + assert cstats.default_constructions == 2 + + # Same, but with a const pointer return (which should *not* inhibit destruction): + z = m.custom_caster_destroy_const() + assert z + assert cstats.default_constructions == 3 + + # Make sure we still only have the original object (from ..._no_destroy()) alive: + assert cstats.alive() == 1 diff --git a/external/pybind11/tests/test_modules.cpp b/external/pybind11/tests/test_modules.cpp new file mode 100644 index 0000000..c1475fa --- /dev/null +++ b/external/pybind11/tests/test_modules.cpp @@ -0,0 +1,98 @@ +/* + tests/test_modules.cpp -- nested modules, importing modules, and + internal references + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" +#include "constructor_stats.h" + +TEST_SUBMODULE(modules, m) { + // test_nested_modules + py::module m_sub = m.def_submodule("subsubmodule"); + m_sub.def("submodule_func", []() { return "submodule_func()"; }); + + // test_reference_internal + class A { + public: + A(int v) : v(v) { print_created(this, v); } + ~A() { print_destroyed(this); } + A(const A&) { print_copy_created(this); } + A& operator=(const A ©) { print_copy_assigned(this); v = copy.v; return *this; } + std::string toString() { return "A[" + std::to_string(v) + "]"; } + private: + int v; + }; + py::class_(m_sub, "A") + .def(py::init()) + .def("__repr__", &A::toString); + + class B { + public: + B() { print_default_created(this); } + ~B() { print_destroyed(this); } + B(const B&) { print_copy_created(this); } + B& operator=(const B ©) { print_copy_assigned(this); a1 = copy.a1; a2 = copy.a2; return *this; } + A &get_a1() { return a1; } + A &get_a2() { return a2; } + + A a1{1}; + A a2{2}; + }; + py::class_(m_sub, "B") + .def(py::init<>()) + .def("get_a1", &B::get_a1, "Return the internal A 1", py::return_value_policy::reference_internal) + .def("get_a2", &B::get_a2, "Return the internal A 2", py::return_value_policy::reference_internal) + .def_readwrite("a1", &B::a1) // def_readonly uses an internal reference return policy by default + .def_readwrite("a2", &B::a2); + + m.attr("OD") = py::module::import("collections").attr("OrderedDict"); + + // test_duplicate_registration + // Registering two things with the same name + m.def("duplicate_registration", []() { + class Dupe1 { }; + class Dupe2 { }; + class Dupe3 { }; + class DupeException { }; + + auto dm = py::module("dummy"); + auto failures = py::list(); + + py::class_(dm, "Dupe1"); + py::class_(dm, "Dupe2"); + dm.def("dupe1_factory", []() { return Dupe1(); }); + py::exception(dm, "DupeException"); + + try { + py::class_(dm, "Dupe1"); + failures.append("Dupe1 class"); + } catch (std::runtime_error &) {} + try { + dm.def("Dupe1", []() { return Dupe1(); }); + failures.append("Dupe1 function"); + } catch (std::runtime_error &) {} + try { + py::class_(dm, "dupe1_factory"); + failures.append("dupe1_factory"); + } catch (std::runtime_error &) {} + try { + py::exception(dm, "Dupe2"); + failures.append("Dupe2"); + } catch (std::runtime_error &) {} + try { + dm.def("DupeException", []() { return 30; }); + failures.append("DupeException1"); + } catch (std::runtime_error &) {} + try { + py::class_(dm, "DupeException"); + failures.append("DupeException2"); + } catch (std::runtime_error &) {} + + return failures; + }); +} diff --git a/external/pybind11/tests/test_modules.py b/external/pybind11/tests/test_modules.py new file mode 100644 index 0000000..2552838 --- /dev/null +++ b/external/pybind11/tests/test_modules.py @@ -0,0 +1,72 @@ +from pybind11_tests import modules as m +from pybind11_tests.modules import subsubmodule as ms +from pybind11_tests import ConstructorStats + + +def test_nested_modules(): + import pybind11_tests + assert pybind11_tests.__name__ == "pybind11_tests" + assert pybind11_tests.modules.__name__ == "pybind11_tests.modules" + assert pybind11_tests.modules.subsubmodule.__name__ == "pybind11_tests.modules.subsubmodule" + assert m.__name__ == "pybind11_tests.modules" + assert ms.__name__ == "pybind11_tests.modules.subsubmodule" + + assert ms.submodule_func() == "submodule_func()" + + +def test_reference_internal(): + b = ms.B() + assert str(b.get_a1()) == "A[1]" + assert str(b.a1) == "A[1]" + assert str(b.get_a2()) == "A[2]" + assert str(b.a2) == "A[2]" + + b.a1 = ms.A(42) + b.a2 = ms.A(43) + assert str(b.get_a1()) == "A[42]" + assert str(b.a1) == "A[42]" + assert str(b.get_a2()) == "A[43]" + assert str(b.a2) == "A[43]" + + astats, bstats = ConstructorStats.get(ms.A), ConstructorStats.get(ms.B) + assert astats.alive() == 2 + assert bstats.alive() == 1 + del b + assert astats.alive() == 0 + assert bstats.alive() == 0 + assert astats.values() == ['1', '2', '42', '43'] + assert bstats.values() == [] + assert astats.default_constructions == 0 + assert bstats.default_constructions == 1 + assert astats.copy_constructions == 0 + assert bstats.copy_constructions == 0 + # assert astats.move_constructions >= 0 # Don't invoke any + # assert bstats.move_constructions >= 0 # Don't invoke any + assert astats.copy_assignments == 2 + assert bstats.copy_assignments == 0 + assert astats.move_assignments == 0 + assert bstats.move_assignments == 0 + + +def test_importing(): + from pybind11_tests.modules import OD + from collections import OrderedDict + + assert OD is OrderedDict + assert str(OD([(1, 'a'), (2, 'b')])) == "OrderedDict([(1, 'a'), (2, 'b')])" + + +def test_pydoc(): + """Pydoc needs to be able to provide help() for everything inside a pybind11 module""" + import pybind11_tests + import pydoc + + assert pybind11_tests.__name__ == "pybind11_tests" + assert pybind11_tests.__doc__ == "pybind11 test module" + assert pydoc.text.docmodule(pybind11_tests) + + +def test_duplicate_registration(): + """Registering two things with the same name""" + + assert m.duplicate_registration() == [] diff --git a/external/pybind11/tests/test_multiple_inheritance.cpp b/external/pybind11/tests/test_multiple_inheritance.cpp new file mode 100644 index 0000000..ba1674f --- /dev/null +++ b/external/pybind11/tests/test_multiple_inheritance.cpp @@ -0,0 +1,220 @@ +/* + tests/test_multiple_inheritance.cpp -- multiple inheritance, + implicit MI casts + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" +#include "constructor_stats.h" + +// Many bases for testing that multiple inheritance from many classes (i.e. requiring extra +// space for holder constructed flags) works. +template struct BaseN { + BaseN(int i) : i(i) { } + int i; +}; + +// test_mi_static_properties +struct Vanilla { + std::string vanilla() { return "Vanilla"; }; +}; +struct WithStatic1 { + static std::string static_func1() { return "WithStatic1"; }; + static int static_value1; +}; +struct WithStatic2 { + static std::string static_func2() { return "WithStatic2"; }; + static int static_value2; +}; +struct VanillaStaticMix1 : Vanilla, WithStatic1, WithStatic2 { + static std::string static_func() { return "VanillaStaticMix1"; } + static int static_value; +}; +struct VanillaStaticMix2 : WithStatic1, Vanilla, WithStatic2 { + static std::string static_func() { return "VanillaStaticMix2"; } + static int static_value; +}; +int WithStatic1::static_value1 = 1; +int WithStatic2::static_value2 = 2; +int VanillaStaticMix1::static_value = 12; +int VanillaStaticMix2::static_value = 12; + +TEST_SUBMODULE(multiple_inheritance, m) { + + // test_multiple_inheritance_mix1 + // test_multiple_inheritance_mix2 + struct Base1 { + Base1(int i) : i(i) { } + int foo() { return i; } + int i; + }; + py::class_ b1(m, "Base1"); + b1.def(py::init()) + .def("foo", &Base1::foo); + + struct Base2 { + Base2(int i) : i(i) { } + int bar() { return i; } + int i; + }; + py::class_ b2(m, "Base2"); + b2.def(py::init()) + .def("bar", &Base2::bar); + + + // test_multiple_inheritance_cpp + struct Base12 : Base1, Base2 { + Base12(int i, int j) : Base1(i), Base2(j) { } + }; + struct MIType : Base12 { + MIType(int i, int j) : Base12(i, j) { } + }; + py::class_(m, "Base12"); + py::class_(m, "MIType") + .def(py::init()); + + + // test_multiple_inheritance_python_many_bases + #define PYBIND11_BASEN(N) py::class_>(m, "BaseN" #N).def(py::init()).def("f" #N, [](BaseN &b) { return b.i + N; }) + PYBIND11_BASEN( 1); PYBIND11_BASEN( 2); PYBIND11_BASEN( 3); PYBIND11_BASEN( 4); + PYBIND11_BASEN( 5); PYBIND11_BASEN( 6); PYBIND11_BASEN( 7); PYBIND11_BASEN( 8); + PYBIND11_BASEN( 9); PYBIND11_BASEN(10); PYBIND11_BASEN(11); PYBIND11_BASEN(12); + PYBIND11_BASEN(13); PYBIND11_BASEN(14); PYBIND11_BASEN(15); PYBIND11_BASEN(16); + PYBIND11_BASEN(17); + + // Uncommenting this should result in a compile time failure (MI can only be specified via + // template parameters because pybind has to know the types involved; see discussion in #742 for + // details). +// struct Base12v2 : Base1, Base2 { +// Base12v2(int i, int j) : Base1(i), Base2(j) { } +// }; +// py::class_(m, "Base12v2", b1, b2) +// .def(py::init()); + + + // test_multiple_inheritance_virtbase + // Test the case where not all base classes are specified, and where pybind11 requires the + // py::multiple_inheritance flag to perform proper casting between types. + struct Base1a { + Base1a(int i) : i(i) { } + int foo() { return i; } + int i; + }; + py::class_>(m, "Base1a") + .def(py::init()) + .def("foo", &Base1a::foo); + + struct Base2a { + Base2a(int i) : i(i) { } + int bar() { return i; } + int i; + }; + py::class_>(m, "Base2a") + .def(py::init()) + .def("bar", &Base2a::bar); + + struct Base12a : Base1a, Base2a { + Base12a(int i, int j) : Base1a(i), Base2a(j) { } + }; + py::class_>(m, "Base12a", py::multiple_inheritance()) + .def(py::init()); + + m.def("bar_base2a", [](Base2a *b) { return b->bar(); }); + m.def("bar_base2a_sharedptr", [](std::shared_ptr b) { return b->bar(); }); + + // test_mi_unaligned_base + // test_mi_base_return + // Issue #801: invalid casting to derived type with MI bases + struct I801B1 { int a = 1; I801B1() = default; I801B1(const I801B1 &) = default; virtual ~I801B1() = default; }; + struct I801B2 { int b = 2; I801B2() = default; I801B2(const I801B2 &) = default; virtual ~I801B2() = default; }; + struct I801C : I801B1, I801B2 {}; + struct I801D : I801C {}; // Indirect MI + // Unregistered classes: + struct I801B3 { int c = 3; virtual ~I801B3() = default; }; + struct I801E : I801B3, I801D {}; + + py::class_>(m, "I801B1").def(py::init<>()).def_readonly("a", &I801B1::a); + py::class_>(m, "I801B2").def(py::init<>()).def_readonly("b", &I801B2::b); + py::class_>(m, "I801C").def(py::init<>()); + py::class_>(m, "I801D").def(py::init<>()); + + // Two separate issues here: first, we want to recognize a pointer to a base type as being a + // known instance even when the pointer value is unequal (i.e. due to a non-first + // multiple-inheritance base class): + m.def("i801b1_c", [](I801C *c) { return static_cast(c); }); + m.def("i801b2_c", [](I801C *c) { return static_cast(c); }); + m.def("i801b1_d", [](I801D *d) { return static_cast(d); }); + m.def("i801b2_d", [](I801D *d) { return static_cast(d); }); + + // Second, when returned a base class pointer to a derived instance, we cannot assume that the + // pointer is `reinterpret_cast`able to the derived pointer because, like above, the base class + // pointer could be offset. + m.def("i801c_b1", []() -> I801B1 * { return new I801C(); }); + m.def("i801c_b2", []() -> I801B2 * { return new I801C(); }); + m.def("i801d_b1", []() -> I801B1 * { return new I801D(); }); + m.def("i801d_b2", []() -> I801B2 * { return new I801D(); }); + + // Return a base class pointer to a pybind-registered type when the actual derived type + // isn't pybind-registered (and uses multiple-inheritance to offset the pybind base) + m.def("i801e_c", []() -> I801C * { return new I801E(); }); + m.def("i801e_b2", []() -> I801B2 * { return new I801E(); }); + + + // test_mi_static_properties + py::class_(m, "Vanilla") + .def(py::init<>()) + .def("vanilla", &Vanilla::vanilla); + + py::class_(m, "WithStatic1") + .def(py::init<>()) + .def_static("static_func1", &WithStatic1::static_func1) + .def_readwrite_static("static_value1", &WithStatic1::static_value1); + + py::class_(m, "WithStatic2") + .def(py::init<>()) + .def_static("static_func2", &WithStatic2::static_func2) + .def_readwrite_static("static_value2", &WithStatic2::static_value2); + + py::class_( + m, "VanillaStaticMix1") + .def(py::init<>()) + .def_static("static_func", &VanillaStaticMix1::static_func) + .def_readwrite_static("static_value", &VanillaStaticMix1::static_value); + + py::class_( + m, "VanillaStaticMix2") + .def(py::init<>()) + .def_static("static_func", &VanillaStaticMix2::static_func) + .def_readwrite_static("static_value", &VanillaStaticMix2::static_value); + + +#if !defined(PYPY_VERSION) + struct WithDict { }; + struct VanillaDictMix1 : Vanilla, WithDict { }; + struct VanillaDictMix2 : WithDict, Vanilla { }; + py::class_(m, "WithDict", py::dynamic_attr()).def(py::init<>()); + py::class_(m, "VanillaDictMix1").def(py::init<>()); + py::class_(m, "VanillaDictMix2").def(py::init<>()); +#endif + + // test_diamond_inheritance + // Issue #959: segfault when constructing diamond inheritance instance + // All of these have int members so that there will be various unequal pointers involved. + struct B { int b; B() = default; B(const B&) = default; virtual ~B() = default; }; + struct C0 : public virtual B { int c0; }; + struct C1 : public virtual B { int c1; }; + struct D : public C0, public C1 { int d; }; + py::class_(m, "B") + .def("b", [](B *self) { return self; }); + py::class_(m, "C0") + .def("c0", [](C0 *self) { return self; }); + py::class_(m, "C1") + .def("c1", [](C1 *self) { return self; }); + py::class_(m, "D") + .def(py::init<>()); +} diff --git a/external/pybind11/tests/test_multiple_inheritance.py b/external/pybind11/tests/test_multiple_inheritance.py new file mode 100644 index 0000000..475dd3b --- /dev/null +++ b/external/pybind11/tests/test_multiple_inheritance.py @@ -0,0 +1,349 @@ +import pytest +from pybind11_tests import ConstructorStats +from pybind11_tests import multiple_inheritance as m + + +def test_multiple_inheritance_cpp(): + mt = m.MIType(3, 4) + + assert mt.foo() == 3 + assert mt.bar() == 4 + + +def test_multiple_inheritance_mix1(): + class Base1: + def __init__(self, i): + self.i = i + + def foo(self): + return self.i + + class MITypePy(Base1, m.Base2): + def __init__(self, i, j): + Base1.__init__(self, i) + m.Base2.__init__(self, j) + + mt = MITypePy(3, 4) + + assert mt.foo() == 3 + assert mt.bar() == 4 + + +def test_multiple_inheritance_mix2(): + + class Base2: + def __init__(self, i): + self.i = i + + def bar(self): + return self.i + + class MITypePy(m.Base1, Base2): + def __init__(self, i, j): + m.Base1.__init__(self, i) + Base2.__init__(self, j) + + mt = MITypePy(3, 4) + + assert mt.foo() == 3 + assert mt.bar() == 4 + + +def test_multiple_inheritance_python(): + + class MI1(m.Base1, m.Base2): + def __init__(self, i, j): + m.Base1.__init__(self, i) + m.Base2.__init__(self, j) + + class B1(object): + def v(self): + return 1 + + class MI2(B1, m.Base1, m.Base2): + def __init__(self, i, j): + B1.__init__(self) + m.Base1.__init__(self, i) + m.Base2.__init__(self, j) + + class MI3(MI2): + def __init__(self, i, j): + MI2.__init__(self, i, j) + + class MI4(MI3, m.Base2): + def __init__(self, i, j): + MI3.__init__(self, i, j) + # This should be ignored (Base2 is already initialized via MI2): + m.Base2.__init__(self, i + 100) + + class MI5(m.Base2, B1, m.Base1): + def __init__(self, i, j): + B1.__init__(self) + m.Base1.__init__(self, i) + m.Base2.__init__(self, j) + + class MI6(m.Base2, B1): + def __init__(self, i): + m.Base2.__init__(self, i) + B1.__init__(self) + + class B2(B1): + def v(self): + return 2 + + class B3(object): + def v(self): + return 3 + + class B4(B3, B2): + def v(self): + return 4 + + class MI7(B4, MI6): + def __init__(self, i): + B4.__init__(self) + MI6.__init__(self, i) + + class MI8(MI6, B3): + def __init__(self, i): + MI6.__init__(self, i) + B3.__init__(self) + + class MI8b(B3, MI6): + def __init__(self, i): + B3.__init__(self) + MI6.__init__(self, i) + + mi1 = MI1(1, 2) + assert mi1.foo() == 1 + assert mi1.bar() == 2 + + mi2 = MI2(3, 4) + assert mi2.v() == 1 + assert mi2.foo() == 3 + assert mi2.bar() == 4 + + mi3 = MI3(5, 6) + assert mi3.v() == 1 + assert mi3.foo() == 5 + assert mi3.bar() == 6 + + mi4 = MI4(7, 8) + assert mi4.v() == 1 + assert mi4.foo() == 7 + assert mi4.bar() == 8 + + mi5 = MI5(10, 11) + assert mi5.v() == 1 + assert mi5.foo() == 10 + assert mi5.bar() == 11 + + mi6 = MI6(12) + assert mi6.v() == 1 + assert mi6.bar() == 12 + + mi7 = MI7(13) + assert mi7.v() == 4 + assert mi7.bar() == 13 + + mi8 = MI8(14) + assert mi8.v() == 1 + assert mi8.bar() == 14 + + mi8b = MI8b(15) + assert mi8b.v() == 3 + assert mi8b.bar() == 15 + + +def test_multiple_inheritance_python_many_bases(): + + class MIMany14(m.BaseN1, m.BaseN2, m.BaseN3, m.BaseN4): + def __init__(self): + m.BaseN1.__init__(self, 1) + m.BaseN2.__init__(self, 2) + m.BaseN3.__init__(self, 3) + m.BaseN4.__init__(self, 4) + + class MIMany58(m.BaseN5, m.BaseN6, m.BaseN7, m.BaseN8): + def __init__(self): + m.BaseN5.__init__(self, 5) + m.BaseN6.__init__(self, 6) + m.BaseN7.__init__(self, 7) + m.BaseN8.__init__(self, 8) + + class MIMany916(m.BaseN9, m.BaseN10, m.BaseN11, m.BaseN12, m.BaseN13, m.BaseN14, m.BaseN15, + m.BaseN16): + def __init__(self): + m.BaseN9.__init__(self, 9) + m.BaseN10.__init__(self, 10) + m.BaseN11.__init__(self, 11) + m.BaseN12.__init__(self, 12) + m.BaseN13.__init__(self, 13) + m.BaseN14.__init__(self, 14) + m.BaseN15.__init__(self, 15) + m.BaseN16.__init__(self, 16) + + class MIMany19(MIMany14, MIMany58, m.BaseN9): + def __init__(self): + MIMany14.__init__(self) + MIMany58.__init__(self) + m.BaseN9.__init__(self, 9) + + class MIMany117(MIMany14, MIMany58, MIMany916, m.BaseN17): + def __init__(self): + MIMany14.__init__(self) + MIMany58.__init__(self) + MIMany916.__init__(self) + m.BaseN17.__init__(self, 17) + + # Inherits from 4 registered C++ classes: can fit in one pointer on any modern arch: + a = MIMany14() + for i in range(1, 4): + assert getattr(a, "f" + str(i))() == 2 * i + + # Inherits from 8: requires 1/2 pointers worth of holder flags on 32/64-bit arch: + b = MIMany916() + for i in range(9, 16): + assert getattr(b, "f" + str(i))() == 2 * i + + # Inherits from 9: requires >= 2 pointers worth of holder flags + c = MIMany19() + for i in range(1, 9): + assert getattr(c, "f" + str(i))() == 2 * i + + # Inherits from 17: requires >= 3 pointers worth of holder flags + d = MIMany117() + for i in range(1, 17): + assert getattr(d, "f" + str(i))() == 2 * i + + +def test_multiple_inheritance_virtbase(): + + class MITypePy(m.Base12a): + def __init__(self, i, j): + m.Base12a.__init__(self, i, j) + + mt = MITypePy(3, 4) + assert mt.bar() == 4 + assert m.bar_base2a(mt) == 4 + assert m.bar_base2a_sharedptr(mt) == 4 + + +def test_mi_static_properties(): + """Mixing bases with and without static properties should be possible + and the result should be independent of base definition order""" + + for d in (m.VanillaStaticMix1(), m.VanillaStaticMix2()): + assert d.vanilla() == "Vanilla" + assert d.static_func1() == "WithStatic1" + assert d.static_func2() == "WithStatic2" + assert d.static_func() == d.__class__.__name__ + + m.WithStatic1.static_value1 = 1 + m.WithStatic2.static_value2 = 2 + assert d.static_value1 == 1 + assert d.static_value2 == 2 + assert d.static_value == 12 + + d.static_value1 = 0 + assert d.static_value1 == 0 + d.static_value2 = 0 + assert d.static_value2 == 0 + d.static_value = 0 + assert d.static_value == 0 + + +@pytest.unsupported_on_pypy +def test_mi_dynamic_attributes(): + """Mixing bases with and without dynamic attribute support""" + + for d in (m.VanillaDictMix1(), m.VanillaDictMix2()): + d.dynamic = 1 + assert d.dynamic == 1 + + +def test_mi_unaligned_base(): + """Returning an offset (non-first MI) base class pointer should recognize the instance""" + + n_inst = ConstructorStats.detail_reg_inst() + + c = m.I801C() + d = m.I801D() + # + 4 below because we have the two instances, and each instance has offset base I801B2 + assert ConstructorStats.detail_reg_inst() == n_inst + 4 + b1c = m.i801b1_c(c) + assert b1c is c + b2c = m.i801b2_c(c) + assert b2c is c + b1d = m.i801b1_d(d) + assert b1d is d + b2d = m.i801b2_d(d) + assert b2d is d + + assert ConstructorStats.detail_reg_inst() == n_inst + 4 # no extra instances + del c, b1c, b2c + assert ConstructorStats.detail_reg_inst() == n_inst + 2 + del d, b1d, b2d + assert ConstructorStats.detail_reg_inst() == n_inst + + +def test_mi_base_return(): + """Tests returning an offset (non-first MI) base class pointer to a derived instance""" + + n_inst = ConstructorStats.detail_reg_inst() + + c1 = m.i801c_b1() + assert type(c1) is m.I801C + assert c1.a == 1 + assert c1.b == 2 + + d1 = m.i801d_b1() + assert type(d1) is m.I801D + assert d1.a == 1 + assert d1.b == 2 + + assert ConstructorStats.detail_reg_inst() == n_inst + 4 + + c2 = m.i801c_b2() + assert type(c2) is m.I801C + assert c2.a == 1 + assert c2.b == 2 + + d2 = m.i801d_b2() + assert type(d2) is m.I801D + assert d2.a == 1 + assert d2.b == 2 + + assert ConstructorStats.detail_reg_inst() == n_inst + 8 + + del c2 + assert ConstructorStats.detail_reg_inst() == n_inst + 6 + del c1, d1, d2 + assert ConstructorStats.detail_reg_inst() == n_inst + + # Returning an unregistered derived type with a registered base; we won't + # pick up the derived type, obviously, but should still work (as an object + # of whatever type was returned). + e1 = m.i801e_c() + assert type(e1) is m.I801C + assert e1.a == 1 + assert e1.b == 2 + + e2 = m.i801e_b2() + assert type(e2) is m.I801B2 + assert e2.b == 2 + + +def test_diamond_inheritance(): + """Tests that diamond inheritance works as expected (issue #959)""" + + # Issue #959: this shouldn't segfault: + d = m.D() + + # Make sure all the various distinct pointers are all recognized as registered instances: + assert d is d.c0() + assert d is d.c1() + assert d is d.b() + assert d is d.c0().b() + assert d is d.c1().b() + assert d is d.c0().c1().b().c0().b() diff --git a/external/pybind11/tests/test_numpy_array.cpp b/external/pybind11/tests/test_numpy_array.cpp new file mode 100644 index 0000000..156a3bf --- /dev/null +++ b/external/pybind11/tests/test_numpy_array.cpp @@ -0,0 +1,390 @@ +/* + tests/test_numpy_array.cpp -- test core array functionality + + Copyright (c) 2016 Ivan Smirnov + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" + +#include +#include + +#include + +// Size / dtype checks. +struct DtypeCheck { + py::dtype numpy{}; + py::dtype pybind11{}; +}; + +template +DtypeCheck get_dtype_check(const char* name) { + py::module np = py::module::import("numpy"); + DtypeCheck check{}; + check.numpy = np.attr("dtype")(np.attr(name)); + check.pybind11 = py::dtype::of(); + return check; +} + +std::vector get_concrete_dtype_checks() { + return { + // Normalization + get_dtype_check("int8"), + get_dtype_check("uint8"), + get_dtype_check("int16"), + get_dtype_check("uint16"), + get_dtype_check("int32"), + get_dtype_check("uint32"), + get_dtype_check("int64"), + get_dtype_check("uint64") + }; +} + +struct DtypeSizeCheck { + std::string name{}; + int size_cpp{}; + int size_numpy{}; + // For debugging. + py::dtype dtype{}; +}; + +template +DtypeSizeCheck get_dtype_size_check() { + DtypeSizeCheck check{}; + check.name = py::type_id(); + check.size_cpp = sizeof(T); + check.dtype = py::dtype::of(); + check.size_numpy = check.dtype.attr("itemsize").template cast(); + return check; +} + +std::vector get_platform_dtype_size_checks() { + return { + get_dtype_size_check(), + get_dtype_size_check(), + get_dtype_size_check(), + get_dtype_size_check(), + get_dtype_size_check(), + get_dtype_size_check(), + get_dtype_size_check(), + get_dtype_size_check(), + }; +} + +// Arrays. +using arr = py::array; +using arr_t = py::array_t; +static_assert(std::is_same::value, ""); + +template arr data(const arr& a, Ix... index) { + return arr(a.nbytes() - a.offset_at(index...), (const uint8_t *) a.data(index...)); +} + +template arr data_t(const arr_t& a, Ix... index) { + return arr(a.size() - a.index_at(index...), a.data(index...)); +} + +template arr& mutate_data(arr& a, Ix... index) { + auto ptr = (uint8_t *) a.mutable_data(index...); + for (ssize_t i = 0; i < a.nbytes() - a.offset_at(index...); i++) + ptr[i] = (uint8_t) (ptr[i] * 2); + return a; +} + +template arr_t& mutate_data_t(arr_t& a, Ix... index) { + auto ptr = a.mutable_data(index...); + for (ssize_t i = 0; i < a.size() - a.index_at(index...); i++) + ptr[i]++; + return a; +} + +template ssize_t index_at(const arr& a, Ix... idx) { return a.index_at(idx...); } +template ssize_t index_at_t(const arr_t& a, Ix... idx) { return a.index_at(idx...); } +template ssize_t offset_at(const arr& a, Ix... idx) { return a.offset_at(idx...); } +template ssize_t offset_at_t(const arr_t& a, Ix... idx) { return a.offset_at(idx...); } +template ssize_t at_t(const arr_t& a, Ix... idx) { return a.at(idx...); } +template arr_t& mutate_at_t(arr_t& a, Ix... idx) { a.mutable_at(idx...)++; return a; } + +#define def_index_fn(name, type) \ + sm.def(#name, [](type a) { return name(a); }); \ + sm.def(#name, [](type a, int i) { return name(a, i); }); \ + sm.def(#name, [](type a, int i, int j) { return name(a, i, j); }); \ + sm.def(#name, [](type a, int i, int j, int k) { return name(a, i, j, k); }); + +template py::handle auxiliaries(T &&r, T2 &&r2) { + if (r.ndim() != 2) throw std::domain_error("error: ndim != 2"); + py::list l; + l.append(*r.data(0, 0)); + l.append(*r2.mutable_data(0, 0)); + l.append(r.data(0, 1) == r2.mutable_data(0, 1)); + l.append(r.ndim()); + l.append(r.itemsize()); + l.append(r.shape(0)); + l.append(r.shape(1)); + l.append(r.size()); + l.append(r.nbytes()); + return l.release(); +} + +// note: declaration at local scope would create a dangling reference! +static int data_i = 42; + +TEST_SUBMODULE(numpy_array, sm) { + try { py::module::import("numpy"); } + catch (...) { return; } + + // test_dtypes + py::class_(sm, "DtypeCheck") + .def_readonly("numpy", &DtypeCheck::numpy) + .def_readonly("pybind11", &DtypeCheck::pybind11) + .def("__repr__", [](const DtypeCheck& self) { + return py::str("").format( + self.numpy, self.pybind11); + }); + sm.def("get_concrete_dtype_checks", &get_concrete_dtype_checks); + + py::class_(sm, "DtypeSizeCheck") + .def_readonly("name", &DtypeSizeCheck::name) + .def_readonly("size_cpp", &DtypeSizeCheck::size_cpp) + .def_readonly("size_numpy", &DtypeSizeCheck::size_numpy) + .def("__repr__", [](const DtypeSizeCheck& self) { + return py::str("").format( + self.name, self.size_cpp, self.size_numpy, self.dtype); + }); + sm.def("get_platform_dtype_size_checks", &get_platform_dtype_size_checks); + + // test_array_attributes + sm.def("ndim", [](const arr& a) { return a.ndim(); }); + sm.def("shape", [](const arr& a) { return arr(a.ndim(), a.shape()); }); + sm.def("shape", [](const arr& a, ssize_t dim) { return a.shape(dim); }); + sm.def("strides", [](const arr& a) { return arr(a.ndim(), a.strides()); }); + sm.def("strides", [](const arr& a, ssize_t dim) { return a.strides(dim); }); + sm.def("writeable", [](const arr& a) { return a.writeable(); }); + sm.def("size", [](const arr& a) { return a.size(); }); + sm.def("itemsize", [](const arr& a) { return a.itemsize(); }); + sm.def("nbytes", [](const arr& a) { return a.nbytes(); }); + sm.def("owndata", [](const arr& a) { return a.owndata(); }); + + // test_index_offset + def_index_fn(index_at, const arr&); + def_index_fn(index_at_t, const arr_t&); + def_index_fn(offset_at, const arr&); + def_index_fn(offset_at_t, const arr_t&); + // test_data + def_index_fn(data, const arr&); + def_index_fn(data_t, const arr_t&); + // test_mutate_data, test_mutate_readonly + def_index_fn(mutate_data, arr&); + def_index_fn(mutate_data_t, arr_t&); + def_index_fn(at_t, const arr_t&); + def_index_fn(mutate_at_t, arr_t&); + + // test_make_c_f_array + sm.def("make_f_array", [] { return py::array_t({ 2, 2 }, { 4, 8 }); }); + sm.def("make_c_array", [] { return py::array_t({ 2, 2 }, { 8, 4 }); }); + + // test_empty_shaped_array + sm.def("make_empty_shaped_array", [] { return py::array(py::dtype("f"), {}, {}); }); + // test numpy scalars (empty shape, ndim==0) + sm.def("scalar_int", []() { return py::array(py::dtype("i"), {}, {}, &data_i); }); + + // test_wrap + sm.def("wrap", [](py::array a) { + return py::array( + a.dtype(), + {a.shape(), a.shape() + a.ndim()}, + {a.strides(), a.strides() + a.ndim()}, + a.data(), + a + ); + }); + + // test_numpy_view + struct ArrayClass { + int data[2] = { 1, 2 }; + ArrayClass() { py::print("ArrayClass()"); } + ~ArrayClass() { py::print("~ArrayClass()"); } + }; + py::class_(sm, "ArrayClass") + .def(py::init<>()) + .def("numpy_view", [](py::object &obj) { + py::print("ArrayClass::numpy_view()"); + ArrayClass &a = obj.cast(); + return py::array_t({2}, {4}, a.data, obj); + } + ); + + // test_cast_numpy_int64_to_uint64 + sm.def("function_taking_uint64", [](uint64_t) { }); + + // test_isinstance + sm.def("isinstance_untyped", [](py::object yes, py::object no) { + return py::isinstance(yes) && !py::isinstance(no); + }); + sm.def("isinstance_typed", [](py::object o) { + return py::isinstance>(o) && !py::isinstance>(o); + }); + + // test_constructors + sm.def("default_constructors", []() { + return py::dict( + "array"_a=py::array(), + "array_t"_a=py::array_t(), + "array_t"_a=py::array_t() + ); + }); + sm.def("converting_constructors", [](py::object o) { + return py::dict( + "array"_a=py::array(o), + "array_t"_a=py::array_t(o), + "array_t"_a=py::array_t(o) + ); + }); + + // test_overload_resolution + sm.def("overloaded", [](py::array_t) { return "double"; }); + sm.def("overloaded", [](py::array_t) { return "float"; }); + sm.def("overloaded", [](py::array_t) { return "int"; }); + sm.def("overloaded", [](py::array_t) { return "unsigned short"; }); + sm.def("overloaded", [](py::array_t) { return "long long"; }); + sm.def("overloaded", [](py::array_t>) { return "double complex"; }); + sm.def("overloaded", [](py::array_t>) { return "float complex"; }); + + sm.def("overloaded2", [](py::array_t>) { return "double complex"; }); + sm.def("overloaded2", [](py::array_t) { return "double"; }); + sm.def("overloaded2", [](py::array_t>) { return "float complex"; }); + sm.def("overloaded2", [](py::array_t) { return "float"; }); + + // Only accept the exact types: + sm.def("overloaded3", [](py::array_t) { return "int"; }, py::arg().noconvert()); + sm.def("overloaded3", [](py::array_t) { return "double"; }, py::arg().noconvert()); + + // Make sure we don't do unsafe coercion (e.g. float to int) when not using forcecast, but + // rather that float gets converted via the safe (conversion to double) overload: + sm.def("overloaded4", [](py::array_t) { return "long long"; }); + sm.def("overloaded4", [](py::array_t) { return "double"; }); + + // But we do allow conversion to int if forcecast is enabled (but only if no overload matches + // without conversion) + sm.def("overloaded5", [](py::array_t) { return "unsigned int"; }); + sm.def("overloaded5", [](py::array_t) { return "double"; }); + + // test_greedy_string_overload + // Issue 685: ndarray shouldn't go to std::string overload + sm.def("issue685", [](std::string) { return "string"; }); + sm.def("issue685", [](py::array) { return "array"; }); + sm.def("issue685", [](py::object) { return "other"; }); + + // test_array_unchecked_fixed_dims + sm.def("proxy_add2", [](py::array_t a, double v) { + auto r = a.mutable_unchecked<2>(); + for (ssize_t i = 0; i < r.shape(0); i++) + for (ssize_t j = 0; j < r.shape(1); j++) + r(i, j) += v; + }, py::arg().noconvert(), py::arg()); + + sm.def("proxy_init3", [](double start) { + py::array_t a({ 3, 3, 3 }); + auto r = a.mutable_unchecked<3>(); + for (ssize_t i = 0; i < r.shape(0); i++) + for (ssize_t j = 0; j < r.shape(1); j++) + for (ssize_t k = 0; k < r.shape(2); k++) + r(i, j, k) = start++; + return a; + }); + sm.def("proxy_init3F", [](double start) { + py::array_t a({ 3, 3, 3 }); + auto r = a.mutable_unchecked<3>(); + for (ssize_t k = 0; k < r.shape(2); k++) + for (ssize_t j = 0; j < r.shape(1); j++) + for (ssize_t i = 0; i < r.shape(0); i++) + r(i, j, k) = start++; + return a; + }); + sm.def("proxy_squared_L2_norm", [](py::array_t a) { + auto r = a.unchecked<1>(); + double sumsq = 0; + for (ssize_t i = 0; i < r.shape(0); i++) + sumsq += r[i] * r(i); // Either notation works for a 1D array + return sumsq; + }); + + sm.def("proxy_auxiliaries2", [](py::array_t a) { + auto r = a.unchecked<2>(); + auto r2 = a.mutable_unchecked<2>(); + return auxiliaries(r, r2); + }); + + // test_array_unchecked_dyn_dims + // Same as the above, but without a compile-time dimensions specification: + sm.def("proxy_add2_dyn", [](py::array_t a, double v) { + auto r = a.mutable_unchecked(); + if (r.ndim() != 2) throw std::domain_error("error: ndim != 2"); + for (ssize_t i = 0; i < r.shape(0); i++) + for (ssize_t j = 0; j < r.shape(1); j++) + r(i, j) += v; + }, py::arg().noconvert(), py::arg()); + sm.def("proxy_init3_dyn", [](double start) { + py::array_t a({ 3, 3, 3 }); + auto r = a.mutable_unchecked(); + if (r.ndim() != 3) throw std::domain_error("error: ndim != 3"); + for (ssize_t i = 0; i < r.shape(0); i++) + for (ssize_t j = 0; j < r.shape(1); j++) + for (ssize_t k = 0; k < r.shape(2); k++) + r(i, j, k) = start++; + return a; + }); + sm.def("proxy_auxiliaries2_dyn", [](py::array_t a) { + return auxiliaries(a.unchecked(), a.mutable_unchecked()); + }); + + sm.def("array_auxiliaries2", [](py::array_t a) { + return auxiliaries(a, a); + }); + + // test_array_failures + // Issue #785: Uninformative "Unknown internal error" exception when constructing array from empty object: + sm.def("array_fail_test", []() { return py::array(py::object()); }); + sm.def("array_t_fail_test", []() { return py::array_t(py::object()); }); + // Make sure the error from numpy is being passed through: + sm.def("array_fail_test_negative_size", []() { int c = 0; return py::array(-1, &c); }); + + // test_initializer_list + // Issue (unnumbered; reported in #788): regression: initializer lists can be ambiguous + sm.def("array_initializer_list1", []() { return py::array_t(1); }); // { 1 } also works, but clang warns about it + sm.def("array_initializer_list2", []() { return py::array_t({ 1, 2 }); }); + sm.def("array_initializer_list3", []() { return py::array_t({ 1, 2, 3 }); }); + sm.def("array_initializer_list4", []() { return py::array_t({ 1, 2, 3, 4 }); }); + + // test_array_resize + // reshape array to 2D without changing size + sm.def("array_reshape2", [](py::array_t a) { + const ssize_t dim_sz = (ssize_t)std::sqrt(a.size()); + if (dim_sz * dim_sz != a.size()) + throw std::domain_error("array_reshape2: input array total size is not a squared integer"); + a.resize({dim_sz, dim_sz}); + }); + + // resize to 3D array with each dimension = N + sm.def("array_resize3", [](py::array_t a, size_t N, bool refcheck) { + a.resize({N, N, N}, refcheck); + }); + + // test_array_create_and_resize + // return 2D array with Nrows = Ncols = N + sm.def("create_and_resize", [](size_t N) { + py::array_t a; + a.resize({N, N}); + std::fill(a.mutable_data(), a.mutable_data() + a.size(), 42.); + return a; + }); + +#if PY_MAJOR_VERSION >= 3 + sm.def("index_using_ellipsis", [](py::array a) { + return a[py::make_tuple(0, py::ellipsis(), 0)]; + }); +#endif +} diff --git a/external/pybind11/tests/test_numpy_array.py b/external/pybind11/tests/test_numpy_array.py new file mode 100644 index 0000000..d0a6324 --- /dev/null +++ b/external/pybind11/tests/test_numpy_array.py @@ -0,0 +1,447 @@ +import pytest +from pybind11_tests import numpy_array as m + +pytestmark = pytest.requires_numpy + +with pytest.suppress(ImportError): + import numpy as np + + +def test_dtypes(): + # See issue #1328. + # - Platform-dependent sizes. + for size_check in m.get_platform_dtype_size_checks(): + print(size_check) + assert size_check.size_cpp == size_check.size_numpy, size_check + # - Concrete sizes. + for check in m.get_concrete_dtype_checks(): + print(check) + assert check.numpy == check.pybind11, check + if check.numpy.num != check.pybind11.num: + print("NOTE: typenum mismatch for {}: {} != {}".format( + check, check.numpy.num, check.pybind11.num)) + + +@pytest.fixture(scope='function') +def arr(): + return np.array([[1, 2, 3], [4, 5, 6]], '=u2') + + +def test_array_attributes(): + a = np.array(0, 'f8') + assert m.ndim(a) == 0 + assert all(m.shape(a) == []) + assert all(m.strides(a) == []) + with pytest.raises(IndexError) as excinfo: + m.shape(a, 0) + assert str(excinfo.value) == 'invalid axis: 0 (ndim = 0)' + with pytest.raises(IndexError) as excinfo: + m.strides(a, 0) + assert str(excinfo.value) == 'invalid axis: 0 (ndim = 0)' + assert m.writeable(a) + assert m.size(a) == 1 + assert m.itemsize(a) == 8 + assert m.nbytes(a) == 8 + assert m.owndata(a) + + a = np.array([[1, 2, 3], [4, 5, 6]], 'u2').view() + a.flags.writeable = False + assert m.ndim(a) == 2 + assert all(m.shape(a) == [2, 3]) + assert m.shape(a, 0) == 2 + assert m.shape(a, 1) == 3 + assert all(m.strides(a) == [6, 2]) + assert m.strides(a, 0) == 6 + assert m.strides(a, 1) == 2 + with pytest.raises(IndexError) as excinfo: + m.shape(a, 2) + assert str(excinfo.value) == 'invalid axis: 2 (ndim = 2)' + with pytest.raises(IndexError) as excinfo: + m.strides(a, 2) + assert str(excinfo.value) == 'invalid axis: 2 (ndim = 2)' + assert not m.writeable(a) + assert m.size(a) == 6 + assert m.itemsize(a) == 2 + assert m.nbytes(a) == 12 + assert not m.owndata(a) + + +@pytest.mark.parametrize('args, ret', [([], 0), ([0], 0), ([1], 3), ([0, 1], 1), ([1, 2], 5)]) +def test_index_offset(arr, args, ret): + assert m.index_at(arr, *args) == ret + assert m.index_at_t(arr, *args) == ret + assert m.offset_at(arr, *args) == ret * arr.dtype.itemsize + assert m.offset_at_t(arr, *args) == ret * arr.dtype.itemsize + + +def test_dim_check_fail(arr): + for func in (m.index_at, m.index_at_t, m.offset_at, m.offset_at_t, m.data, m.data_t, + m.mutate_data, m.mutate_data_t): + with pytest.raises(IndexError) as excinfo: + func(arr, 1, 2, 3) + assert str(excinfo.value) == 'too many indices for an array: 3 (ndim = 2)' + + +@pytest.mark.parametrize('args, ret', + [([], [1, 2, 3, 4, 5, 6]), + ([1], [4, 5, 6]), + ([0, 1], [2, 3, 4, 5, 6]), + ([1, 2], [6])]) +def test_data(arr, args, ret): + from sys import byteorder + assert all(m.data_t(arr, *args) == ret) + assert all(m.data(arr, *args)[(0 if byteorder == 'little' else 1)::2] == ret) + assert all(m.data(arr, *args)[(1 if byteorder == 'little' else 0)::2] == 0) + + +@pytest.mark.parametrize('dim', [0, 1, 3]) +def test_at_fail(arr, dim): + for func in m.at_t, m.mutate_at_t: + with pytest.raises(IndexError) as excinfo: + func(arr, *([0] * dim)) + assert str(excinfo.value) == 'index dimension mismatch: {} (ndim = 2)'.format(dim) + + +def test_at(arr): + assert m.at_t(arr, 0, 2) == 3 + assert m.at_t(arr, 1, 0) == 4 + + assert all(m.mutate_at_t(arr, 0, 2).ravel() == [1, 2, 4, 4, 5, 6]) + assert all(m.mutate_at_t(arr, 1, 0).ravel() == [1, 2, 4, 5, 5, 6]) + + +def test_mutate_readonly(arr): + arr.flags.writeable = False + for func, args in (m.mutate_data, ()), (m.mutate_data_t, ()), (m.mutate_at_t, (0, 0)): + with pytest.raises(ValueError) as excinfo: + func(arr, *args) + assert str(excinfo.value) == 'array is not writeable' + + +def test_mutate_data(arr): + assert all(m.mutate_data(arr).ravel() == [2, 4, 6, 8, 10, 12]) + assert all(m.mutate_data(arr).ravel() == [4, 8, 12, 16, 20, 24]) + assert all(m.mutate_data(arr, 1).ravel() == [4, 8, 12, 32, 40, 48]) + assert all(m.mutate_data(arr, 0, 1).ravel() == [4, 16, 24, 64, 80, 96]) + assert all(m.mutate_data(arr, 1, 2).ravel() == [4, 16, 24, 64, 80, 192]) + + assert all(m.mutate_data_t(arr).ravel() == [5, 17, 25, 65, 81, 193]) + assert all(m.mutate_data_t(arr).ravel() == [6, 18, 26, 66, 82, 194]) + assert all(m.mutate_data_t(arr, 1).ravel() == [6, 18, 26, 67, 83, 195]) + assert all(m.mutate_data_t(arr, 0, 1).ravel() == [6, 19, 27, 68, 84, 196]) + assert all(m.mutate_data_t(arr, 1, 2).ravel() == [6, 19, 27, 68, 84, 197]) + + +def test_bounds_check(arr): + for func in (m.index_at, m.index_at_t, m.data, m.data_t, + m.mutate_data, m.mutate_data_t, m.at_t, m.mutate_at_t): + with pytest.raises(IndexError) as excinfo: + func(arr, 2, 0) + assert str(excinfo.value) == 'index 2 is out of bounds for axis 0 with size 2' + with pytest.raises(IndexError) as excinfo: + func(arr, 0, 4) + assert str(excinfo.value) == 'index 4 is out of bounds for axis 1 with size 3' + + +def test_make_c_f_array(): + assert m.make_c_array().flags.c_contiguous + assert not m.make_c_array().flags.f_contiguous + assert m.make_f_array().flags.f_contiguous + assert not m.make_f_array().flags.c_contiguous + + +def test_make_empty_shaped_array(): + m.make_empty_shaped_array() + + # empty shape means numpy scalar, PEP 3118 + assert m.scalar_int().ndim == 0 + assert m.scalar_int().shape == () + assert m.scalar_int() == 42 + + +def test_wrap(): + def assert_references(a, b, base=None): + from distutils.version import LooseVersion + if base is None: + base = a + assert a is not b + assert a.__array_interface__['data'][0] == b.__array_interface__['data'][0] + assert a.shape == b.shape + assert a.strides == b.strides + assert a.flags.c_contiguous == b.flags.c_contiguous + assert a.flags.f_contiguous == b.flags.f_contiguous + assert a.flags.writeable == b.flags.writeable + assert a.flags.aligned == b.flags.aligned + if LooseVersion(np.__version__) >= LooseVersion("1.14.0"): + assert a.flags.writebackifcopy == b.flags.writebackifcopy + else: + assert a.flags.updateifcopy == b.flags.updateifcopy + assert np.all(a == b) + assert not b.flags.owndata + assert b.base is base + if a.flags.writeable and a.ndim == 2: + a[0, 0] = 1234 + assert b[0, 0] == 1234 + + a1 = np.array([1, 2], dtype=np.int16) + assert a1.flags.owndata and a1.base is None + a2 = m.wrap(a1) + assert_references(a1, a2) + + a1 = np.array([[1, 2], [3, 4]], dtype=np.float32, order='F') + assert a1.flags.owndata and a1.base is None + a2 = m.wrap(a1) + assert_references(a1, a2) + + a1 = np.array([[1, 2], [3, 4]], dtype=np.float32, order='C') + a1.flags.writeable = False + a2 = m.wrap(a1) + assert_references(a1, a2) + + a1 = np.random.random((4, 4, 4)) + a2 = m.wrap(a1) + assert_references(a1, a2) + + a1t = a1.transpose() + a2 = m.wrap(a1t) + assert_references(a1t, a2, a1) + + a1d = a1.diagonal() + a2 = m.wrap(a1d) + assert_references(a1d, a2, a1) + + a1m = a1[::-1, ::-1, ::-1] + a2 = m.wrap(a1m) + assert_references(a1m, a2, a1) + + +def test_numpy_view(capture): + with capture: + ac = m.ArrayClass() + ac_view_1 = ac.numpy_view() + ac_view_2 = ac.numpy_view() + assert np.all(ac_view_1 == np.array([1, 2], dtype=np.int32)) + del ac + pytest.gc_collect() + assert capture == """ + ArrayClass() + ArrayClass::numpy_view() + ArrayClass::numpy_view() + """ + ac_view_1[0] = 4 + ac_view_1[1] = 3 + assert ac_view_2[0] == 4 + assert ac_view_2[1] == 3 + with capture: + del ac_view_1 + del ac_view_2 + pytest.gc_collect() + pytest.gc_collect() + assert capture == """ + ~ArrayClass() + """ + + +@pytest.unsupported_on_pypy +def test_cast_numpy_int64_to_uint64(): + m.function_taking_uint64(123) + m.function_taking_uint64(np.uint64(123)) + + +def test_isinstance(): + assert m.isinstance_untyped(np.array([1, 2, 3]), "not an array") + assert m.isinstance_typed(np.array([1.0, 2.0, 3.0])) + + +def test_constructors(): + defaults = m.default_constructors() + for a in defaults.values(): + assert a.size == 0 + assert defaults["array"].dtype == np.array([]).dtype + assert defaults["array_t"].dtype == np.int32 + assert defaults["array_t"].dtype == np.float64 + + results = m.converting_constructors([1, 2, 3]) + for a in results.values(): + np.testing.assert_array_equal(a, [1, 2, 3]) + assert results["array"].dtype == np.int_ + assert results["array_t"].dtype == np.int32 + assert results["array_t"].dtype == np.float64 + + +def test_overload_resolution(msg): + # Exact overload matches: + assert m.overloaded(np.array([1], dtype='float64')) == 'double' + assert m.overloaded(np.array([1], dtype='float32')) == 'float' + assert m.overloaded(np.array([1], dtype='ushort')) == 'unsigned short' + assert m.overloaded(np.array([1], dtype='intc')) == 'int' + assert m.overloaded(np.array([1], dtype='longlong')) == 'long long' + assert m.overloaded(np.array([1], dtype='complex')) == 'double complex' + assert m.overloaded(np.array([1], dtype='csingle')) == 'float complex' + + # No exact match, should call first convertible version: + assert m.overloaded(np.array([1], dtype='uint8')) == 'double' + + with pytest.raises(TypeError) as excinfo: + m.overloaded("not an array") + assert msg(excinfo.value) == """ + overloaded(): incompatible function arguments. The following argument types are supported: + 1. (arg0: numpy.ndarray[float64]) -> str + 2. (arg0: numpy.ndarray[float32]) -> str + 3. (arg0: numpy.ndarray[int32]) -> str + 4. (arg0: numpy.ndarray[uint16]) -> str + 5. (arg0: numpy.ndarray[int64]) -> str + 6. (arg0: numpy.ndarray[complex128]) -> str + 7. (arg0: numpy.ndarray[complex64]) -> str + + Invoked with: 'not an array' + """ + + assert m.overloaded2(np.array([1], dtype='float64')) == 'double' + assert m.overloaded2(np.array([1], dtype='float32')) == 'float' + assert m.overloaded2(np.array([1], dtype='complex64')) == 'float complex' + assert m.overloaded2(np.array([1], dtype='complex128')) == 'double complex' + assert m.overloaded2(np.array([1], dtype='float32')) == 'float' + + assert m.overloaded3(np.array([1], dtype='float64')) == 'double' + assert m.overloaded3(np.array([1], dtype='intc')) == 'int' + expected_exc = """ + overloaded3(): incompatible function arguments. The following argument types are supported: + 1. (arg0: numpy.ndarray[int32]) -> str + 2. (arg0: numpy.ndarray[float64]) -> str + + Invoked with: """ + + with pytest.raises(TypeError) as excinfo: + m.overloaded3(np.array([1], dtype='uintc')) + assert msg(excinfo.value) == expected_exc + repr(np.array([1], dtype='uint32')) + with pytest.raises(TypeError) as excinfo: + m.overloaded3(np.array([1], dtype='float32')) + assert msg(excinfo.value) == expected_exc + repr(np.array([1.], dtype='float32')) + with pytest.raises(TypeError) as excinfo: + m.overloaded3(np.array([1], dtype='complex')) + assert msg(excinfo.value) == expected_exc + repr(np.array([1. + 0.j])) + + # Exact matches: + assert m.overloaded4(np.array([1], dtype='double')) == 'double' + assert m.overloaded4(np.array([1], dtype='longlong')) == 'long long' + # Non-exact matches requiring conversion. Since float to integer isn't a + # save conversion, it should go to the double overload, but short can go to + # either (and so should end up on the first-registered, the long long). + assert m.overloaded4(np.array([1], dtype='float32')) == 'double' + assert m.overloaded4(np.array([1], dtype='short')) == 'long long' + + assert m.overloaded5(np.array([1], dtype='double')) == 'double' + assert m.overloaded5(np.array([1], dtype='uintc')) == 'unsigned int' + assert m.overloaded5(np.array([1], dtype='float32')) == 'unsigned int' + + +def test_greedy_string_overload(): + """Tests fix for #685 - ndarray shouldn't go to std::string overload""" + + assert m.issue685("abc") == "string" + assert m.issue685(np.array([97, 98, 99], dtype='b')) == "array" + assert m.issue685(123) == "other" + + +def test_array_unchecked_fixed_dims(msg): + z1 = np.array([[1, 2], [3, 4]], dtype='float64') + m.proxy_add2(z1, 10) + assert np.all(z1 == [[11, 12], [13, 14]]) + + with pytest.raises(ValueError) as excinfo: + m.proxy_add2(np.array([1., 2, 3]), 5.0) + assert msg(excinfo.value) == "array has incorrect number of dimensions: 1; expected 2" + + expect_c = np.ndarray(shape=(3, 3, 3), buffer=np.array(range(3, 30)), dtype='int') + assert np.all(m.proxy_init3(3.0) == expect_c) + expect_f = np.transpose(expect_c) + assert np.all(m.proxy_init3F(3.0) == expect_f) + + assert m.proxy_squared_L2_norm(np.array(range(6))) == 55 + assert m.proxy_squared_L2_norm(np.array(range(6), dtype="float64")) == 55 + + assert m.proxy_auxiliaries2(z1) == [11, 11, True, 2, 8, 2, 2, 4, 32] + assert m.proxy_auxiliaries2(z1) == m.array_auxiliaries2(z1) + + +def test_array_unchecked_dyn_dims(msg): + z1 = np.array([[1, 2], [3, 4]], dtype='float64') + m.proxy_add2_dyn(z1, 10) + assert np.all(z1 == [[11, 12], [13, 14]]) + + expect_c = np.ndarray(shape=(3, 3, 3), buffer=np.array(range(3, 30)), dtype='int') + assert np.all(m.proxy_init3_dyn(3.0) == expect_c) + + assert m.proxy_auxiliaries2_dyn(z1) == [11, 11, True, 2, 8, 2, 2, 4, 32] + assert m.proxy_auxiliaries2_dyn(z1) == m.array_auxiliaries2(z1) + + +def test_array_failure(): + with pytest.raises(ValueError) as excinfo: + m.array_fail_test() + assert str(excinfo.value) == 'cannot create a pybind11::array from a nullptr' + + with pytest.raises(ValueError) as excinfo: + m.array_t_fail_test() + assert str(excinfo.value) == 'cannot create a pybind11::array_t from a nullptr' + + with pytest.raises(ValueError) as excinfo: + m.array_fail_test_negative_size() + assert str(excinfo.value) == 'negative dimensions are not allowed' + + +def test_initializer_list(): + assert m.array_initializer_list1().shape == (1,) + assert m.array_initializer_list2().shape == (1, 2) + assert m.array_initializer_list3().shape == (1, 2, 3) + assert m.array_initializer_list4().shape == (1, 2, 3, 4) + + +def test_array_resize(msg): + a = np.array([1, 2, 3, 4, 5, 6, 7, 8, 9], dtype='float64') + m.array_reshape2(a) + assert(a.size == 9) + assert(np.all(a == [[1, 2, 3], [4, 5, 6], [7, 8, 9]])) + + # total size change should succced with refcheck off + m.array_resize3(a, 4, False) + assert(a.size == 64) + # ... and fail with refcheck on + try: + m.array_resize3(a, 3, True) + except ValueError as e: + assert(str(e).startswith("cannot resize an array")) + # transposed array doesn't own data + b = a.transpose() + try: + m.array_resize3(b, 3, False) + except ValueError as e: + assert(str(e).startswith("cannot resize this array: it does not own its data")) + # ... but reshape should be fine + m.array_reshape2(b) + assert(b.shape == (8, 8)) + + +@pytest.unsupported_on_pypy +def test_array_create_and_resize(msg): + a = m.create_and_resize(2) + assert(a.size == 4) + assert(np.all(a == 42.)) + + +@pytest.unsupported_on_py2 +def test_index_using_ellipsis(): + a = m.index_using_ellipsis(np.zeros((5, 6, 7))) + assert a.shape == (6,) + + +@pytest.unsupported_on_pypy +def test_dtype_refcount_leak(): + from sys import getrefcount + dtype = np.dtype(np.float_) + a = np.array([1], dtype=dtype) + before = getrefcount(dtype) + m.ndim(a) + after = getrefcount(dtype) + assert after == before diff --git a/external/pybind11/tests/test_numpy_dtypes.cpp b/external/pybind11/tests/test_numpy_dtypes.cpp new file mode 100644 index 0000000..467e025 --- /dev/null +++ b/external/pybind11/tests/test_numpy_dtypes.cpp @@ -0,0 +1,474 @@ +/* + tests/test_numpy_dtypes.cpp -- Structured and compound NumPy dtypes + + Copyright (c) 2016 Ivan Smirnov + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" +#include + +#ifdef __GNUC__ +#define PYBIND11_PACKED(cls) cls __attribute__((__packed__)) +#else +#define PYBIND11_PACKED(cls) __pragma(pack(push, 1)) cls __pragma(pack(pop)) +#endif + +namespace py = pybind11; + +struct SimpleStruct { + bool bool_; + uint32_t uint_; + float float_; + long double ldbl_; +}; + +std::ostream& operator<<(std::ostream& os, const SimpleStruct& v) { + return os << "s:" << v.bool_ << "," << v.uint_ << "," << v.float_ << "," << v.ldbl_; +} + +struct SimpleStructReordered { + bool bool_; + float float_; + uint32_t uint_; + long double ldbl_; +}; + +PYBIND11_PACKED(struct PackedStruct { + bool bool_; + uint32_t uint_; + float float_; + long double ldbl_; +}); + +std::ostream& operator<<(std::ostream& os, const PackedStruct& v) { + return os << "p:" << v.bool_ << "," << v.uint_ << "," << v.float_ << "," << v.ldbl_; +} + +PYBIND11_PACKED(struct NestedStruct { + SimpleStruct a; + PackedStruct b; +}); + +std::ostream& operator<<(std::ostream& os, const NestedStruct& v) { + return os << "n:a=" << v.a << ";b=" << v.b; +} + +struct PartialStruct { + bool bool_; + uint32_t uint_; + float float_; + uint64_t dummy2; + long double ldbl_; +}; + +struct PartialNestedStruct { + uint64_t dummy1; + PartialStruct a; + uint64_t dummy2; +}; + +struct UnboundStruct { }; + +struct StringStruct { + char a[3]; + std::array b; +}; + +struct ComplexStruct { + std::complex cflt; + std::complex cdbl; +}; + +std::ostream& operator<<(std::ostream& os, const ComplexStruct& v) { + return os << "c:" << v.cflt << "," << v.cdbl; +} + +struct ArrayStruct { + char a[3][4]; + int32_t b[2]; + std::array c; + std::array d[4]; +}; + +PYBIND11_PACKED(struct StructWithUglyNames { + int8_t __x__; + uint64_t __y__; +}); + +enum class E1 : int64_t { A = -1, B = 1 }; +enum E2 : uint8_t { X = 1, Y = 2 }; + +PYBIND11_PACKED(struct EnumStruct { + E1 e1; + E2 e2; +}); + +std::ostream& operator<<(std::ostream& os, const StringStruct& v) { + os << "a='"; + for (size_t i = 0; i < 3 && v.a[i]; i++) os << v.a[i]; + os << "',b='"; + for (size_t i = 0; i < 3 && v.b[i]; i++) os << v.b[i]; + return os << "'"; +} + +std::ostream& operator<<(std::ostream& os, const ArrayStruct& v) { + os << "a={"; + for (int i = 0; i < 3; i++) { + if (i > 0) + os << ','; + os << '{'; + for (int j = 0; j < 3; j++) + os << v.a[i][j] << ','; + os << v.a[i][3] << '}'; + } + os << "},b={" << v.b[0] << ',' << v.b[1]; + os << "},c={" << int(v.c[0]) << ',' << int(v.c[1]) << ',' << int(v.c[2]); + os << "},d={"; + for (int i = 0; i < 4; i++) { + if (i > 0) + os << ','; + os << '{' << v.d[i][0] << ',' << v.d[i][1] << '}'; + } + return os << '}'; +} + +std::ostream& operator<<(std::ostream& os, const EnumStruct& v) { + return os << "e1=" << (v.e1 == E1::A ? "A" : "B") << ",e2=" << (v.e2 == E2::X ? "X" : "Y"); +} + +template +py::array mkarray_via_buffer(size_t n) { + return py::array(py::buffer_info(nullptr, sizeof(T), + py::format_descriptor::format(), + 1, { n }, { sizeof(T) })); +} + +#define SET_TEST_VALS(s, i) do { \ + s.bool_ = (i) % 2 != 0; \ + s.uint_ = (uint32_t) (i); \ + s.float_ = (float) (i) * 1.5f; \ + s.ldbl_ = (long double) (i) * -2.5L; } while (0) + +template +py::array_t create_recarray(size_t n) { + auto arr = mkarray_via_buffer(n); + auto req = arr.request(); + auto ptr = static_cast(req.ptr); + for (size_t i = 0; i < n; i++) { + SET_TEST_VALS(ptr[i], i); + } + return arr; +} + +template +py::list print_recarray(py::array_t arr) { + const auto req = arr.request(); + const auto ptr = static_cast(req.ptr); + auto l = py::list(); + for (ssize_t i = 0; i < req.size; i++) { + std::stringstream ss; + ss << ptr[i]; + l.append(py::str(ss.str())); + } + return l; +} + +py::array_t test_array_ctors(int i) { + using arr_t = py::array_t; + + std::vector data { 1, 2, 3, 4, 5, 6 }; + std::vector shape { 3, 2 }; + std::vector strides { 8, 4 }; + + auto ptr = data.data(); + auto vptr = (void *) ptr; + auto dtype = py::dtype("int32"); + + py::buffer_info buf_ndim1(vptr, 4, "i", 6); + py::buffer_info buf_ndim1_null(nullptr, 4, "i", 6); + py::buffer_info buf_ndim2(vptr, 4, "i", 2, shape, strides); + py::buffer_info buf_ndim2_null(nullptr, 4, "i", 2, shape, strides); + + auto fill = [](py::array arr) { + auto req = arr.request(); + for (int i = 0; i < 6; i++) ((int32_t *) req.ptr)[i] = i + 1; + return arr; + }; + + switch (i) { + // shape: (3, 2) + case 10: return arr_t(shape, strides, ptr); + case 11: return py::array(shape, strides, ptr); + case 12: return py::array(dtype, shape, strides, vptr); + case 13: return arr_t(shape, ptr); + case 14: return py::array(shape, ptr); + case 15: return py::array(dtype, shape, vptr); + case 16: return arr_t(buf_ndim2); + case 17: return py::array(buf_ndim2); + // shape: (3, 2) - post-fill + case 20: return fill(arr_t(shape, strides)); + case 21: return py::array(shape, strides, ptr); // can't have nullptr due to templated ctor + case 22: return fill(py::array(dtype, shape, strides)); + case 23: return fill(arr_t(shape)); + case 24: return py::array(shape, ptr); // can't have nullptr due to templated ctor + case 25: return fill(py::array(dtype, shape)); + case 26: return fill(arr_t(buf_ndim2_null)); + case 27: return fill(py::array(buf_ndim2_null)); + // shape: (6, ) + case 30: return arr_t(6, ptr); + case 31: return py::array(6, ptr); + case 32: return py::array(dtype, 6, vptr); + case 33: return arr_t(buf_ndim1); + case 34: return py::array(buf_ndim1); + // shape: (6, ) + case 40: return fill(arr_t(6)); + case 41: return py::array(6, ptr); // can't have nullptr due to templated ctor + case 42: return fill(py::array(dtype, 6)); + case 43: return fill(arr_t(buf_ndim1_null)); + case 44: return fill(py::array(buf_ndim1_null)); + } + return arr_t(); +} + +py::list test_dtype_ctors() { + py::list list; + list.append(py::dtype("int32")); + list.append(py::dtype(std::string("float64"))); + list.append(py::dtype::from_args(py::str("bool"))); + py::list names, offsets, formats; + py::dict dict; + names.append(py::str("a")); names.append(py::str("b")); dict["names"] = names; + offsets.append(py::int_(1)); offsets.append(py::int_(10)); dict["offsets"] = offsets; + formats.append(py::dtype("int32")); formats.append(py::dtype("float64")); dict["formats"] = formats; + dict["itemsize"] = py::int_(20); + list.append(py::dtype::from_args(dict)); + list.append(py::dtype(names, formats, offsets, 20)); + list.append(py::dtype(py::buffer_info((void *) 0, sizeof(unsigned int), "I", 1))); + list.append(py::dtype(py::buffer_info((void *) 0, 0, "T{i:a:f:b:}", 1))); + return list; +} + +struct A {}; +struct B {}; + +TEST_SUBMODULE(numpy_dtypes, m) { + try { py::module::import("numpy"); } + catch (...) { return; } + + // typeinfo may be registered before the dtype descriptor for scalar casts to work... + py::class_(m, "SimpleStruct"); + + PYBIND11_NUMPY_DTYPE(SimpleStruct, bool_, uint_, float_, ldbl_); + PYBIND11_NUMPY_DTYPE(SimpleStructReordered, bool_, uint_, float_, ldbl_); + PYBIND11_NUMPY_DTYPE(PackedStruct, bool_, uint_, float_, ldbl_); + PYBIND11_NUMPY_DTYPE(NestedStruct, a, b); + PYBIND11_NUMPY_DTYPE(PartialStruct, bool_, uint_, float_, ldbl_); + PYBIND11_NUMPY_DTYPE(PartialNestedStruct, a); + PYBIND11_NUMPY_DTYPE(StringStruct, a, b); + PYBIND11_NUMPY_DTYPE(ArrayStruct, a, b, c, d); + PYBIND11_NUMPY_DTYPE(EnumStruct, e1, e2); + PYBIND11_NUMPY_DTYPE(ComplexStruct, cflt, cdbl); + + // ... or after + py::class_(m, "PackedStruct"); + + PYBIND11_NUMPY_DTYPE_EX(StructWithUglyNames, __x__, "x", __y__, "y"); + + // If uncommented, this should produce a static_assert failure telling the user that the struct + // is not a POD type +// struct NotPOD { std::string v; NotPOD() : v("hi") {}; }; +// PYBIND11_NUMPY_DTYPE(NotPOD, v); + + // Check that dtypes can be registered programmatically, both from + // initializer lists of field descriptors and from other containers. + py::detail::npy_format_descriptor::register_dtype( + {} + ); + py::detail::npy_format_descriptor::register_dtype( + std::vector{} + ); + + // test_recarray, test_scalar_conversion + m.def("create_rec_simple", &create_recarray); + m.def("create_rec_packed", &create_recarray); + m.def("create_rec_nested", [](size_t n) { // test_signature + py::array_t arr = mkarray_via_buffer(n); + auto req = arr.request(); + auto ptr = static_cast(req.ptr); + for (size_t i = 0; i < n; i++) { + SET_TEST_VALS(ptr[i].a, i); + SET_TEST_VALS(ptr[i].b, i + 1); + } + return arr; + }); + m.def("create_rec_partial", &create_recarray); + m.def("create_rec_partial_nested", [](size_t n) { + py::array_t arr = mkarray_via_buffer(n); + auto req = arr.request(); + auto ptr = static_cast(req.ptr); + for (size_t i = 0; i < n; i++) { + SET_TEST_VALS(ptr[i].a, i); + } + return arr; + }); + m.def("print_rec_simple", &print_recarray); + m.def("print_rec_packed", &print_recarray); + m.def("print_rec_nested", &print_recarray); + + // test_format_descriptors + m.def("get_format_unbound", []() { return py::format_descriptor::format(); }); + m.def("print_format_descriptors", []() { + py::list l; + for (const auto &fmt : { + py::format_descriptor::format(), + py::format_descriptor::format(), + py::format_descriptor::format(), + py::format_descriptor::format(), + py::format_descriptor::format(), + py::format_descriptor::format(), + py::format_descriptor::format(), + py::format_descriptor::format(), + py::format_descriptor::format() + }) { + l.append(py::cast(fmt)); + } + return l; + }); + + // test_dtype + m.def("print_dtypes", []() { + py::list l; + for (const py::handle &d : { + py::dtype::of(), + py::dtype::of(), + py::dtype::of(), + py::dtype::of(), + py::dtype::of(), + py::dtype::of(), + py::dtype::of(), + py::dtype::of(), + py::dtype::of(), + py::dtype::of() + }) + l.append(py::str(d)); + return l; + }); + m.def("test_dtype_ctors", &test_dtype_ctors); + m.def("test_dtype_methods", []() { + py::list list; + auto dt1 = py::dtype::of(); + auto dt2 = py::dtype::of(); + list.append(dt1); list.append(dt2); + list.append(py::bool_(dt1.has_fields())); list.append(py::bool_(dt2.has_fields())); + list.append(py::int_(dt1.itemsize())); list.append(py::int_(dt2.itemsize())); + return list; + }); + struct TrailingPaddingStruct { + int32_t a; + char b; + }; + PYBIND11_NUMPY_DTYPE(TrailingPaddingStruct, a, b); + m.def("trailing_padding_dtype", []() { return py::dtype::of(); }); + + // test_string_array + m.def("create_string_array", [](bool non_empty) { + py::array_t arr = mkarray_via_buffer(non_empty ? 4 : 0); + if (non_empty) { + auto req = arr.request(); + auto ptr = static_cast(req.ptr); + for (ssize_t i = 0; i < req.size * req.itemsize; i++) + static_cast(req.ptr)[i] = 0; + ptr[1].a[0] = 'a'; ptr[1].b[0] = 'a'; + ptr[2].a[0] = 'a'; ptr[2].b[0] = 'a'; + ptr[3].a[0] = 'a'; ptr[3].b[0] = 'a'; + + ptr[2].a[1] = 'b'; ptr[2].b[1] = 'b'; + ptr[3].a[1] = 'b'; ptr[3].b[1] = 'b'; + + ptr[3].a[2] = 'c'; ptr[3].b[2] = 'c'; + } + return arr; + }); + m.def("print_string_array", &print_recarray); + + // test_array_array + m.def("create_array_array", [](size_t n) { + py::array_t arr = mkarray_via_buffer(n); + auto ptr = (ArrayStruct *) arr.mutable_data(); + for (size_t i = 0; i < n; i++) { + for (size_t j = 0; j < 3; j++) + for (size_t k = 0; k < 4; k++) + ptr[i].a[j][k] = char('A' + (i * 100 + j * 10 + k) % 26); + for (size_t j = 0; j < 2; j++) + ptr[i].b[j] = int32_t(i * 1000 + j); + for (size_t j = 0; j < 3; j++) + ptr[i].c[j] = uint8_t(i * 10 + j); + for (size_t j = 0; j < 4; j++) + for (size_t k = 0; k < 2; k++) + ptr[i].d[j][k] = float(i) * 100.0f + float(j) * 10.0f + float(k); + } + return arr; + }); + m.def("print_array_array", &print_recarray); + + // test_enum_array + m.def("create_enum_array", [](size_t n) { + py::array_t arr = mkarray_via_buffer(n); + auto ptr = (EnumStruct *) arr.mutable_data(); + for (size_t i = 0; i < n; i++) { + ptr[i].e1 = static_cast(-1 + ((int) i % 2) * 2); + ptr[i].e2 = static_cast(1 + (i % 2)); + } + return arr; + }); + m.def("print_enum_array", &print_recarray); + + // test_complex_array + m.def("create_complex_array", [](size_t n) { + py::array_t arr = mkarray_via_buffer(n); + auto ptr = (ComplexStruct *) arr.mutable_data(); + for (size_t i = 0; i < n; i++) { + ptr[i].cflt.real(float(i)); + ptr[i].cflt.imag(float(i) + 0.25f); + ptr[i].cdbl.real(double(i) + 0.5); + ptr[i].cdbl.imag(double(i) + 0.75); + } + return arr; + }); + m.def("print_complex_array", &print_recarray); + + // test_array_constructors + m.def("test_array_ctors", &test_array_ctors); + + // test_compare_buffer_info + struct CompareStruct { + bool x; + uint32_t y; + float z; + }; + PYBIND11_NUMPY_DTYPE(CompareStruct, x, y, z); + m.def("compare_buffer_info", []() { + py::list list; + list.append(py::bool_(py::detail::compare_buffer_info::compare(py::buffer_info(nullptr, sizeof(float), "f", 1)))); + list.append(py::bool_(py::detail::compare_buffer_info::compare(py::buffer_info(nullptr, sizeof(int), "I", 1)))); + list.append(py::bool_(py::detail::compare_buffer_info::compare(py::buffer_info(nullptr, sizeof(long), "l", 1)))); + list.append(py::bool_(py::detail::compare_buffer_info::compare(py::buffer_info(nullptr, sizeof(long), sizeof(long) == sizeof(int) ? "i" : "q", 1)))); + list.append(py::bool_(py::detail::compare_buffer_info::compare(py::buffer_info(nullptr, sizeof(CompareStruct), "T{?:x:3xI:y:f:z:}", 1)))); + return list; + }); + m.def("buffer_to_dtype", [](py::buffer& buf) { return py::dtype(buf.request()); }); + + // test_scalar_conversion + m.def("f_simple", [](SimpleStruct s) { return s.uint_ * 10; }); + m.def("f_packed", [](PackedStruct s) { return s.uint_ * 10; }); + m.def("f_nested", [](NestedStruct s) { return s.a.uint_ * 10; }); + + // test_register_dtype + m.def("register_dtype", []() { PYBIND11_NUMPY_DTYPE(SimpleStruct, bool_, uint_, float_, ldbl_); }); + + // test_str_leak + m.def("dtype_wrapper", [](py::object d) { return py::dtype::from_args(std::move(d)); }); +} diff --git a/external/pybind11/tests/test_numpy_dtypes.py b/external/pybind11/tests/test_numpy_dtypes.py new file mode 100644 index 0000000..2e63885 --- /dev/null +++ b/external/pybind11/tests/test_numpy_dtypes.py @@ -0,0 +1,310 @@ +import re +import pytest +from pybind11_tests import numpy_dtypes as m + +pytestmark = pytest.requires_numpy + +with pytest.suppress(ImportError): + import numpy as np + + +@pytest.fixture(scope='module') +def simple_dtype(): + ld = np.dtype('longdouble') + return np.dtype({'names': ['bool_', 'uint_', 'float_', 'ldbl_'], + 'formats': ['?', 'u4', 'f4', 'f{}'.format(ld.itemsize)], + 'offsets': [0, 4, 8, (16 if ld.alignment > 4 else 12)]}) + + +@pytest.fixture(scope='module') +def packed_dtype(): + return np.dtype([('bool_', '?'), ('uint_', 'u4'), ('float_', 'f4'), ('ldbl_', 'g')]) + + +def dt_fmt(): + from sys import byteorder + e = '<' if byteorder == 'little' else '>' + return ("{{'names':['bool_','uint_','float_','ldbl_']," + " 'formats':['?','" + e + "u4','" + e + "f4','" + e + "f{}']," + " 'offsets':[0,4,8,{}], 'itemsize':{}}}") + + +def simple_dtype_fmt(): + ld = np.dtype('longdouble') + simple_ld_off = 12 + 4 * (ld.alignment > 4) + return dt_fmt().format(ld.itemsize, simple_ld_off, simple_ld_off + ld.itemsize) + + +def packed_dtype_fmt(): + from sys import byteorder + return "[('bool_', '?'), ('uint_', '{e}u4'), ('float_', '{e}f4'), ('ldbl_', '{e}f{}')]".format( + np.dtype('longdouble').itemsize, e='<' if byteorder == 'little' else '>') + + +def partial_ld_offset(): + return 12 + 4 * (np.dtype('uint64').alignment > 4) + 8 + 8 * ( + np.dtype('longdouble').alignment > 8) + + +def partial_dtype_fmt(): + ld = np.dtype('longdouble') + partial_ld_off = partial_ld_offset() + return dt_fmt().format(ld.itemsize, partial_ld_off, partial_ld_off + ld.itemsize) + + +def partial_nested_fmt(): + ld = np.dtype('longdouble') + partial_nested_off = 8 + 8 * (ld.alignment > 8) + partial_ld_off = partial_ld_offset() + partial_nested_size = partial_nested_off * 2 + partial_ld_off + ld.itemsize + return "{{'names':['a'], 'formats':[{}], 'offsets':[{}], 'itemsize':{}}}".format( + partial_dtype_fmt(), partial_nested_off, partial_nested_size) + + +def assert_equal(actual, expected_data, expected_dtype): + np.testing.assert_equal(actual, np.array(expected_data, dtype=expected_dtype)) + + +def test_format_descriptors(): + with pytest.raises(RuntimeError) as excinfo: + m.get_format_unbound() + assert re.match('^NumPy type info missing for .*UnboundStruct.*$', str(excinfo.value)) + + ld = np.dtype('longdouble') + ldbl_fmt = ('4x' if ld.alignment > 4 else '') + ld.char + ss_fmt = "^T{?:bool_:3xI:uint_:f:float_:" + ldbl_fmt + ":ldbl_:}" + dbl = np.dtype('double') + partial_fmt = ("^T{?:bool_:3xI:uint_:f:float_:" + + str(4 * (dbl.alignment > 4) + dbl.itemsize + 8 * (ld.alignment > 8)) + + "xg:ldbl_:}") + nested_extra = str(max(8, ld.alignment)) + assert m.print_format_descriptors() == [ + ss_fmt, + "^T{?:bool_:I:uint_:f:float_:g:ldbl_:}", + "^T{" + ss_fmt + ":a:^T{?:bool_:I:uint_:f:float_:g:ldbl_:}:b:}", + partial_fmt, + "^T{" + nested_extra + "x" + partial_fmt + ":a:" + nested_extra + "x}", + "^T{3s:a:3s:b:}", + "^T{(3)4s:a:(2)i:b:(3)B:c:1x(4, 2)f:d:}", + '^T{q:e1:B:e2:}', + '^T{Zf:cflt:Zd:cdbl:}' + ] + + +def test_dtype(simple_dtype): + from sys import byteorder + e = '<' if byteorder == 'little' else '>' + + assert m.print_dtypes() == [ + simple_dtype_fmt(), + packed_dtype_fmt(), + "[('a', {}), ('b', {})]".format(simple_dtype_fmt(), packed_dtype_fmt()), + partial_dtype_fmt(), + partial_nested_fmt(), + "[('a', 'S3'), ('b', 'S3')]", + ("{{'names':['a','b','c','d'], " + + "'formats':[('S4', (3,)),('" + e + "i4', (2,)),('u1', (3,)),('" + e + "f4', (4, 2))], " + + "'offsets':[0,12,20,24], 'itemsize':56}}").format(e=e), + "[('e1', '" + e + "i8'), ('e2', 'u1')]", + "[('x', 'i1'), ('y', '" + e + "u8')]", + "[('cflt', '" + e + "c8'), ('cdbl', '" + e + "c16')]" + ] + + d1 = np.dtype({'names': ['a', 'b'], 'formats': ['int32', 'float64'], + 'offsets': [1, 10], 'itemsize': 20}) + d2 = np.dtype([('a', 'i4'), ('b', 'f4')]) + assert m.test_dtype_ctors() == [np.dtype('int32'), np.dtype('float64'), + np.dtype('bool'), d1, d1, np.dtype('uint32'), d2] + + assert m.test_dtype_methods() == [np.dtype('int32'), simple_dtype, False, True, + np.dtype('int32').itemsize, simple_dtype.itemsize] + + assert m.trailing_padding_dtype() == m.buffer_to_dtype(np.zeros(1, m.trailing_padding_dtype())) + + +def test_recarray(simple_dtype, packed_dtype): + elements = [(False, 0, 0.0, -0.0), (True, 1, 1.5, -2.5), (False, 2, 3.0, -5.0)] + + for func, dtype in [(m.create_rec_simple, simple_dtype), (m.create_rec_packed, packed_dtype)]: + arr = func(0) + assert arr.dtype == dtype + assert_equal(arr, [], simple_dtype) + assert_equal(arr, [], packed_dtype) + + arr = func(3) + assert arr.dtype == dtype + assert_equal(arr, elements, simple_dtype) + assert_equal(arr, elements, packed_dtype) + + if dtype == simple_dtype: + assert m.print_rec_simple(arr) == [ + "s:0,0,0,-0", + "s:1,1,1.5,-2.5", + "s:0,2,3,-5" + ] + else: + assert m.print_rec_packed(arr) == [ + "p:0,0,0,-0", + "p:1,1,1.5,-2.5", + "p:0,2,3,-5" + ] + + nested_dtype = np.dtype([('a', simple_dtype), ('b', packed_dtype)]) + + arr = m.create_rec_nested(0) + assert arr.dtype == nested_dtype + assert_equal(arr, [], nested_dtype) + + arr = m.create_rec_nested(3) + assert arr.dtype == nested_dtype + assert_equal(arr, [((False, 0, 0.0, -0.0), (True, 1, 1.5, -2.5)), + ((True, 1, 1.5, -2.5), (False, 2, 3.0, -5.0)), + ((False, 2, 3.0, -5.0), (True, 3, 4.5, -7.5))], nested_dtype) + assert m.print_rec_nested(arr) == [ + "n:a=s:0,0,0,-0;b=p:1,1,1.5,-2.5", + "n:a=s:1,1,1.5,-2.5;b=p:0,2,3,-5", + "n:a=s:0,2,3,-5;b=p:1,3,4.5,-7.5" + ] + + arr = m.create_rec_partial(3) + assert str(arr.dtype) == partial_dtype_fmt() + partial_dtype = arr.dtype + assert '' not in arr.dtype.fields + assert partial_dtype.itemsize > simple_dtype.itemsize + assert_equal(arr, elements, simple_dtype) + assert_equal(arr, elements, packed_dtype) + + arr = m.create_rec_partial_nested(3) + assert str(arr.dtype) == partial_nested_fmt() + assert '' not in arr.dtype.fields + assert '' not in arr.dtype.fields['a'][0].fields + assert arr.dtype.itemsize > partial_dtype.itemsize + np.testing.assert_equal(arr['a'], m.create_rec_partial(3)) + + +def test_array_constructors(): + data = np.arange(1, 7, dtype='int32') + for i in range(8): + np.testing.assert_array_equal(m.test_array_ctors(10 + i), data.reshape((3, 2))) + np.testing.assert_array_equal(m.test_array_ctors(20 + i), data.reshape((3, 2))) + for i in range(5): + np.testing.assert_array_equal(m.test_array_ctors(30 + i), data) + np.testing.assert_array_equal(m.test_array_ctors(40 + i), data) + + +def test_string_array(): + arr = m.create_string_array(True) + assert str(arr.dtype) == "[('a', 'S3'), ('b', 'S3')]" + assert m.print_string_array(arr) == [ + "a='',b=''", + "a='a',b='a'", + "a='ab',b='ab'", + "a='abc',b='abc'" + ] + dtype = arr.dtype + assert arr['a'].tolist() == [b'', b'a', b'ab', b'abc'] + assert arr['b'].tolist() == [b'', b'a', b'ab', b'abc'] + arr = m.create_string_array(False) + assert dtype == arr.dtype + + +def test_array_array(): + from sys import byteorder + e = '<' if byteorder == 'little' else '>' + + arr = m.create_array_array(3) + assert str(arr.dtype) == ( + "{{'names':['a','b','c','d'], " + + "'formats':[('S4', (3,)),('" + e + "i4', (2,)),('u1', (3,)),('{e}f4', (4, 2))], " + + "'offsets':[0,12,20,24], 'itemsize':56}}").format(e=e) + assert m.print_array_array(arr) == [ + "a={{A,B,C,D},{K,L,M,N},{U,V,W,X}},b={0,1}," + + "c={0,1,2},d={{0,1},{10,11},{20,21},{30,31}}", + "a={{W,X,Y,Z},{G,H,I,J},{Q,R,S,T}},b={1000,1001}," + + "c={10,11,12},d={{100,101},{110,111},{120,121},{130,131}}", + "a={{S,T,U,V},{C,D,E,F},{M,N,O,P}},b={2000,2001}," + + "c={20,21,22},d={{200,201},{210,211},{220,221},{230,231}}", + ] + assert arr['a'].tolist() == [[b'ABCD', b'KLMN', b'UVWX'], + [b'WXYZ', b'GHIJ', b'QRST'], + [b'STUV', b'CDEF', b'MNOP']] + assert arr['b'].tolist() == [[0, 1], [1000, 1001], [2000, 2001]] + assert m.create_array_array(0).dtype == arr.dtype + + +def test_enum_array(): + from sys import byteorder + e = '<' if byteorder == 'little' else '>' + + arr = m.create_enum_array(3) + dtype = arr.dtype + assert dtype == np.dtype([('e1', e + 'i8'), ('e2', 'u1')]) + assert m.print_enum_array(arr) == [ + "e1=A,e2=X", + "e1=B,e2=Y", + "e1=A,e2=X" + ] + assert arr['e1'].tolist() == [-1, 1, -1] + assert arr['e2'].tolist() == [1, 2, 1] + assert m.create_enum_array(0).dtype == dtype + + +def test_complex_array(): + from sys import byteorder + e = '<' if byteorder == 'little' else '>' + + arr = m.create_complex_array(3) + dtype = arr.dtype + assert dtype == np.dtype([('cflt', e + 'c8'), ('cdbl', e + 'c16')]) + assert m.print_complex_array(arr) == [ + "c:(0,0.25),(0.5,0.75)", + "c:(1,1.25),(1.5,1.75)", + "c:(2,2.25),(2.5,2.75)" + ] + assert arr['cflt'].tolist() == [0.0 + 0.25j, 1.0 + 1.25j, 2.0 + 2.25j] + assert arr['cdbl'].tolist() == [0.5 + 0.75j, 1.5 + 1.75j, 2.5 + 2.75j] + assert m.create_complex_array(0).dtype == dtype + + +def test_signature(doc): + assert doc(m.create_rec_nested) == \ + "create_rec_nested(arg0: int) -> numpy.ndarray[NestedStruct]" + + +def test_scalar_conversion(): + n = 3 + arrays = [m.create_rec_simple(n), m.create_rec_packed(n), + m.create_rec_nested(n), m.create_enum_array(n)] + funcs = [m.f_simple, m.f_packed, m.f_nested] + + for i, func in enumerate(funcs): + for j, arr in enumerate(arrays): + if i == j and i < 2: + assert [func(arr[k]) for k in range(n)] == [k * 10 for k in range(n)] + else: + with pytest.raises(TypeError) as excinfo: + func(arr[0]) + assert 'incompatible function arguments' in str(excinfo.value) + + +def test_register_dtype(): + with pytest.raises(RuntimeError) as excinfo: + m.register_dtype() + assert 'dtype is already registered' in str(excinfo.value) + + +@pytest.unsupported_on_pypy +def test_str_leak(): + from sys import getrefcount + fmt = "f4" + pytest.gc_collect() + start = getrefcount(fmt) + d = m.dtype_wrapper(fmt) + assert d is np.dtype("f4") + del d + pytest.gc_collect() + assert getrefcount(fmt) == start + + +def test_compare_buffer_info(): + assert all(m.compare_buffer_info()) diff --git a/external/pybind11/tests/test_numpy_vectorize.cpp b/external/pybind11/tests/test_numpy_vectorize.cpp new file mode 100644 index 0000000..a875a74 --- /dev/null +++ b/external/pybind11/tests/test_numpy_vectorize.cpp @@ -0,0 +1,89 @@ +/* + tests/test_numpy_vectorize.cpp -- auto-vectorize functions over NumPy array + arguments + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" +#include + +double my_func(int x, float y, double z) { + py::print("my_func(x:int={}, y:float={:.0f}, z:float={:.0f})"_s.format(x, y, z)); + return (float) x*y*z; +} + +TEST_SUBMODULE(numpy_vectorize, m) { + try { py::module::import("numpy"); } + catch (...) { return; } + + // test_vectorize, test_docs, test_array_collapse + // Vectorize all arguments of a function (though non-vector arguments are also allowed) + m.def("vectorized_func", py::vectorize(my_func)); + + // Vectorize a lambda function with a capture object (e.g. to exclude some arguments from the vectorization) + m.def("vectorized_func2", + [](py::array_t x, py::array_t y, float z) { + return py::vectorize([z](int x, float y) { return my_func(x, y, z); })(x, y); + } + ); + + // Vectorize a complex-valued function + m.def("vectorized_func3", py::vectorize( + [](std::complex c) { return c * std::complex(2.f); } + )); + + // test_type_selection + // Numpy function which only accepts specific data types + m.def("selective_func", [](py::array_t) { return "Int branch taken."; }); + m.def("selective_func", [](py::array_t) { return "Float branch taken."; }); + m.def("selective_func", [](py::array_t, py::array::c_style>) { return "Complex float branch taken."; }); + + + // test_passthrough_arguments + // Passthrough test: references and non-pod types should be automatically passed through (in the + // function definition below, only `b`, `d`, and `g` are vectorized): + struct NonPODClass { + NonPODClass(int v) : value{v} {} + int value; + }; + py::class_(m, "NonPODClass").def(py::init()); + m.def("vec_passthrough", py::vectorize( + [](double *a, double b, py::array_t c, const int &d, int &e, NonPODClass f, const double g) { + return *a + b + c.at(0) + d + e + f.value + g; + } + )); + + // test_method_vectorization + struct VectorizeTestClass { + VectorizeTestClass(int v) : value{v} {}; + float method(int x, float y) { return y + (float) (x + value); } + int value = 0; + }; + py::class_ vtc(m, "VectorizeTestClass"); + vtc .def(py::init()) + .def_readwrite("value", &VectorizeTestClass::value); + + // Automatic vectorizing of methods + vtc.def("method", py::vectorize(&VectorizeTestClass::method)); + + // test_trivial_broadcasting + // Internal optimization test for whether the input is trivially broadcastable: + py::enum_(m, "trivial") + .value("f_trivial", py::detail::broadcast_trivial::f_trivial) + .value("c_trivial", py::detail::broadcast_trivial::c_trivial) + .value("non_trivial", py::detail::broadcast_trivial::non_trivial); + m.def("vectorized_is_trivial", []( + py::array_t arg1, + py::array_t arg2, + py::array_t arg3 + ) { + ssize_t ndim; + std::vector shape; + std::array buffers {{ arg1.request(), arg2.request(), arg3.request() }}; + return py::detail::broadcast(buffers, ndim, shape); + }); +} diff --git a/external/pybind11/tests/test_numpy_vectorize.py b/external/pybind11/tests/test_numpy_vectorize.py new file mode 100644 index 0000000..0e9c883 --- /dev/null +++ b/external/pybind11/tests/test_numpy_vectorize.py @@ -0,0 +1,196 @@ +import pytest +from pybind11_tests import numpy_vectorize as m + +pytestmark = pytest.requires_numpy + +with pytest.suppress(ImportError): + import numpy as np + + +def test_vectorize(capture): + assert np.isclose(m.vectorized_func3(np.array(3 + 7j)), [6 + 14j]) + + for f in [m.vectorized_func, m.vectorized_func2]: + with capture: + assert np.isclose(f(1, 2, 3), 6) + assert capture == "my_func(x:int=1, y:float=2, z:float=3)" + with capture: + assert np.isclose(f(np.array(1), np.array(2), 3), 6) + assert capture == "my_func(x:int=1, y:float=2, z:float=3)" + with capture: + assert np.allclose(f(np.array([1, 3]), np.array([2, 4]), 3), [6, 36]) + assert capture == """ + my_func(x:int=1, y:float=2, z:float=3) + my_func(x:int=3, y:float=4, z:float=3) + """ + with capture: + a = np.array([[1, 2], [3, 4]], order='F') + b = np.array([[10, 20], [30, 40]], order='F') + c = 3 + result = f(a, b, c) + assert np.allclose(result, a * b * c) + assert result.flags.f_contiguous + # All inputs are F order and full or singletons, so we the result is in col-major order: + assert capture == """ + my_func(x:int=1, y:float=10, z:float=3) + my_func(x:int=3, y:float=30, z:float=3) + my_func(x:int=2, y:float=20, z:float=3) + my_func(x:int=4, y:float=40, z:float=3) + """ + with capture: + a, b, c = np.array([[1, 3, 5], [7, 9, 11]]), np.array([[2, 4, 6], [8, 10, 12]]), 3 + assert np.allclose(f(a, b, c), a * b * c) + assert capture == """ + my_func(x:int=1, y:float=2, z:float=3) + my_func(x:int=3, y:float=4, z:float=3) + my_func(x:int=5, y:float=6, z:float=3) + my_func(x:int=7, y:float=8, z:float=3) + my_func(x:int=9, y:float=10, z:float=3) + my_func(x:int=11, y:float=12, z:float=3) + """ + with capture: + a, b, c = np.array([[1, 2, 3], [4, 5, 6]]), np.array([2, 3, 4]), 2 + assert np.allclose(f(a, b, c), a * b * c) + assert capture == """ + my_func(x:int=1, y:float=2, z:float=2) + my_func(x:int=2, y:float=3, z:float=2) + my_func(x:int=3, y:float=4, z:float=2) + my_func(x:int=4, y:float=2, z:float=2) + my_func(x:int=5, y:float=3, z:float=2) + my_func(x:int=6, y:float=4, z:float=2) + """ + with capture: + a, b, c = np.array([[1, 2, 3], [4, 5, 6]]), np.array([[2], [3]]), 2 + assert np.allclose(f(a, b, c), a * b * c) + assert capture == """ + my_func(x:int=1, y:float=2, z:float=2) + my_func(x:int=2, y:float=2, z:float=2) + my_func(x:int=3, y:float=2, z:float=2) + my_func(x:int=4, y:float=3, z:float=2) + my_func(x:int=5, y:float=3, z:float=2) + my_func(x:int=6, y:float=3, z:float=2) + """ + with capture: + a, b, c = np.array([[1, 2, 3], [4, 5, 6]], order='F'), np.array([[2], [3]]), 2 + assert np.allclose(f(a, b, c), a * b * c) + assert capture == """ + my_func(x:int=1, y:float=2, z:float=2) + my_func(x:int=2, y:float=2, z:float=2) + my_func(x:int=3, y:float=2, z:float=2) + my_func(x:int=4, y:float=3, z:float=2) + my_func(x:int=5, y:float=3, z:float=2) + my_func(x:int=6, y:float=3, z:float=2) + """ + with capture: + a, b, c = np.array([[1, 2, 3], [4, 5, 6]])[::, ::2], np.array([[2], [3]]), 2 + assert np.allclose(f(a, b, c), a * b * c) + assert capture == """ + my_func(x:int=1, y:float=2, z:float=2) + my_func(x:int=3, y:float=2, z:float=2) + my_func(x:int=4, y:float=3, z:float=2) + my_func(x:int=6, y:float=3, z:float=2) + """ + with capture: + a, b, c = np.array([[1, 2, 3], [4, 5, 6]], order='F')[::, ::2], np.array([[2], [3]]), 2 + assert np.allclose(f(a, b, c), a * b * c) + assert capture == """ + my_func(x:int=1, y:float=2, z:float=2) + my_func(x:int=3, y:float=2, z:float=2) + my_func(x:int=4, y:float=3, z:float=2) + my_func(x:int=6, y:float=3, z:float=2) + """ + + +def test_type_selection(): + assert m.selective_func(np.array([1], dtype=np.int32)) == "Int branch taken." + assert m.selective_func(np.array([1.0], dtype=np.float32)) == "Float branch taken." + assert m.selective_func(np.array([1.0j], dtype=np.complex64)) == "Complex float branch taken." + + +def test_docs(doc): + assert doc(m.vectorized_func) == """ + vectorized_func(arg0: numpy.ndarray[int32], arg1: numpy.ndarray[float32], arg2: numpy.ndarray[float64]) -> object + """ # noqa: E501 line too long + + +def test_trivial_broadcasting(): + trivial, vectorized_is_trivial = m.trivial, m.vectorized_is_trivial + + assert vectorized_is_trivial(1, 2, 3) == trivial.c_trivial + assert vectorized_is_trivial(np.array(1), np.array(2), 3) == trivial.c_trivial + assert vectorized_is_trivial(np.array([1, 3]), np.array([2, 4]), 3) == trivial.c_trivial + assert trivial.c_trivial == vectorized_is_trivial( + np.array([[1, 3, 5], [7, 9, 11]]), np.array([[2, 4, 6], [8, 10, 12]]), 3) + assert vectorized_is_trivial( + np.array([[1, 2, 3], [4, 5, 6]]), np.array([2, 3, 4]), 2) == trivial.non_trivial + assert vectorized_is_trivial( + np.array([[1, 2, 3], [4, 5, 6]]), np.array([[2], [3]]), 2) == trivial.non_trivial + z1 = np.array([[1, 2, 3, 4], [5, 6, 7, 8]], dtype='int32') + z2 = np.array(z1, dtype='float32') + z3 = np.array(z1, dtype='float64') + assert vectorized_is_trivial(z1, z2, z3) == trivial.c_trivial + assert vectorized_is_trivial(1, z2, z3) == trivial.c_trivial + assert vectorized_is_trivial(z1, 1, z3) == trivial.c_trivial + assert vectorized_is_trivial(z1, z2, 1) == trivial.c_trivial + assert vectorized_is_trivial(z1[::2, ::2], 1, 1) == trivial.non_trivial + assert vectorized_is_trivial(1, 1, z1[::2, ::2]) == trivial.c_trivial + assert vectorized_is_trivial(1, 1, z3[::2, ::2]) == trivial.non_trivial + assert vectorized_is_trivial(z1, 1, z3[1::4, 1::4]) == trivial.c_trivial + + y1 = np.array(z1, order='F') + y2 = np.array(y1) + y3 = np.array(y1) + assert vectorized_is_trivial(y1, y2, y3) == trivial.f_trivial + assert vectorized_is_trivial(y1, 1, 1) == trivial.f_trivial + assert vectorized_is_trivial(1, y2, 1) == trivial.f_trivial + assert vectorized_is_trivial(1, 1, y3) == trivial.f_trivial + assert vectorized_is_trivial(y1, z2, 1) == trivial.non_trivial + assert vectorized_is_trivial(z1[1::4, 1::4], y2, 1) == trivial.f_trivial + assert vectorized_is_trivial(y1[1::4, 1::4], z2, 1) == trivial.c_trivial + + assert m.vectorized_func(z1, z2, z3).flags.c_contiguous + assert m.vectorized_func(y1, y2, y3).flags.f_contiguous + assert m.vectorized_func(z1, 1, 1).flags.c_contiguous + assert m.vectorized_func(1, y2, 1).flags.f_contiguous + assert m.vectorized_func(z1[1::4, 1::4], y2, 1).flags.f_contiguous + assert m.vectorized_func(y1[1::4, 1::4], z2, 1).flags.c_contiguous + + +def test_passthrough_arguments(doc): + assert doc(m.vec_passthrough) == ( + "vec_passthrough(" + ", ".join([ + "arg0: float", + "arg1: numpy.ndarray[float64]", + "arg2: numpy.ndarray[float64]", + "arg3: numpy.ndarray[int32]", + "arg4: int", + "arg5: m.numpy_vectorize.NonPODClass", + "arg6: numpy.ndarray[float64]"]) + ") -> object") + + b = np.array([[10, 20, 30]], dtype='float64') + c = np.array([100, 200]) # NOT a vectorized argument + d = np.array([[1000], [2000], [3000]], dtype='int') + g = np.array([[1000000, 2000000, 3000000]], dtype='int') # requires casting + assert np.all( + m.vec_passthrough(1, b, c, d, 10000, m.NonPODClass(100000), g) == + np.array([[1111111, 2111121, 3111131], + [1112111, 2112121, 3112131], + [1113111, 2113121, 3113131]])) + + +def test_method_vectorization(): + o = m.VectorizeTestClass(3) + x = np.array([1, 2], dtype='int') + y = np.array([[10], [20]], dtype='float32') + assert np.all(o.method(x, y) == [[14, 15], [24, 25]]) + + +def test_array_collapse(): + assert not isinstance(m.vectorized_func(1, 2, 3), np.ndarray) + assert not isinstance(m.vectorized_func(np.array(1), 2, 3), np.ndarray) + z = m.vectorized_func([1], 2, 3) + assert isinstance(z, np.ndarray) + assert z.shape == (1, ) + z = m.vectorized_func(1, [[[2]]], 3) + assert isinstance(z, np.ndarray) + assert z.shape == (1, 1, 1) diff --git a/external/pybind11/tests/test_opaque_types.cpp b/external/pybind11/tests/test_opaque_types.cpp new file mode 100644 index 0000000..0d20d9a --- /dev/null +++ b/external/pybind11/tests/test_opaque_types.cpp @@ -0,0 +1,67 @@ +/* + tests/test_opaque_types.cpp -- opaque types, passing void pointers + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" +#include +#include + +// IMPORTANT: Disable internal pybind11 translation mechanisms for STL data structures +// +// This also deliberately doesn't use the below StringList type alias to test +// that MAKE_OPAQUE can handle a type containing a `,`. (The `std::allocator` +// bit is just the default `std::vector` allocator). +PYBIND11_MAKE_OPAQUE(std::vector>); + +using StringList = std::vector>; + +TEST_SUBMODULE(opaque_types, m) { + // test_string_list + py::class_(m, "StringList") + .def(py::init<>()) + .def("pop_back", &StringList::pop_back) + /* There are multiple versions of push_back(), etc. Select the right ones. */ + .def("push_back", (void (StringList::*)(const std::string &)) &StringList::push_back) + .def("back", (std::string &(StringList::*)()) &StringList::back) + .def("__len__", [](const StringList &v) { return v.size(); }) + .def("__iter__", [](StringList &v) { + return py::make_iterator(v.begin(), v.end()); + }, py::keep_alive<0, 1>()); + + class ClassWithSTLVecProperty { + public: + StringList stringList; + }; + py::class_(m, "ClassWithSTLVecProperty") + .def(py::init<>()) + .def_readwrite("stringList", &ClassWithSTLVecProperty::stringList); + + m.def("print_opaque_list", [](const StringList &l) { + std::string ret = "Opaque list: ["; + bool first = true; + for (auto entry : l) { + if (!first) + ret += ", "; + ret += entry; + first = false; + } + return ret + "]"; + }); + + // test_pointers + m.def("return_void_ptr", []() { return (void *) 0x1234; }); + m.def("get_void_ptr_value", [](void *ptr) { return reinterpret_cast(ptr); }); + m.def("return_null_str", []() { return (char *) nullptr; }); + m.def("get_null_str_value", [](char *ptr) { return reinterpret_cast(ptr); }); + + m.def("return_unique_ptr", []() -> std::unique_ptr { + StringList *result = new StringList(); + result->push_back("some value"); + return std::unique_ptr(result); + }); +} diff --git a/external/pybind11/tests/test_opaque_types.py b/external/pybind11/tests/test_opaque_types.py new file mode 100644 index 0000000..6b3802f --- /dev/null +++ b/external/pybind11/tests/test_opaque_types.py @@ -0,0 +1,46 @@ +import pytest +from pybind11_tests import opaque_types as m +from pybind11_tests import ConstructorStats, UserType + + +def test_string_list(): + lst = m.StringList() + lst.push_back("Element 1") + lst.push_back("Element 2") + assert m.print_opaque_list(lst) == "Opaque list: [Element 1, Element 2]" + assert lst.back() == "Element 2" + + for i, k in enumerate(lst, start=1): + assert k == "Element {}".format(i) + lst.pop_back() + assert m.print_opaque_list(lst) == "Opaque list: [Element 1]" + + cvp = m.ClassWithSTLVecProperty() + assert m.print_opaque_list(cvp.stringList) == "Opaque list: []" + + cvp.stringList = lst + cvp.stringList.push_back("Element 3") + assert m.print_opaque_list(cvp.stringList) == "Opaque list: [Element 1, Element 3]" + + +def test_pointers(msg): + living_before = ConstructorStats.get(UserType).alive() + assert m.get_void_ptr_value(m.return_void_ptr()) == 0x1234 + assert m.get_void_ptr_value(UserType()) # Should also work for other C++ types + assert ConstructorStats.get(UserType).alive() == living_before + + with pytest.raises(TypeError) as excinfo: + m.get_void_ptr_value([1, 2, 3]) # This should not work + assert msg(excinfo.value) == """ + get_void_ptr_value(): incompatible function arguments. The following argument types are supported: + 1. (arg0: capsule) -> int + + Invoked with: [1, 2, 3] + """ # noqa: E501 line too long + + assert m.return_null_str() is None + assert m.get_null_str_value(m.return_null_str()) is not None + + ptr = m.return_unique_ptr() + assert "StringList" in repr(ptr) + assert m.print_opaque_list(ptr) == "Opaque list: [some value]" diff --git a/external/pybind11/tests/test_operator_overloading.cpp b/external/pybind11/tests/test_operator_overloading.cpp new file mode 100644 index 0000000..7b11170 --- /dev/null +++ b/external/pybind11/tests/test_operator_overloading.cpp @@ -0,0 +1,171 @@ +/* + tests/test_operator_overloading.cpp -- operator overloading + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" +#include "constructor_stats.h" +#include +#include + +class Vector2 { +public: + Vector2(float x, float y) : x(x), y(y) { print_created(this, toString()); } + Vector2(const Vector2 &v) : x(v.x), y(v.y) { print_copy_created(this); } + Vector2(Vector2 &&v) : x(v.x), y(v.y) { print_move_created(this); v.x = v.y = 0; } + Vector2 &operator=(const Vector2 &v) { x = v.x; y = v.y; print_copy_assigned(this); return *this; } + Vector2 &operator=(Vector2 &&v) { x = v.x; y = v.y; v.x = v.y = 0; print_move_assigned(this); return *this; } + ~Vector2() { print_destroyed(this); } + + std::string toString() const { return "[" + std::to_string(x) + ", " + std::to_string(y) + "]"; } + + Vector2 operator-() const { return Vector2(-x, -y); } + Vector2 operator+(const Vector2 &v) const { return Vector2(x + v.x, y + v.y); } + Vector2 operator-(const Vector2 &v) const { return Vector2(x - v.x, y - v.y); } + Vector2 operator-(float value) const { return Vector2(x - value, y - value); } + Vector2 operator+(float value) const { return Vector2(x + value, y + value); } + Vector2 operator*(float value) const { return Vector2(x * value, y * value); } + Vector2 operator/(float value) const { return Vector2(x / value, y / value); } + Vector2 operator*(const Vector2 &v) const { return Vector2(x * v.x, y * v.y); } + Vector2 operator/(const Vector2 &v) const { return Vector2(x / v.x, y / v.y); } + Vector2& operator+=(const Vector2 &v) { x += v.x; y += v.y; return *this; } + Vector2& operator-=(const Vector2 &v) { x -= v.x; y -= v.y; return *this; } + Vector2& operator*=(float v) { x *= v; y *= v; return *this; } + Vector2& operator/=(float v) { x /= v; y /= v; return *this; } + Vector2& operator*=(const Vector2 &v) { x *= v.x; y *= v.y; return *this; } + Vector2& operator/=(const Vector2 &v) { x /= v.x; y /= v.y; return *this; } + + friend Vector2 operator+(float f, const Vector2 &v) { return Vector2(f + v.x, f + v.y); } + friend Vector2 operator-(float f, const Vector2 &v) { return Vector2(f - v.x, f - v.y); } + friend Vector2 operator*(float f, const Vector2 &v) { return Vector2(f * v.x, f * v.y); } + friend Vector2 operator/(float f, const Vector2 &v) { return Vector2(f / v.x, f / v.y); } +private: + float x, y; +}; + +class C1 { }; +class C2 { }; + +int operator+(const C1 &, const C1 &) { return 11; } +int operator+(const C2 &, const C2 &) { return 22; } +int operator+(const C2 &, const C1 &) { return 21; } +int operator+(const C1 &, const C2 &) { return 12; } + +namespace std { + template<> + struct hash { + // Not a good hash function, but easy to test + size_t operator()(const Vector2 &) { return 4; } + }; +} + +// MSVC warns about unknown pragmas, and warnings are errors. +#ifndef _MSC_VER + #pragma GCC diagnostic push + // clang 7.0.0 and Apple LLVM 10.0.1 introduce `-Wself-assign-overloaded` to + // `-Wall`, which is used here for overloading (e.g. `py::self += py::self `). + // Here, we suppress the warning using `#pragma diagnostic`. + // Taken from: https://github.com/RobotLocomotion/drake/commit/aaf84b46 + // TODO(eric): This could be resolved using a function / functor (e.g. `py::self()`). + #if (__APPLE__) && (__clang__) + #if (__clang_major__ >= 10) && (__clang_minor__ >= 0) && (__clang_patchlevel__ >= 1) + #pragma GCC diagnostic ignored "-Wself-assign-overloaded" + #endif + #elif (__clang__) + #if (__clang_major__ >= 7) + #pragma GCC diagnostic ignored "-Wself-assign-overloaded" + #endif + #endif +#endif + +TEST_SUBMODULE(operators, m) { + + // test_operator_overloading + py::class_(m, "Vector2") + .def(py::init()) + .def(py::self + py::self) + .def(py::self + float()) + .def(py::self - py::self) + .def(py::self - float()) + .def(py::self * float()) + .def(py::self / float()) + .def(py::self * py::self) + .def(py::self / py::self) + .def(py::self += py::self) + .def(py::self -= py::self) + .def(py::self *= float()) + .def(py::self /= float()) + .def(py::self *= py::self) + .def(py::self /= py::self) + .def(float() + py::self) + .def(float() - py::self) + .def(float() * py::self) + .def(float() / py::self) + .def(-py::self) + .def("__str__", &Vector2::toString) + .def(hash(py::self)) + ; + + m.attr("Vector") = m.attr("Vector2"); + + // test_operators_notimplemented + // #393: need to return NotSupported to ensure correct arithmetic operator behavior + py::class_(m, "C1") + .def(py::init<>()) + .def(py::self + py::self); + + py::class_(m, "C2") + .def(py::init<>()) + .def(py::self + py::self) + .def("__add__", [](const C2& c2, const C1& c1) { return c2 + c1; }) + .def("__radd__", [](const C2& c2, const C1& c1) { return c1 + c2; }); + + // test_nested + // #328: first member in a class can't be used in operators + struct NestABase { int value = -2; }; + py::class_(m, "NestABase") + .def(py::init<>()) + .def_readwrite("value", &NestABase::value); + + struct NestA : NestABase { + int value = 3; + NestA& operator+=(int i) { value += i; return *this; } + }; + py::class_(m, "NestA") + .def(py::init<>()) + .def(py::self += int()) + .def("as_base", [](NestA &a) -> NestABase& { + return (NestABase&) a; + }, py::return_value_policy::reference_internal); + m.def("get_NestA", [](const NestA &a) { return a.value; }); + + struct NestB { + NestA a; + int value = 4; + NestB& operator-=(int i) { value -= i; return *this; } + }; + py::class_(m, "NestB") + .def(py::init<>()) + .def(py::self -= int()) + .def_readwrite("a", &NestB::a); + m.def("get_NestB", [](const NestB &b) { return b.value; }); + + struct NestC { + NestB b; + int value = 5; + NestC& operator*=(int i) { value *= i; return *this; } + }; + py::class_(m, "NestC") + .def(py::init<>()) + .def(py::self *= int()) + .def_readwrite("b", &NestC::b); + m.def("get_NestC", [](const NestC &c) { return c.value; }); +} + +#ifndef _MSC_VER + #pragma GCC diagnostic pop +#endif diff --git a/external/pybind11/tests/test_operator_overloading.py b/external/pybind11/tests/test_operator_overloading.py new file mode 100644 index 0000000..bd36ac2 --- /dev/null +++ b/external/pybind11/tests/test_operator_overloading.py @@ -0,0 +1,108 @@ +import pytest +from pybind11_tests import operators as m +from pybind11_tests import ConstructorStats + + +def test_operator_overloading(): + v1 = m.Vector2(1, 2) + v2 = m.Vector(3, -1) + assert str(v1) == "[1.000000, 2.000000]" + assert str(v2) == "[3.000000, -1.000000]" + + assert str(-v2) == "[-3.000000, 1.000000]" + + assert str(v1 + v2) == "[4.000000, 1.000000]" + assert str(v1 - v2) == "[-2.000000, 3.000000]" + assert str(v1 - 8) == "[-7.000000, -6.000000]" + assert str(v1 + 8) == "[9.000000, 10.000000]" + assert str(v1 * 8) == "[8.000000, 16.000000]" + assert str(v1 / 8) == "[0.125000, 0.250000]" + assert str(8 - v1) == "[7.000000, 6.000000]" + assert str(8 + v1) == "[9.000000, 10.000000]" + assert str(8 * v1) == "[8.000000, 16.000000]" + assert str(8 / v1) == "[8.000000, 4.000000]" + assert str(v1 * v2) == "[3.000000, -2.000000]" + assert str(v2 / v1) == "[3.000000, -0.500000]" + + v1 += 2 * v2 + assert str(v1) == "[7.000000, 0.000000]" + v1 -= v2 + assert str(v1) == "[4.000000, 1.000000]" + v1 *= 2 + assert str(v1) == "[8.000000, 2.000000]" + v1 /= 16 + assert str(v1) == "[0.500000, 0.125000]" + v1 *= v2 + assert str(v1) == "[1.500000, -0.125000]" + v2 /= v1 + assert str(v2) == "[2.000000, 8.000000]" + + assert hash(v1) == 4 + + cstats = ConstructorStats.get(m.Vector2) + assert cstats.alive() == 2 + del v1 + assert cstats.alive() == 1 + del v2 + assert cstats.alive() == 0 + assert cstats.values() == ['[1.000000, 2.000000]', '[3.000000, -1.000000]', + '[-3.000000, 1.000000]', '[4.000000, 1.000000]', + '[-2.000000, 3.000000]', '[-7.000000, -6.000000]', + '[9.000000, 10.000000]', '[8.000000, 16.000000]', + '[0.125000, 0.250000]', '[7.000000, 6.000000]', + '[9.000000, 10.000000]', '[8.000000, 16.000000]', + '[8.000000, 4.000000]', '[3.000000, -2.000000]', + '[3.000000, -0.500000]', '[6.000000, -2.000000]'] + assert cstats.default_constructions == 0 + assert cstats.copy_constructions == 0 + assert cstats.move_constructions >= 10 + assert cstats.copy_assignments == 0 + assert cstats.move_assignments == 0 + + +def test_operators_notimplemented(): + """#393: need to return NotSupported to ensure correct arithmetic operator behavior""" + + c1, c2 = m.C1(), m.C2() + assert c1 + c1 == 11 + assert c2 + c2 == 22 + assert c2 + c1 == 21 + assert c1 + c2 == 12 + + +def test_nested(): + """#328: first member in a class can't be used in operators""" + + a = m.NestA() + b = m.NestB() + c = m.NestC() + + a += 10 + assert m.get_NestA(a) == 13 + b.a += 100 + assert m.get_NestA(b.a) == 103 + c.b.a += 1000 + assert m.get_NestA(c.b.a) == 1003 + b -= 1 + assert m.get_NestB(b) == 3 + c.b -= 3 + assert m.get_NestB(c.b) == 1 + c *= 7 + assert m.get_NestC(c) == 35 + + abase = a.as_base() + assert abase.value == -2 + a.as_base().value += 44 + assert abase.value == 42 + assert c.b.a.as_base().value == -2 + c.b.a.as_base().value += 44 + assert c.b.a.as_base().value == 42 + + del c + pytest.gc_collect() + del a # Shouldn't delete while abase is still alive + pytest.gc_collect() + + assert abase.value == 42 + del abase, b + pytest.gc_collect() diff --git a/external/pybind11/tests/test_pickling.cpp b/external/pybind11/tests/test_pickling.cpp new file mode 100644 index 0000000..9dc63bd --- /dev/null +++ b/external/pybind11/tests/test_pickling.cpp @@ -0,0 +1,130 @@ +/* + tests/test_pickling.cpp -- pickle support + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" + +TEST_SUBMODULE(pickling, m) { + // test_roundtrip + class Pickleable { + public: + Pickleable(const std::string &value) : m_value(value) { } + const std::string &value() const { return m_value; } + + void setExtra1(int extra1) { m_extra1 = extra1; } + void setExtra2(int extra2) { m_extra2 = extra2; } + int extra1() const { return m_extra1; } + int extra2() const { return m_extra2; } + private: + std::string m_value; + int m_extra1 = 0; + int m_extra2 = 0; + }; + + class PickleableNew : public Pickleable { + public: + using Pickleable::Pickleable; + }; + + py::class_(m, "Pickleable") + .def(py::init()) + .def("value", &Pickleable::value) + .def("extra1", &Pickleable::extra1) + .def("extra2", &Pickleable::extra2) + .def("setExtra1", &Pickleable::setExtra1) + .def("setExtra2", &Pickleable::setExtra2) + // For details on the methods below, refer to + // http://docs.python.org/3/library/pickle.html#pickling-class-instances + .def("__getstate__", [](const Pickleable &p) { + /* Return a tuple that fully encodes the state of the object */ + return py::make_tuple(p.value(), p.extra1(), p.extra2()); + }) + .def("__setstate__", [](Pickleable &p, py::tuple t) { + if (t.size() != 3) + throw std::runtime_error("Invalid state!"); + /* Invoke the constructor (need to use in-place version) */ + new (&p) Pickleable(t[0].cast()); + + /* Assign any additional state */ + p.setExtra1(t[1].cast()); + p.setExtra2(t[2].cast()); + }); + + py::class_(m, "PickleableNew") + .def(py::init()) + .def(py::pickle( + [](const PickleableNew &p) { + return py::make_tuple(p.value(), p.extra1(), p.extra2()); + }, + [](py::tuple t) { + if (t.size() != 3) + throw std::runtime_error("Invalid state!"); + auto p = PickleableNew(t[0].cast()); + + p.setExtra1(t[1].cast()); + p.setExtra2(t[2].cast()); + return p; + } + )); + +#if !defined(PYPY_VERSION) + // test_roundtrip_with_dict + class PickleableWithDict { + public: + PickleableWithDict(const std::string &value) : value(value) { } + + std::string value; + int extra; + }; + + class PickleableWithDictNew : public PickleableWithDict { + public: + using PickleableWithDict::PickleableWithDict; + }; + + py::class_(m, "PickleableWithDict", py::dynamic_attr()) + .def(py::init()) + .def_readwrite("value", &PickleableWithDict::value) + .def_readwrite("extra", &PickleableWithDict::extra) + .def("__getstate__", [](py::object self) { + /* Also include __dict__ in state */ + return py::make_tuple(self.attr("value"), self.attr("extra"), self.attr("__dict__")); + }) + .def("__setstate__", [](py::object self, py::tuple t) { + if (t.size() != 3) + throw std::runtime_error("Invalid state!"); + /* Cast and construct */ + auto& p = self.cast(); + new (&p) PickleableWithDict(t[0].cast()); + + /* Assign C++ state */ + p.extra = t[1].cast(); + + /* Assign Python state */ + self.attr("__dict__") = t[2]; + }); + + py::class_(m, "PickleableWithDictNew") + .def(py::init()) + .def(py::pickle( + [](py::object self) { + return py::make_tuple(self.attr("value"), self.attr("extra"), self.attr("__dict__")); + }, + [](const py::tuple &t) { + if (t.size() != 3) + throw std::runtime_error("Invalid state!"); + + auto cpp_state = PickleableWithDictNew(t[0].cast()); + cpp_state.extra = t[1].cast(); + + auto py_state = t[2].cast(); + return std::make_pair(cpp_state, py_state); + } + )); +#endif +} diff --git a/external/pybind11/tests/test_pickling.py b/external/pybind11/tests/test_pickling.py new file mode 100644 index 0000000..5ae05aa --- /dev/null +++ b/external/pybind11/tests/test_pickling.py @@ -0,0 +1,42 @@ +import pytest +from pybind11_tests import pickling as m + +try: + import cPickle as pickle # Use cPickle on Python 2.7 +except ImportError: + import pickle + + +@pytest.mark.parametrize("cls_name", ["Pickleable", "PickleableNew"]) +def test_roundtrip(cls_name): + cls = getattr(m, cls_name) + p = cls("test_value") + p.setExtra1(15) + p.setExtra2(48) + + data = pickle.dumps(p, 2) # Must use pickle protocol >= 2 + p2 = pickle.loads(data) + assert p2.value() == p.value() + assert p2.extra1() == p.extra1() + assert p2.extra2() == p.extra2() + + +@pytest.unsupported_on_pypy +@pytest.mark.parametrize("cls_name", ["PickleableWithDict", "PickleableWithDictNew"]) +def test_roundtrip_with_dict(cls_name): + cls = getattr(m, cls_name) + p = cls("test_value") + p.extra = 15 + p.dynamic = "Attribute" + + data = pickle.dumps(p, pickle.HIGHEST_PROTOCOL) + p2 = pickle.loads(data) + assert p2.value == p.value + assert p2.extra == p.extra + assert p2.dynamic == p.dynamic + + +def test_enum_pickle(): + from pybind11_tests import enums as e + data = pickle.dumps(e.EOne, 2) + assert e.EOne == pickle.loads(data) diff --git a/external/pybind11/tests/test_pytypes.cpp b/external/pybind11/tests/test_pytypes.cpp new file mode 100644 index 0000000..244e1db --- /dev/null +++ b/external/pybind11/tests/test_pytypes.cpp @@ -0,0 +1,310 @@ +/* + tests/test_pytypes.cpp -- Python type casters + + Copyright (c) 2017 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" + + +TEST_SUBMODULE(pytypes, m) { + // test_list + m.def("get_list", []() { + py::list list; + list.append("value"); + py::print("Entry at position 0:", list[0]); + list[0] = py::str("overwritten"); + list.insert(0, "inserted-0"); + list.insert(2, "inserted-2"); + return list; + }); + m.def("print_list", [](py::list list) { + int index = 0; + for (auto item : list) + py::print("list item {}: {}"_s.format(index++, item)); + }); + + // test_set + m.def("get_set", []() { + py::set set; + set.add(py::str("key1")); + set.add("key2"); + set.add(std::string("key3")); + return set; + }); + m.def("print_set", [](py::set set) { + for (auto item : set) + py::print("key:", item); + }); + m.def("set_contains", [](py::set set, py::object key) { + return set.contains(key); + }); + m.def("set_contains", [](py::set set, const char* key) { + return set.contains(key); + }); + + // test_dict + m.def("get_dict", []() { return py::dict("key"_a="value"); }); + m.def("print_dict", [](py::dict dict) { + for (auto item : dict) + py::print("key: {}, value={}"_s.format(item.first, item.second)); + }); + m.def("dict_keyword_constructor", []() { + auto d1 = py::dict("x"_a=1, "y"_a=2); + auto d2 = py::dict("z"_a=3, **d1); + return d2; + }); + m.def("dict_contains", [](py::dict dict, py::object val) { + return dict.contains(val); + }); + m.def("dict_contains", [](py::dict dict, const char* val) { + return dict.contains(val); + }); + + // test_str + m.def("str_from_string", []() { return py::str(std::string("baz")); }); + m.def("str_from_bytes", []() { return py::str(py::bytes("boo", 3)); }); + m.def("str_from_object", [](const py::object& obj) { return py::str(obj); }); + m.def("repr_from_object", [](const py::object& obj) { return py::repr(obj); }); + + m.def("str_format", []() { + auto s1 = "{} + {} = {}"_s.format(1, 2, 3); + auto s2 = "{a} + {b} = {c}"_s.format("a"_a=1, "b"_a=2, "c"_a=3); + return py::make_tuple(s1, s2); + }); + + // test_bytes + m.def("bytes_from_string", []() { return py::bytes(std::string("foo")); }); + m.def("bytes_from_str", []() { return py::bytes(py::str("bar", 3)); }); + + // test_capsule + m.def("return_capsule_with_destructor", []() { + py::print("creating capsule"); + return py::capsule([]() { + py::print("destructing capsule"); + }); + }); + + m.def("return_capsule_with_destructor_2", []() { + py::print("creating capsule"); + return py::capsule((void *) 1234, [](void *ptr) { + py::print("destructing capsule: {}"_s.format((size_t) ptr)); + }); + }); + + m.def("return_capsule_with_name_and_destructor", []() { + auto capsule = py::capsule((void *) 1234, "pointer type description", [](PyObject *ptr) { + if (ptr) { + auto name = PyCapsule_GetName(ptr); + py::print("destructing capsule ({}, '{}')"_s.format( + (size_t) PyCapsule_GetPointer(ptr, name), name + )); + } + }); + void *contents = capsule; + py::print("created capsule ({}, '{}')"_s.format((size_t) contents, capsule.name())); + return capsule; + }); + + // test_accessors + m.def("accessor_api", [](py::object o) { + auto d = py::dict(); + + d["basic_attr"] = o.attr("basic_attr"); + + auto l = py::list(); + for (const auto &item : o.attr("begin_end")) { + l.append(item); + } + d["begin_end"] = l; + + d["operator[object]"] = o.attr("d")["operator[object]"_s]; + d["operator[char *]"] = o.attr("d")["operator[char *]"]; + + d["attr(object)"] = o.attr("sub").attr("attr_obj"); + d["attr(char *)"] = o.attr("sub").attr("attr_char"); + try { + o.attr("sub").attr("missing").ptr(); + } catch (const py::error_already_set &) { + d["missing_attr_ptr"] = "raised"_s; + } + try { + o.attr("missing").attr("doesn't matter"); + } catch (const py::error_already_set &) { + d["missing_attr_chain"] = "raised"_s; + } + + d["is_none"] = o.attr("basic_attr").is_none(); + + d["operator()"] = o.attr("func")(1); + d["operator*"] = o.attr("func")(*o.attr("begin_end")); + + // Test implicit conversion + py::list implicit_list = o.attr("begin_end"); + d["implicit_list"] = implicit_list; + py::dict implicit_dict = o.attr("__dict__"); + d["implicit_dict"] = implicit_dict; + + return d; + }); + + m.def("tuple_accessor", [](py::tuple existing_t) { + try { + existing_t[0] = 1; + } catch (const py::error_already_set &) { + // --> Python system error + // Only new tuples (refcount == 1) are mutable + auto new_t = py::tuple(3); + for (size_t i = 0; i < new_t.size(); ++i) { + new_t[i] = i; + } + return new_t; + } + return py::tuple(); + }); + + m.def("accessor_assignment", []() { + auto l = py::list(1); + l[0] = 0; + + auto d = py::dict(); + d["get"] = l[0]; + auto var = l[0]; + d["deferred_get"] = var; + l[0] = 1; + d["set"] = l[0]; + var = 99; // this assignment should not overwrite l[0] + d["deferred_set"] = l[0]; + d["var"] = var; + + return d; + }); + + // test_constructors + m.def("default_constructors", []() { + return py::dict( + "str"_a=py::str(), + "bool"_a=py::bool_(), + "int"_a=py::int_(), + "float"_a=py::float_(), + "tuple"_a=py::tuple(), + "list"_a=py::list(), + "dict"_a=py::dict(), + "set"_a=py::set() + ); + }); + + m.def("converting_constructors", [](py::dict d) { + return py::dict( + "str"_a=py::str(d["str"]), + "bool"_a=py::bool_(d["bool"]), + "int"_a=py::int_(d["int"]), + "float"_a=py::float_(d["float"]), + "tuple"_a=py::tuple(d["tuple"]), + "list"_a=py::list(d["list"]), + "dict"_a=py::dict(d["dict"]), + "set"_a=py::set(d["set"]), + "memoryview"_a=py::memoryview(d["memoryview"]) + ); + }); + + m.def("cast_functions", [](py::dict d) { + // When converting between Python types, obj.cast() should be the same as T(obj) + return py::dict( + "str"_a=d["str"].cast(), + "bool"_a=d["bool"].cast(), + "int"_a=d["int"].cast(), + "float"_a=d["float"].cast(), + "tuple"_a=d["tuple"].cast(), + "list"_a=d["list"].cast(), + "dict"_a=d["dict"].cast(), + "set"_a=d["set"].cast(), + "memoryview"_a=d["memoryview"].cast() + ); + }); + + m.def("get_implicit_casting", []() { + py::dict d; + d["char*_i1"] = "abc"; + const char *c2 = "abc"; + d["char*_i2"] = c2; + d["char*_e"] = py::cast(c2); + d["char*_p"] = py::str(c2); + + d["int_i1"] = 42; + int i = 42; + d["int_i2"] = i; + i++; + d["int_e"] = py::cast(i); + i++; + d["int_p"] = py::int_(i); + + d["str_i1"] = std::string("str"); + std::string s2("str1"); + d["str_i2"] = s2; + s2[3] = '2'; + d["str_e"] = py::cast(s2); + s2[3] = '3'; + d["str_p"] = py::str(s2); + + py::list l(2); + l[0] = 3; + l[1] = py::cast(6); + l.append(9); + l.append(py::cast(12)); + l.append(py::int_(15)); + + return py::dict( + "d"_a=d, + "l"_a=l + ); + }); + + // test_print + m.def("print_function", []() { + py::print("Hello, World!"); + py::print(1, 2.0, "three", true, std::string("-- multiple args")); + auto args = py::make_tuple("and", "a", "custom", "separator"); + py::print("*args", *args, "sep"_a="-"); + py::print("no new line here", "end"_a=" -- "); + py::print("next print"); + + auto py_stderr = py::module::import("sys").attr("stderr"); + py::print("this goes to stderr", "file"_a=py_stderr); + + py::print("flush", "flush"_a=true); + + py::print("{a} + {b} = {c}"_s.format("a"_a="py::print", "b"_a="str.format", "c"_a="this")); + }); + + m.def("print_failure", []() { py::print(42, UnregisteredType()); }); + + m.def("hash_function", [](py::object obj) { return py::hash(obj); }); + + m.def("test_number_protocol", [](py::object a, py::object b) { + py::list l; + l.append(a.equal(b)); + l.append(a.not_equal(b)); + l.append(a < b); + l.append(a <= b); + l.append(a > b); + l.append(a >= b); + l.append(a + b); + l.append(a - b); + l.append(a * b); + l.append(a / b); + l.append(a | b); + l.append(a & b); + l.append(a ^ b); + l.append(a >> b); + l.append(a << b); + return l; + }); + + m.def("test_list_slicing", [](py::list a) { + return a[py::slice(0, -1, 2)]; + }); +} diff --git a/external/pybind11/tests/test_pytypes.py b/external/pybind11/tests/test_pytypes.py new file mode 100644 index 0000000..0e8d6c3 --- /dev/null +++ b/external/pybind11/tests/test_pytypes.py @@ -0,0 +1,263 @@ +from __future__ import division +import pytest +import sys + +from pybind11_tests import pytypes as m +from pybind11_tests import debug_enabled + + +def test_list(capture, doc): + with capture: + lst = m.get_list() + assert lst == ["inserted-0", "overwritten", "inserted-2"] + + lst.append("value2") + m.print_list(lst) + assert capture.unordered == """ + Entry at position 0: value + list item 0: inserted-0 + list item 1: overwritten + list item 2: inserted-2 + list item 3: value2 + """ + + assert doc(m.get_list) == "get_list() -> list" + assert doc(m.print_list) == "print_list(arg0: list) -> None" + + +def test_set(capture, doc): + s = m.get_set() + assert s == {"key1", "key2", "key3"} + + with capture: + s.add("key4") + m.print_set(s) + assert capture.unordered == """ + key: key1 + key: key2 + key: key3 + key: key4 + """ + + assert not m.set_contains(set([]), 42) + assert m.set_contains({42}, 42) + assert m.set_contains({"foo"}, "foo") + + assert doc(m.get_list) == "get_list() -> list" + assert doc(m.print_list) == "print_list(arg0: list) -> None" + + +def test_dict(capture, doc): + d = m.get_dict() + assert d == {"key": "value"} + + with capture: + d["key2"] = "value2" + m.print_dict(d) + assert capture.unordered == """ + key: key, value=value + key: key2, value=value2 + """ + + assert not m.dict_contains({}, 42) + assert m.dict_contains({42: None}, 42) + assert m.dict_contains({"foo": None}, "foo") + + assert doc(m.get_dict) == "get_dict() -> dict" + assert doc(m.print_dict) == "print_dict(arg0: dict) -> None" + + assert m.dict_keyword_constructor() == {"x": 1, "y": 2, "z": 3} + + +def test_str(doc): + assert m.str_from_string().encode().decode() == "baz" + assert m.str_from_bytes().encode().decode() == "boo" + + assert doc(m.str_from_bytes) == "str_from_bytes() -> str" + + class A(object): + def __str__(self): + return "this is a str" + + def __repr__(self): + return "this is a repr" + + assert m.str_from_object(A()) == "this is a str" + assert m.repr_from_object(A()) == "this is a repr" + + s1, s2 = m.str_format() + assert s1 == "1 + 2 = 3" + assert s1 == s2 + + +def test_bytes(doc): + assert m.bytes_from_string().decode() == "foo" + assert m.bytes_from_str().decode() == "bar" + + assert doc(m.bytes_from_str) == "bytes_from_str() -> {}".format( + "bytes" if sys.version_info[0] == 3 else "str" + ) + + +def test_capsule(capture): + pytest.gc_collect() + with capture: + a = m.return_capsule_with_destructor() + del a + pytest.gc_collect() + assert capture.unordered == """ + creating capsule + destructing capsule + """ + + with capture: + a = m.return_capsule_with_destructor_2() + del a + pytest.gc_collect() + assert capture.unordered == """ + creating capsule + destructing capsule: 1234 + """ + + with capture: + a = m.return_capsule_with_name_and_destructor() + del a + pytest.gc_collect() + assert capture.unordered == """ + created capsule (1234, 'pointer type description') + destructing capsule (1234, 'pointer type description') + """ + + +def test_accessors(): + class SubTestObject: + attr_obj = 1 + attr_char = 2 + + class TestObject: + basic_attr = 1 + begin_end = [1, 2, 3] + d = {"operator[object]": 1, "operator[char *]": 2} + sub = SubTestObject() + + def func(self, x, *args): + return self.basic_attr + x + sum(args) + + d = m.accessor_api(TestObject()) + assert d["basic_attr"] == 1 + assert d["begin_end"] == [1, 2, 3] + assert d["operator[object]"] == 1 + assert d["operator[char *]"] == 2 + assert d["attr(object)"] == 1 + assert d["attr(char *)"] == 2 + assert d["missing_attr_ptr"] == "raised" + assert d["missing_attr_chain"] == "raised" + assert d["is_none"] is False + assert d["operator()"] == 2 + assert d["operator*"] == 7 + assert d["implicit_list"] == [1, 2, 3] + assert all(x in TestObject.__dict__ for x in d["implicit_dict"]) + + assert m.tuple_accessor(tuple()) == (0, 1, 2) + + d = m.accessor_assignment() + assert d["get"] == 0 + assert d["deferred_get"] == 0 + assert d["set"] == 1 + assert d["deferred_set"] == 1 + assert d["var"] == 99 + + +def test_constructors(): + """C++ default and converting constructors are equivalent to type calls in Python""" + types = [str, bool, int, float, tuple, list, dict, set] + expected = {t.__name__: t() for t in types} + assert m.default_constructors() == expected + + data = { + str: 42, + bool: "Not empty", + int: "42", + float: "+1e3", + tuple: range(3), + list: range(3), + dict: [("two", 2), ("one", 1), ("three", 3)], + set: [4, 4, 5, 6, 6, 6], + memoryview: b'abc' + } + inputs = {k.__name__: v for k, v in data.items()} + expected = {k.__name__: k(v) for k, v in data.items()} + + assert m.converting_constructors(inputs) == expected + assert m.cast_functions(inputs) == expected + + # Converting constructors and cast functions should just reference rather + # than copy when no conversion is needed: + noconv1 = m.converting_constructors(expected) + for k in noconv1: + assert noconv1[k] is expected[k] + + noconv2 = m.cast_functions(expected) + for k in noconv2: + assert noconv2[k] is expected[k] + + +def test_implicit_casting(): + """Tests implicit casting when assigning or appending to dicts and lists.""" + z = m.get_implicit_casting() + assert z['d'] == { + 'char*_i1': 'abc', 'char*_i2': 'abc', 'char*_e': 'abc', 'char*_p': 'abc', + 'str_i1': 'str', 'str_i2': 'str1', 'str_e': 'str2', 'str_p': 'str3', + 'int_i1': 42, 'int_i2': 42, 'int_e': 43, 'int_p': 44 + } + assert z['l'] == [3, 6, 9, 12, 15] + + +def test_print(capture): + with capture: + m.print_function() + assert capture == """ + Hello, World! + 1 2.0 three True -- multiple args + *args-and-a-custom-separator + no new line here -- next print + flush + py::print + str.format = this + """ + assert capture.stderr == "this goes to stderr" + + with pytest.raises(RuntimeError) as excinfo: + m.print_failure() + assert str(excinfo.value) == "make_tuple(): unable to convert " + ( + "argument of type 'UnregisteredType' to Python object" + if debug_enabled else + "arguments to Python object (compile in debug mode for details)" + ) + + +def test_hash(): + class Hashable(object): + def __init__(self, value): + self.value = value + + def __hash__(self): + return self.value + + class Unhashable(object): + __hash__ = None + + assert m.hash_function(Hashable(42)) == 42 + with pytest.raises(TypeError): + m.hash_function(Unhashable()) + + +def test_number_protocol(): + for a, b in [(1, 1), (3, 5)]: + li = [a == b, a != b, a < b, a <= b, a > b, a >= b, a + b, + a - b, a * b, a / b, a | b, a & b, a ^ b, a >> b, a << b] + assert m.test_number_protocol(a, b) == li + + +def test_list_slicing(): + li = list(range(100)) + assert li[::2] == m.test_list_slicing(li) diff --git a/external/pybind11/tests/test_sequences_and_iterators.cpp b/external/pybind11/tests/test_sequences_and_iterators.cpp new file mode 100644 index 0000000..87ccf99 --- /dev/null +++ b/external/pybind11/tests/test_sequences_and_iterators.cpp @@ -0,0 +1,353 @@ +/* + tests/test_sequences_and_iterators.cpp -- supporting Pythons' sequence protocol, iterators, + etc. + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" +#include "constructor_stats.h" +#include +#include + +template +class NonZeroIterator { + const T* ptr_; +public: + NonZeroIterator(const T* ptr) : ptr_(ptr) {} + const T& operator*() const { return *ptr_; } + NonZeroIterator& operator++() { ++ptr_; return *this; } +}; + +class NonZeroSentinel {}; + +template +bool operator==(const NonZeroIterator>& it, const NonZeroSentinel&) { + return !(*it).first || !(*it).second; +} + +template +py::list test_random_access_iterator(PythonType x) { + if (x.size() < 5) + throw py::value_error("Please provide at least 5 elements for testing."); + + auto checks = py::list(); + auto assert_equal = [&checks](py::handle a, py::handle b) { + auto result = PyObject_RichCompareBool(a.ptr(), b.ptr(), Py_EQ); + if (result == -1) { throw py::error_already_set(); } + checks.append(result != 0); + }; + + auto it = x.begin(); + assert_equal(x[0], *it); + assert_equal(x[0], it[0]); + assert_equal(x[1], it[1]); + + assert_equal(x[1], *(++it)); + assert_equal(x[1], *(it++)); + assert_equal(x[2], *it); + assert_equal(x[3], *(it += 1)); + assert_equal(x[2], *(--it)); + assert_equal(x[2], *(it--)); + assert_equal(x[1], *it); + assert_equal(x[0], *(it -= 1)); + + assert_equal(it->attr("real"), x[0].attr("real")); + assert_equal((it + 1)->attr("real"), x[1].attr("real")); + + assert_equal(x[1], *(it + 1)); + assert_equal(x[1], *(1 + it)); + it += 3; + assert_equal(x[1], *(it - 2)); + + checks.append(static_cast(x.end() - x.begin()) == x.size()); + checks.append((x.begin() + static_cast(x.size())) == x.end()); + checks.append(x.begin() < x.end()); + + return checks; +} + +TEST_SUBMODULE(sequences_and_iterators, m) { + // test_sliceable + class Sliceable{ + public: + Sliceable(int n): size(n) {} + int start,stop,step; + int size; + }; + py::class_(m,"Sliceable") + .def(py::init()) + .def("__getitem__",[](const Sliceable &s, py::slice slice) { + ssize_t start, stop, step, slicelength; + if (!slice.compute(s.size, &start, &stop, &step, &slicelength)) + throw py::error_already_set(); + int istart = static_cast(start); + int istop = static_cast(stop); + int istep = static_cast(step); + return std::make_tuple(istart,istop,istep); + }) + ; + + // test_sequence + class Sequence { + public: + Sequence(size_t size) : m_size(size) { + print_created(this, "of size", m_size); + m_data = new float[size]; + memset(m_data, 0, sizeof(float) * size); + } + Sequence(const std::vector &value) : m_size(value.size()) { + print_created(this, "of size", m_size, "from std::vector"); + m_data = new float[m_size]; + memcpy(m_data, &value[0], sizeof(float) * m_size); + } + Sequence(const Sequence &s) : m_size(s.m_size) { + print_copy_created(this); + m_data = new float[m_size]; + memcpy(m_data, s.m_data, sizeof(float)*m_size); + } + Sequence(Sequence &&s) : m_size(s.m_size), m_data(s.m_data) { + print_move_created(this); + s.m_size = 0; + s.m_data = nullptr; + } + + ~Sequence() { print_destroyed(this); delete[] m_data; } + + Sequence &operator=(const Sequence &s) { + if (&s != this) { + delete[] m_data; + m_size = s.m_size; + m_data = new float[m_size]; + memcpy(m_data, s.m_data, sizeof(float)*m_size); + } + print_copy_assigned(this); + return *this; + } + + Sequence &operator=(Sequence &&s) { + if (&s != this) { + delete[] m_data; + m_size = s.m_size; + m_data = s.m_data; + s.m_size = 0; + s.m_data = nullptr; + } + print_move_assigned(this); + return *this; + } + + bool operator==(const Sequence &s) const { + if (m_size != s.size()) return false; + for (size_t i = 0; i < m_size; ++i) + if (m_data[i] != s[i]) + return false; + return true; + } + bool operator!=(const Sequence &s) const { return !operator==(s); } + + float operator[](size_t index) const { return m_data[index]; } + float &operator[](size_t index) { return m_data[index]; } + + bool contains(float v) const { + for (size_t i = 0; i < m_size; ++i) + if (v == m_data[i]) + return true; + return false; + } + + Sequence reversed() const { + Sequence result(m_size); + for (size_t i = 0; i < m_size; ++i) + result[m_size - i - 1] = m_data[i]; + return result; + } + + size_t size() const { return m_size; } + + const float *begin() const { return m_data; } + const float *end() const { return m_data+m_size; } + + private: + size_t m_size; + float *m_data; + }; + py::class_(m, "Sequence") + .def(py::init()) + .def(py::init&>()) + /// Bare bones interface + .def("__getitem__", [](const Sequence &s, size_t i) { + if (i >= s.size()) throw py::index_error(); + return s[i]; + }) + .def("__setitem__", [](Sequence &s, size_t i, float v) { + if (i >= s.size()) throw py::index_error(); + s[i] = v; + }) + .def("__len__", &Sequence::size) + /// Optional sequence protocol operations + .def("__iter__", [](const Sequence &s) { return py::make_iterator(s.begin(), s.end()); }, + py::keep_alive<0, 1>() /* Essential: keep object alive while iterator exists */) + .def("__contains__", [](const Sequence &s, float v) { return s.contains(v); }) + .def("__reversed__", [](const Sequence &s) -> Sequence { return s.reversed(); }) + /// Slicing protocol (optional) + .def("__getitem__", [](const Sequence &s, py::slice slice) -> Sequence* { + size_t start, stop, step, slicelength; + if (!slice.compute(s.size(), &start, &stop, &step, &slicelength)) + throw py::error_already_set(); + Sequence *seq = new Sequence(slicelength); + for (size_t i = 0; i < slicelength; ++i) { + (*seq)[i] = s[start]; start += step; + } + return seq; + }) + .def("__setitem__", [](Sequence &s, py::slice slice, const Sequence &value) { + size_t start, stop, step, slicelength; + if (!slice.compute(s.size(), &start, &stop, &step, &slicelength)) + throw py::error_already_set(); + if (slicelength != value.size()) + throw std::runtime_error("Left and right hand size of slice assignment have different sizes!"); + for (size_t i = 0; i < slicelength; ++i) { + s[start] = value[i]; start += step; + } + }) + /// Comparisons + .def(py::self == py::self) + .def(py::self != py::self) + // Could also define py::self + py::self for concatenation, etc. + ; + + // test_map_iterator + // Interface of a map-like object that isn't (directly) an unordered_map, but provides some basic + // map-like functionality. + class StringMap { + public: + StringMap() = default; + StringMap(std::unordered_map init) + : map(std::move(init)) {} + + void set(std::string key, std::string val) { map[key] = val; } + std::string get(std::string key) const { return map.at(key); } + size_t size() const { return map.size(); } + private: + std::unordered_map map; + public: + decltype(map.cbegin()) begin() const { return map.cbegin(); } + decltype(map.cend()) end() const { return map.cend(); } + }; + py::class_(m, "StringMap") + .def(py::init<>()) + .def(py::init>()) + .def("__getitem__", [](const StringMap &map, std::string key) { + try { return map.get(key); } + catch (const std::out_of_range&) { + throw py::key_error("key '" + key + "' does not exist"); + } + }) + .def("__setitem__", &StringMap::set) + .def("__len__", &StringMap::size) + .def("__iter__", [](const StringMap &map) { return py::make_key_iterator(map.begin(), map.end()); }, + py::keep_alive<0, 1>()) + .def("items", [](const StringMap &map) { return py::make_iterator(map.begin(), map.end()); }, + py::keep_alive<0, 1>()) + ; + + // test_generalized_iterators + class IntPairs { + public: + IntPairs(std::vector> data) : data_(std::move(data)) {} + const std::pair* begin() const { return data_.data(); } + private: + std::vector> data_; + }; + py::class_(m, "IntPairs") + .def(py::init>>()) + .def("nonzero", [](const IntPairs& s) { + return py::make_iterator(NonZeroIterator>(s.begin()), NonZeroSentinel()); + }, py::keep_alive<0, 1>()) + .def("nonzero_keys", [](const IntPairs& s) { + return py::make_key_iterator(NonZeroIterator>(s.begin()), NonZeroSentinel()); + }, py::keep_alive<0, 1>()) + ; + + +#if 0 + // Obsolete: special data structure for exposing custom iterator types to python + // kept here for illustrative purposes because there might be some use cases which + // are not covered by the much simpler py::make_iterator + + struct PySequenceIterator { + PySequenceIterator(const Sequence &seq, py::object ref) : seq(seq), ref(ref) { } + + float next() { + if (index == seq.size()) + throw py::stop_iteration(); + return seq[index++]; + } + + const Sequence &seq; + py::object ref; // keep a reference + size_t index = 0; + }; + + py::class_(seq, "Iterator") + .def("__iter__", [](PySequenceIterator &it) -> PySequenceIterator& { return it; }) + .def("__next__", &PySequenceIterator::next); + + On the actual Sequence object, the iterator would be constructed as follows: + .def("__iter__", [](py::object s) { return PySequenceIterator(s.cast(), s); }) +#endif + + // test_python_iterator_in_cpp + m.def("object_to_list", [](py::object o) { + auto l = py::list(); + for (auto item : o) { + l.append(item); + } + return l; + }); + + m.def("iterator_to_list", [](py::iterator it) { + auto l = py::list(); + while (it != py::iterator::sentinel()) { + l.append(*it); + ++it; + } + return l; + }); + + // Make sure that py::iterator works with std algorithms + m.def("count_none", [](py::object o) { + return std::count_if(o.begin(), o.end(), [](py::handle h) { return h.is_none(); }); + }); + + m.def("find_none", [](py::object o) { + auto it = std::find_if(o.begin(), o.end(), [](py::handle h) { return h.is_none(); }); + return it->is_none(); + }); + + m.def("count_nonzeros", [](py::dict d) { + return std::count_if(d.begin(), d.end(), [](std::pair p) { + return p.second.cast() != 0; + }); + }); + + m.def("tuple_iterator", &test_random_access_iterator); + m.def("list_iterator", &test_random_access_iterator); + m.def("sequence_iterator", &test_random_access_iterator); + + // test_iterator_passthrough + // #181: iterator passthrough did not compile + m.def("iterator_passthrough", [](py::iterator s) -> py::iterator { + return py::make_iterator(std::begin(s), std::end(s)); + }); + + // test_iterator_rvp + // #388: Can't make iterators via make_iterator() with different r/v policies + static std::vector list = { 1, 2, 3 }; + m.def("make_iterator_1", []() { return py::make_iterator(list); }); + m.def("make_iterator_2", []() { return py::make_iterator(list); }); +} diff --git a/external/pybind11/tests/test_sequences_and_iterators.py b/external/pybind11/tests/test_sequences_and_iterators.py new file mode 100644 index 0000000..6bd1606 --- /dev/null +++ b/external/pybind11/tests/test_sequences_and_iterators.py @@ -0,0 +1,171 @@ +import pytest +from pybind11_tests import sequences_and_iterators as m +from pybind11_tests import ConstructorStats + + +def isclose(a, b, rel_tol=1e-05, abs_tol=0.0): + """Like math.isclose() from Python 3.5""" + return abs(a - b) <= max(rel_tol * max(abs(a), abs(b)), abs_tol) + + +def allclose(a_list, b_list, rel_tol=1e-05, abs_tol=0.0): + return all(isclose(a, b, rel_tol=rel_tol, abs_tol=abs_tol) for a, b in zip(a_list, b_list)) + + +def test_generalized_iterators(): + assert list(m.IntPairs([(1, 2), (3, 4), (0, 5)]).nonzero()) == [(1, 2), (3, 4)] + assert list(m.IntPairs([(1, 2), (2, 0), (0, 3), (4, 5)]).nonzero()) == [(1, 2)] + assert list(m.IntPairs([(0, 3), (1, 2), (3, 4)]).nonzero()) == [] + + assert list(m.IntPairs([(1, 2), (3, 4), (0, 5)]).nonzero_keys()) == [1, 3] + assert list(m.IntPairs([(1, 2), (2, 0), (0, 3), (4, 5)]).nonzero_keys()) == [1] + assert list(m.IntPairs([(0, 3), (1, 2), (3, 4)]).nonzero_keys()) == [] + + # __next__ must continue to raise StopIteration + it = m.IntPairs([(0, 0)]).nonzero() + for _ in range(3): + with pytest.raises(StopIteration): + next(it) + + it = m.IntPairs([(0, 0)]).nonzero_keys() + for _ in range(3): + with pytest.raises(StopIteration): + next(it) + + +def test_sliceable(): + sliceable = m.Sliceable(100) + assert sliceable[::] == (0, 100, 1) + assert sliceable[10::] == (10, 100, 1) + assert sliceable[:10:] == (0, 10, 1) + assert sliceable[::10] == (0, 100, 10) + assert sliceable[-10::] == (90, 100, 1) + assert sliceable[:-10:] == (0, 90, 1) + assert sliceable[::-10] == (99, -1, -10) + assert sliceable[50:60:1] == (50, 60, 1) + assert sliceable[50:60:-1] == (50, 60, -1) + + +def test_sequence(): + cstats = ConstructorStats.get(m.Sequence) + + s = m.Sequence(5) + assert cstats.values() == ['of size', '5'] + + assert "Sequence" in repr(s) + assert len(s) == 5 + assert s[0] == 0 and s[3] == 0 + assert 12.34 not in s + s[0], s[3] = 12.34, 56.78 + assert 12.34 in s + assert isclose(s[0], 12.34) and isclose(s[3], 56.78) + + rev = reversed(s) + assert cstats.values() == ['of size', '5'] + + rev2 = s[::-1] + assert cstats.values() == ['of size', '5'] + + it = iter(m.Sequence(0)) + for _ in range(3): # __next__ must continue to raise StopIteration + with pytest.raises(StopIteration): + next(it) + assert cstats.values() == ['of size', '0'] + + expected = [0, 56.78, 0, 0, 12.34] + assert allclose(rev, expected) + assert allclose(rev2, expected) + assert rev == rev2 + + rev[0::2] = m.Sequence([2.0, 2.0, 2.0]) + assert cstats.values() == ['of size', '3', 'from std::vector'] + + assert allclose(rev, [2, 56.78, 2, 0, 2]) + + assert cstats.alive() == 4 + del it + assert cstats.alive() == 3 + del s + assert cstats.alive() == 2 + del rev + assert cstats.alive() == 1 + del rev2 + assert cstats.alive() == 0 + + assert cstats.values() == [] + assert cstats.default_constructions == 0 + assert cstats.copy_constructions == 0 + assert cstats.move_constructions >= 1 + assert cstats.copy_assignments == 0 + assert cstats.move_assignments == 0 + + +def test_map_iterator(): + sm = m.StringMap({'hi': 'bye', 'black': 'white'}) + assert sm['hi'] == 'bye' + assert len(sm) == 2 + assert sm['black'] == 'white' + + with pytest.raises(KeyError): + assert sm['orange'] + sm['orange'] = 'banana' + assert sm['orange'] == 'banana' + + expected = {'hi': 'bye', 'black': 'white', 'orange': 'banana'} + for k in sm: + assert sm[k] == expected[k] + for k, v in sm.items(): + assert v == expected[k] + + it = iter(m.StringMap({})) + for _ in range(3): # __next__ must continue to raise StopIteration + with pytest.raises(StopIteration): + next(it) + + +def test_python_iterator_in_cpp(): + t = (1, 2, 3) + assert m.object_to_list(t) == [1, 2, 3] + assert m.object_to_list(iter(t)) == [1, 2, 3] + assert m.iterator_to_list(iter(t)) == [1, 2, 3] + + with pytest.raises(TypeError) as excinfo: + m.object_to_list(1) + assert "object is not iterable" in str(excinfo.value) + + with pytest.raises(TypeError) as excinfo: + m.iterator_to_list(1) + assert "incompatible function arguments" in str(excinfo.value) + + def bad_next_call(): + raise RuntimeError("py::iterator::advance() should propagate errors") + + with pytest.raises(RuntimeError) as excinfo: + m.iterator_to_list(iter(bad_next_call, None)) + assert str(excinfo.value) == "py::iterator::advance() should propagate errors" + + lst = [1, None, 0, None] + assert m.count_none(lst) == 2 + assert m.find_none(lst) is True + assert m.count_nonzeros({"a": 0, "b": 1, "c": 2}) == 2 + + r = range(5) + assert all(m.tuple_iterator(tuple(r))) + assert all(m.list_iterator(list(r))) + assert all(m.sequence_iterator(r)) + + +def test_iterator_passthrough(): + """#181: iterator passthrough did not compile""" + from pybind11_tests.sequences_and_iterators import iterator_passthrough + + assert list(iterator_passthrough(iter([3, 5, 7, 9, 11, 13, 15]))) == [3, 5, 7, 9, 11, 13, 15] + + +def test_iterator_rvp(): + """#388: Can't make iterators via make_iterator() with different r/v policies """ + import pybind11_tests.sequences_and_iterators as m + + assert list(m.make_iterator_1()) == [1, 2, 3] + assert list(m.make_iterator_2()) == [1, 2, 3] + assert not isinstance(m.make_iterator_1(), type(m.make_iterator_2())) diff --git a/external/pybind11/tests/test_smart_ptr.cpp b/external/pybind11/tests/test_smart_ptr.cpp new file mode 100644 index 0000000..87c9be8 --- /dev/null +++ b/external/pybind11/tests/test_smart_ptr.cpp @@ -0,0 +1,366 @@ +/* + tests/test_smart_ptr.cpp -- binding classes with custom reference counting, + implicit conversions between types + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#if defined(_MSC_VER) && _MSC_VER < 1910 +# pragma warning(disable: 4702) // unreachable code in system header +#endif + +#include "pybind11_tests.h" +#include "object.h" + +// Make pybind aware of the ref-counted wrapper type (s): + +// ref is a wrapper for 'Object' which uses intrusive reference counting +// It is always possible to construct a ref from an Object* pointer without +// possible inconsistencies, hence the 'true' argument at the end. +PYBIND11_DECLARE_HOLDER_TYPE(T, ref, true); +// Make pybind11 aware of the non-standard getter member function +namespace pybind11 { namespace detail { + template + struct holder_helper> { + static const T *get(const ref &p) { return p.get_ptr(); } + }; +}} + +// The following is not required anymore for std::shared_ptr, but it should compile without error: +PYBIND11_DECLARE_HOLDER_TYPE(T, std::shared_ptr); + +// This is just a wrapper around unique_ptr, but with extra fields to deliberately bloat up the +// holder size to trigger the non-simple-layout internal instance layout for single inheritance with +// large holder type: +template class huge_unique_ptr { + std::unique_ptr ptr; + uint64_t padding[10]; +public: + huge_unique_ptr(T *p) : ptr(p) {}; + T *get() { return ptr.get(); } +}; +PYBIND11_DECLARE_HOLDER_TYPE(T, huge_unique_ptr); + +// Simple custom holder that works like unique_ptr +template +class custom_unique_ptr { + std::unique_ptr impl; +public: + custom_unique_ptr(T* p) : impl(p) { } + T* get() const { return impl.get(); } + T* release_ptr() { return impl.release(); } +}; +PYBIND11_DECLARE_HOLDER_TYPE(T, custom_unique_ptr); + +// Simple custom holder that works like shared_ptr and has operator& overload +// To obtain address of an instance of this holder pybind should use std::addressof +// Attempt to get address via operator& may leads to segmentation fault +template +class shared_ptr_with_addressof_operator { + std::shared_ptr impl; +public: + shared_ptr_with_addressof_operator( ) = default; + shared_ptr_with_addressof_operator(T* p) : impl(p) { } + T* get() const { return impl.get(); } + T** operator&() { throw std::logic_error("Call of overloaded operator& is not expected"); } +}; +PYBIND11_DECLARE_HOLDER_TYPE(T, shared_ptr_with_addressof_operator); + +// Simple custom holder that works like unique_ptr and has operator& overload +// To obtain address of an instance of this holder pybind should use std::addressof +// Attempt to get address via operator& may leads to segmentation fault +template +class unique_ptr_with_addressof_operator { + std::unique_ptr impl; +public: + unique_ptr_with_addressof_operator() = default; + unique_ptr_with_addressof_operator(T* p) : impl(p) { } + T* get() const { return impl.get(); } + T* release_ptr() { return impl.release(); } + T** operator&() { throw std::logic_error("Call of overloaded operator& is not expected"); } +}; +PYBIND11_DECLARE_HOLDER_TYPE(T, unique_ptr_with_addressof_operator); + + +TEST_SUBMODULE(smart_ptr, m) { + + // test_smart_ptr + + // Object implementation in `object.h` + py::class_> obj(m, "Object"); + obj.def("getRefCount", &Object::getRefCount); + + // Custom object with builtin reference counting (see 'object.h' for the implementation) + class MyObject1 : public Object { + public: + MyObject1(int value) : value(value) { print_created(this, toString()); } + std::string toString() const { return "MyObject1[" + std::to_string(value) + "]"; } + protected: + virtual ~MyObject1() { print_destroyed(this); } + private: + int value; + }; + py::class_>(m, "MyObject1", obj) + .def(py::init()); + py::implicitly_convertible(); + + m.def("make_object_1", []() -> Object * { return new MyObject1(1); }); + m.def("make_object_2", []() -> ref { return new MyObject1(2); }); + m.def("make_myobject1_1", []() -> MyObject1 * { return new MyObject1(4); }); + m.def("make_myobject1_2", []() -> ref { return new MyObject1(5); }); + m.def("print_object_1", [](const Object *obj) { py::print(obj->toString()); }); + m.def("print_object_2", [](ref obj) { py::print(obj->toString()); }); + m.def("print_object_3", [](const ref &obj) { py::print(obj->toString()); }); + m.def("print_object_4", [](const ref *obj) { py::print((*obj)->toString()); }); + m.def("print_myobject1_1", [](const MyObject1 *obj) { py::print(obj->toString()); }); + m.def("print_myobject1_2", [](ref obj) { py::print(obj->toString()); }); + m.def("print_myobject1_3", [](const ref &obj) { py::print(obj->toString()); }); + m.def("print_myobject1_4", [](const ref *obj) { py::print((*obj)->toString()); }); + + // Expose constructor stats for the ref type + m.def("cstats_ref", &ConstructorStats::get); + + + // Object managed by a std::shared_ptr<> + class MyObject2 { + public: + MyObject2(const MyObject2 &) = default; + MyObject2(int value) : value(value) { print_created(this, toString()); } + std::string toString() const { return "MyObject2[" + std::to_string(value) + "]"; } + virtual ~MyObject2() { print_destroyed(this); } + private: + int value; + }; + py::class_>(m, "MyObject2") + .def(py::init()); + m.def("make_myobject2_1", []() { return new MyObject2(6); }); + m.def("make_myobject2_2", []() { return std::make_shared(7); }); + m.def("print_myobject2_1", [](const MyObject2 *obj) { py::print(obj->toString()); }); + m.def("print_myobject2_2", [](std::shared_ptr obj) { py::print(obj->toString()); }); + m.def("print_myobject2_3", [](const std::shared_ptr &obj) { py::print(obj->toString()); }); + m.def("print_myobject2_4", [](const std::shared_ptr *obj) { py::print((*obj)->toString()); }); + + // Object managed by a std::shared_ptr<>, additionally derives from std::enable_shared_from_this<> + class MyObject3 : public std::enable_shared_from_this { + public: + MyObject3(const MyObject3 &) = default; + MyObject3(int value) : value(value) { print_created(this, toString()); } + std::string toString() const { return "MyObject3[" + std::to_string(value) + "]"; } + virtual ~MyObject3() { print_destroyed(this); } + private: + int value; + }; + py::class_>(m, "MyObject3") + .def(py::init()); + m.def("make_myobject3_1", []() { return new MyObject3(8); }); + m.def("make_myobject3_2", []() { return std::make_shared(9); }); + m.def("print_myobject3_1", [](const MyObject3 *obj) { py::print(obj->toString()); }); + m.def("print_myobject3_2", [](std::shared_ptr obj) { py::print(obj->toString()); }); + m.def("print_myobject3_3", [](const std::shared_ptr &obj) { py::print(obj->toString()); }); + m.def("print_myobject3_4", [](const std::shared_ptr *obj) { py::print((*obj)->toString()); }); + + // test_smart_ptr_refcounting + m.def("test_object1_refcounting", []() { + ref o = new MyObject1(0); + bool good = o->getRefCount() == 1; + py::object o2 = py::cast(o, py::return_value_policy::reference); + // always request (partial) ownership for objects with intrusive + // reference counting even when using the 'reference' RVP + good &= o->getRefCount() == 2; + return good; + }); + + // test_unique_nodelete + // Object with a private destructor + class MyObject4 { + public: + MyObject4(int value) : value{value} { print_created(this); } + int value; + private: + ~MyObject4() { print_destroyed(this); } + }; + py::class_>(m, "MyObject4") + .def(py::init()) + .def_readwrite("value", &MyObject4::value); + + // test_unique_deleter + // Object with std::unique_ptr where D is not matching the base class + // Object with a protected destructor + class MyObject4a { + public: + MyObject4a(int i) { + value = i; + print_created(this); + }; + int value; + protected: + virtual ~MyObject4a() { print_destroyed(this); } + }; + py::class_>(m, "MyObject4a") + .def(py::init()) + .def_readwrite("value", &MyObject4a::value); + + // Object derived but with public destructor and no Deleter in default holder + class MyObject4b : public MyObject4a { + public: + MyObject4b(int i) : MyObject4a(i) { print_created(this); } + ~MyObject4b() { print_destroyed(this); } + }; + py::class_(m, "MyObject4b") + .def(py::init()); + + // test_large_holder + class MyObject5 { // managed by huge_unique_ptr + public: + MyObject5(int value) : value{value} { print_created(this); } + ~MyObject5() { print_destroyed(this); } + int value; + }; + py::class_>(m, "MyObject5") + .def(py::init()) + .def_readwrite("value", &MyObject5::value); + + // test_shared_ptr_and_references + struct SharedPtrRef { + struct A { + A() { print_created(this); } + A(const A &) { print_copy_created(this); } + A(A &&) { print_move_created(this); } + ~A() { print_destroyed(this); } + }; + + A value = {}; + std::shared_ptr shared = std::make_shared(); + }; + using A = SharedPtrRef::A; + py::class_>(m, "A"); + py::class_(m, "SharedPtrRef") + .def(py::init<>()) + .def_readonly("ref", &SharedPtrRef::value) + .def_property_readonly("copy", [](const SharedPtrRef &s) { return s.value; }, + py::return_value_policy::copy) + .def_readonly("holder_ref", &SharedPtrRef::shared) + .def_property_readonly("holder_copy", [](const SharedPtrRef &s) { return s.shared; }, + py::return_value_policy::copy) + .def("set_ref", [](SharedPtrRef &, const A &) { return true; }) + .def("set_holder", [](SharedPtrRef &, std::shared_ptr) { return true; }); + + // test_shared_ptr_from_this_and_references + struct SharedFromThisRef { + struct B : std::enable_shared_from_this { + B() { print_created(this); } + B(const B &) : std::enable_shared_from_this() { print_copy_created(this); } + B(B &&) : std::enable_shared_from_this() { print_move_created(this); } + ~B() { print_destroyed(this); } + }; + + B value = {}; + std::shared_ptr shared = std::make_shared(); + }; + using B = SharedFromThisRef::B; + py::class_>(m, "B"); + py::class_(m, "SharedFromThisRef") + .def(py::init<>()) + .def_readonly("bad_wp", &SharedFromThisRef::value) + .def_property_readonly("ref", [](const SharedFromThisRef &s) -> const B & { return *s.shared; }) + .def_property_readonly("copy", [](const SharedFromThisRef &s) { return s.value; }, + py::return_value_policy::copy) + .def_readonly("holder_ref", &SharedFromThisRef::shared) + .def_property_readonly("holder_copy", [](const SharedFromThisRef &s) { return s.shared; }, + py::return_value_policy::copy) + .def("set_ref", [](SharedFromThisRef &, const B &) { return true; }) + .def("set_holder", [](SharedFromThisRef &, std::shared_ptr) { return true; }); + + // Issue #865: shared_from_this doesn't work with virtual inheritance + struct SharedFromThisVBase : std::enable_shared_from_this { + SharedFromThisVBase() = default; + SharedFromThisVBase(const SharedFromThisVBase &) = default; + virtual ~SharedFromThisVBase() = default; + }; + struct SharedFromThisVirt : virtual SharedFromThisVBase {}; + static std::shared_ptr sft(new SharedFromThisVirt()); + py::class_>(m, "SharedFromThisVirt") + .def_static("get", []() { return sft.get(); }); + + // test_move_only_holder + struct C { + C() { print_created(this); } + ~C() { print_destroyed(this); } + }; + py::class_>(m, "TypeWithMoveOnlyHolder") + .def_static("make", []() { return custom_unique_ptr(new C); }); + + // test_holder_with_addressof_operator + struct TypeForHolderWithAddressOf { + TypeForHolderWithAddressOf() { print_created(this); } + TypeForHolderWithAddressOf(const TypeForHolderWithAddressOf &) { print_copy_created(this); } + TypeForHolderWithAddressOf(TypeForHolderWithAddressOf &&) { print_move_created(this); } + ~TypeForHolderWithAddressOf() { print_destroyed(this); } + std::string toString() const { + return "TypeForHolderWithAddressOf[" + std::to_string(value) + "]"; + } + int value = 42; + }; + using HolderWithAddressOf = shared_ptr_with_addressof_operator; + py::class_(m, "TypeForHolderWithAddressOf") + .def_static("make", []() { return HolderWithAddressOf(new TypeForHolderWithAddressOf); }) + .def("get", [](const HolderWithAddressOf &self) { return self.get(); }) + .def("print_object_1", [](const TypeForHolderWithAddressOf *obj) { py::print(obj->toString()); }) + .def("print_object_2", [](HolderWithAddressOf obj) { py::print(obj.get()->toString()); }) + .def("print_object_3", [](const HolderWithAddressOf &obj) { py::print(obj.get()->toString()); }) + .def("print_object_4", [](const HolderWithAddressOf *obj) { py::print((*obj).get()->toString()); }); + + // test_move_only_holder_with_addressof_operator + struct TypeForMoveOnlyHolderWithAddressOf { + TypeForMoveOnlyHolderWithAddressOf(int value) : value{value} { print_created(this); } + ~TypeForMoveOnlyHolderWithAddressOf() { print_destroyed(this); } + std::string toString() const { + return "MoveOnlyHolderWithAddressOf[" + std::to_string(value) + "]"; + } + int value; + }; + using MoveOnlyHolderWithAddressOf = unique_ptr_with_addressof_operator; + py::class_(m, "TypeForMoveOnlyHolderWithAddressOf") + .def_static("make", []() { return MoveOnlyHolderWithAddressOf(new TypeForMoveOnlyHolderWithAddressOf(0)); }) + .def_readwrite("value", &TypeForMoveOnlyHolderWithAddressOf::value) + .def("print_object", [](const TypeForMoveOnlyHolderWithAddressOf *obj) { py::print(obj->toString()); }); + + // test_smart_ptr_from_default + struct HeldByDefaultHolder { }; + py::class_(m, "HeldByDefaultHolder") + .def(py::init<>()) + .def_static("load_shared_ptr", [](std::shared_ptr) {}); + + // test_shared_ptr_gc + // #187: issue involving std::shared_ptr<> return value policy & garbage collection + struct ElementBase { + virtual ~ElementBase() { } /* Force creation of virtual table */ + }; + py::class_>(m, "ElementBase"); + + struct ElementA : ElementBase { + ElementA(int v) : v(v) { } + int value() { return v; } + int v; + }; + py::class_>(m, "ElementA") + .def(py::init()) + .def("value", &ElementA::value); + + struct ElementList { + void add(std::shared_ptr e) { l.push_back(e); } + std::vector> l; + }; + py::class_>(m, "ElementList") + .def(py::init<>()) + .def("add", &ElementList::add) + .def("get", [](ElementList &el) { + py::list list; + for (auto &e : el.l) + list.append(py::cast(e)); + return list; + }); +} diff --git a/external/pybind11/tests/test_smart_ptr.py b/external/pybind11/tests/test_smart_ptr.py new file mode 100644 index 0000000..c662704 --- /dev/null +++ b/external/pybind11/tests/test_smart_ptr.py @@ -0,0 +1,286 @@ +import pytest +from pybind11_tests import smart_ptr as m +from pybind11_tests import ConstructorStats + + +def test_smart_ptr(capture): + # Object1 + for i, o in enumerate([m.make_object_1(), m.make_object_2(), m.MyObject1(3)], start=1): + assert o.getRefCount() == 1 + with capture: + m.print_object_1(o) + m.print_object_2(o) + m.print_object_3(o) + m.print_object_4(o) + assert capture == "MyObject1[{i}]\n".format(i=i) * 4 + + for i, o in enumerate([m.make_myobject1_1(), m.make_myobject1_2(), m.MyObject1(6), 7], + start=4): + print(o) + with capture: + if not isinstance(o, int): + m.print_object_1(o) + m.print_object_2(o) + m.print_object_3(o) + m.print_object_4(o) + m.print_myobject1_1(o) + m.print_myobject1_2(o) + m.print_myobject1_3(o) + m.print_myobject1_4(o) + assert capture == "MyObject1[{i}]\n".format(i=i) * (4 if isinstance(o, int) else 8) + + cstats = ConstructorStats.get(m.MyObject1) + assert cstats.alive() == 0 + expected_values = ['MyObject1[{}]'.format(i) for i in range(1, 7)] + ['MyObject1[7]'] * 4 + assert cstats.values() == expected_values + assert cstats.default_constructions == 0 + assert cstats.copy_constructions == 0 + # assert cstats.move_constructions >= 0 # Doesn't invoke any + assert cstats.copy_assignments == 0 + assert cstats.move_assignments == 0 + + # Object2 + for i, o in zip([8, 6, 7], [m.MyObject2(8), m.make_myobject2_1(), m.make_myobject2_2()]): + print(o) + with capture: + m.print_myobject2_1(o) + m.print_myobject2_2(o) + m.print_myobject2_3(o) + m.print_myobject2_4(o) + assert capture == "MyObject2[{i}]\n".format(i=i) * 4 + + cstats = ConstructorStats.get(m.MyObject2) + assert cstats.alive() == 1 + o = None + assert cstats.alive() == 0 + assert cstats.values() == ['MyObject2[8]', 'MyObject2[6]', 'MyObject2[7]'] + assert cstats.default_constructions == 0 + assert cstats.copy_constructions == 0 + # assert cstats.move_constructions >= 0 # Doesn't invoke any + assert cstats.copy_assignments == 0 + assert cstats.move_assignments == 0 + + # Object3 + for i, o in zip([9, 8, 9], [m.MyObject3(9), m.make_myobject3_1(), m.make_myobject3_2()]): + print(o) + with capture: + m.print_myobject3_1(o) + m.print_myobject3_2(o) + m.print_myobject3_3(o) + m.print_myobject3_4(o) + assert capture == "MyObject3[{i}]\n".format(i=i) * 4 + + cstats = ConstructorStats.get(m.MyObject3) + assert cstats.alive() == 1 + o = None + assert cstats.alive() == 0 + assert cstats.values() == ['MyObject3[9]', 'MyObject3[8]', 'MyObject3[9]'] + assert cstats.default_constructions == 0 + assert cstats.copy_constructions == 0 + # assert cstats.move_constructions >= 0 # Doesn't invoke any + assert cstats.copy_assignments == 0 + assert cstats.move_assignments == 0 + + # Object + cstats = ConstructorStats.get(m.Object) + assert cstats.alive() == 0 + assert cstats.values() == [] + assert cstats.default_constructions == 10 + assert cstats.copy_constructions == 0 + # assert cstats.move_constructions >= 0 # Doesn't invoke any + assert cstats.copy_assignments == 0 + assert cstats.move_assignments == 0 + + # ref<> + cstats = m.cstats_ref() + assert cstats.alive() == 0 + assert cstats.values() == ['from pointer'] * 10 + assert cstats.default_constructions == 30 + assert cstats.copy_constructions == 12 + # assert cstats.move_constructions >= 0 # Doesn't invoke any + assert cstats.copy_assignments == 30 + assert cstats.move_assignments == 0 + + +def test_smart_ptr_refcounting(): + assert m.test_object1_refcounting() + + +def test_unique_nodelete(): + o = m.MyObject4(23) + assert o.value == 23 + cstats = ConstructorStats.get(m.MyObject4) + assert cstats.alive() == 1 + del o + assert cstats.alive() == 1 # Leak, but that's intentional + + +def test_unique_nodelete4a(): + o = m.MyObject4a(23) + assert o.value == 23 + cstats = ConstructorStats.get(m.MyObject4a) + assert cstats.alive() == 1 + del o + assert cstats.alive() == 1 # Leak, but that's intentional + + +def test_unique_deleter(): + o = m.MyObject4b(23) + assert o.value == 23 + cstats4a = ConstructorStats.get(m.MyObject4a) + assert cstats4a.alive() == 2 # Two because of previous test + cstats4b = ConstructorStats.get(m.MyObject4b) + assert cstats4b.alive() == 1 + del o + assert cstats4a.alive() == 1 # Should now only be one leftover from previous test + assert cstats4b.alive() == 0 # Should be deleted + + +def test_large_holder(): + o = m.MyObject5(5) + assert o.value == 5 + cstats = ConstructorStats.get(m.MyObject5) + assert cstats.alive() == 1 + del o + assert cstats.alive() == 0 + + +def test_shared_ptr_and_references(): + s = m.SharedPtrRef() + stats = ConstructorStats.get(m.A) + assert stats.alive() == 2 + + ref = s.ref # init_holder_helper(holder_ptr=false, owned=false) + assert stats.alive() == 2 + assert s.set_ref(ref) + with pytest.raises(RuntimeError) as excinfo: + assert s.set_holder(ref) + assert "Unable to cast from non-held to held instance" in str(excinfo.value) + + copy = s.copy # init_holder_helper(holder_ptr=false, owned=true) + assert stats.alive() == 3 + assert s.set_ref(copy) + assert s.set_holder(copy) + + holder_ref = s.holder_ref # init_holder_helper(holder_ptr=true, owned=false) + assert stats.alive() == 3 + assert s.set_ref(holder_ref) + assert s.set_holder(holder_ref) + + holder_copy = s.holder_copy # init_holder_helper(holder_ptr=true, owned=true) + assert stats.alive() == 3 + assert s.set_ref(holder_copy) + assert s.set_holder(holder_copy) + + del ref, copy, holder_ref, holder_copy, s + assert stats.alive() == 0 + + +def test_shared_ptr_from_this_and_references(): + s = m.SharedFromThisRef() + stats = ConstructorStats.get(m.B) + assert stats.alive() == 2 + + ref = s.ref # init_holder_helper(holder_ptr=false, owned=false, bad_wp=false) + assert stats.alive() == 2 + assert s.set_ref(ref) + assert s.set_holder(ref) # std::enable_shared_from_this can create a holder from a reference + + bad_wp = s.bad_wp # init_holder_helper(holder_ptr=false, owned=false, bad_wp=true) + assert stats.alive() == 2 + assert s.set_ref(bad_wp) + with pytest.raises(RuntimeError) as excinfo: + assert s.set_holder(bad_wp) + assert "Unable to cast from non-held to held instance" in str(excinfo.value) + + copy = s.copy # init_holder_helper(holder_ptr=false, owned=true, bad_wp=false) + assert stats.alive() == 3 + assert s.set_ref(copy) + assert s.set_holder(copy) + + holder_ref = s.holder_ref # init_holder_helper(holder_ptr=true, owned=false, bad_wp=false) + assert stats.alive() == 3 + assert s.set_ref(holder_ref) + assert s.set_holder(holder_ref) + + holder_copy = s.holder_copy # init_holder_helper(holder_ptr=true, owned=true, bad_wp=false) + assert stats.alive() == 3 + assert s.set_ref(holder_copy) + assert s.set_holder(holder_copy) + + del ref, bad_wp, copy, holder_ref, holder_copy, s + assert stats.alive() == 0 + + z = m.SharedFromThisVirt.get() + y = m.SharedFromThisVirt.get() + assert y is z + + +def test_move_only_holder(): + a = m.TypeWithMoveOnlyHolder.make() + stats = ConstructorStats.get(m.TypeWithMoveOnlyHolder) + assert stats.alive() == 1 + del a + assert stats.alive() == 0 + + +def test_holder_with_addressof_operator(): + # this test must not throw exception from c++ + a = m.TypeForHolderWithAddressOf.make() + a.print_object_1() + a.print_object_2() + a.print_object_3() + a.print_object_4() + + stats = ConstructorStats.get(m.TypeForHolderWithAddressOf) + assert stats.alive() == 1 + + np = m.TypeForHolderWithAddressOf.make() + assert stats.alive() == 2 + del a + assert stats.alive() == 1 + del np + assert stats.alive() == 0 + + b = m.TypeForHolderWithAddressOf.make() + c = b + assert b.get() is c.get() + assert stats.alive() == 1 + + del b + assert stats.alive() == 1 + + del c + assert stats.alive() == 0 + + +def test_move_only_holder_with_addressof_operator(): + a = m.TypeForMoveOnlyHolderWithAddressOf.make() + a.print_object() + + stats = ConstructorStats.get(m.TypeForMoveOnlyHolderWithAddressOf) + assert stats.alive() == 1 + + a.value = 42 + assert a.value == 42 + + del a + assert stats.alive() == 0 + + +def test_smart_ptr_from_default(): + instance = m.HeldByDefaultHolder() + with pytest.raises(RuntimeError) as excinfo: + m.HeldByDefaultHolder.load_shared_ptr(instance) + assert "Unable to load a custom holder type from a " \ + "default-holder instance" in str(excinfo.value) + + +def test_shared_ptr_gc(): + """#187: issue involving std::shared_ptr<> return value policy & garbage collection""" + el = m.ElementList() + for i in range(10): + el.add(m.ElementA(i)) + pytest.gc_collect() + for i, v in enumerate(el.get()): + assert i == v.value() diff --git a/external/pybind11/tests/test_stl.cpp b/external/pybind11/tests/test_stl.cpp new file mode 100644 index 0000000..207c9fb --- /dev/null +++ b/external/pybind11/tests/test_stl.cpp @@ -0,0 +1,284 @@ +/* + tests/test_stl.cpp -- STL type casters + + Copyright (c) 2017 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" +#include "constructor_stats.h" +#include + +#include +#include + +// Test with `std::variant` in C++17 mode, or with `boost::variant` in C++11/14 +#if PYBIND11_HAS_VARIANT +using std::variant; +#elif defined(PYBIND11_TEST_BOOST) && (!defined(_MSC_VER) || _MSC_VER >= 1910) +# include +# define PYBIND11_HAS_VARIANT 1 +using boost::variant; + +namespace pybind11 { namespace detail { +template +struct type_caster> : variant_caster> {}; + +template <> +struct visit_helper { + template + static auto call(Args &&...args) -> decltype(boost::apply_visitor(args...)) { + return boost::apply_visitor(args...); + } +}; +}} // namespace pybind11::detail +#endif + +PYBIND11_MAKE_OPAQUE(std::vector>); + +/// Issue #528: templated constructor +struct TplCtorClass { + template TplCtorClass(const T &) { } + bool operator==(const TplCtorClass &) const { return true; } +}; + +namespace std { + template <> + struct hash { size_t operator()(const TplCtorClass &) const { return 0; } }; +} + + +TEST_SUBMODULE(stl, m) { + // test_vector + m.def("cast_vector", []() { return std::vector{1}; }); + m.def("load_vector", [](const std::vector &v) { return v.at(0) == 1 && v.at(1) == 2; }); + // `std::vector` is special because it returns proxy objects instead of references + m.def("cast_bool_vector", []() { return std::vector{true, false}; }); + m.def("load_bool_vector", [](const std::vector &v) { + return v.at(0) == true && v.at(1) == false; + }); + // Unnumbered regression (caused by #936): pointers to stl containers aren't castable + static std::vector lvv{2}; + m.def("cast_ptr_vector", []() { return &lvv; }); + + // test_deque + m.def("cast_deque", []() { return std::deque{1}; }); + m.def("load_deque", [](const std::deque &v) { return v.at(0) == 1 && v.at(1) == 2; }); + + // test_array + m.def("cast_array", []() { return std::array {{1 , 2}}; }); + m.def("load_array", [](const std::array &a) { return a[0] == 1 && a[1] == 2; }); + + // test_valarray + m.def("cast_valarray", []() { return std::valarray{1, 4, 9}; }); + m.def("load_valarray", [](const std::valarray& v) { + return v.size() == 3 && v[0] == 1 && v[1] == 4 && v[2] == 9; + }); + + // test_map + m.def("cast_map", []() { return std::map{{"key", "value"}}; }); + m.def("load_map", [](const std::map &map) { + return map.at("key") == "value" && map.at("key2") == "value2"; + }); + + // test_set + m.def("cast_set", []() { return std::set{"key1", "key2"}; }); + m.def("load_set", [](const std::set &set) { + return set.count("key1") && set.count("key2") && set.count("key3"); + }); + + // test_recursive_casting + m.def("cast_rv_vector", []() { return std::vector{2}; }); + m.def("cast_rv_array", []() { return std::array(); }); + // NB: map and set keys are `const`, so while we technically do move them (as `const Type &&`), + // casters don't typically do anything with that, which means they fall to the `const Type &` + // caster. + m.def("cast_rv_map", []() { return std::unordered_map{{"a", RValueCaster{}}}; }); + m.def("cast_rv_nested", []() { + std::vector>, 2>> v; + v.emplace_back(); // add an array + v.back()[0].emplace_back(); // add a map to the array + v.back()[0].back().emplace("b", RValueCaster{}); + v.back()[0].back().emplace("c", RValueCaster{}); + v.back()[1].emplace_back(); // add a map to the array + v.back()[1].back().emplace("a", RValueCaster{}); + return v; + }); + static std::array lva; + static std::unordered_map lvm{{"a", RValueCaster{}}, {"b", RValueCaster{}}}; + static std::unordered_map>>> lvn; + lvn["a"].emplace_back(); // add a list + lvn["a"].back().emplace_back(); // add an array + lvn["a"].emplace_back(); // another list + lvn["a"].back().emplace_back(); // add an array + lvn["b"].emplace_back(); // add a list + lvn["b"].back().emplace_back(); // add an array + lvn["b"].back().emplace_back(); // add another array + m.def("cast_lv_vector", []() -> const decltype(lvv) & { return lvv; }); + m.def("cast_lv_array", []() -> const decltype(lva) & { return lva; }); + m.def("cast_lv_map", []() -> const decltype(lvm) & { return lvm; }); + m.def("cast_lv_nested", []() -> const decltype(lvn) & { return lvn; }); + // #853: + m.def("cast_unique_ptr_vector", []() { + std::vector> v; + v.emplace_back(new UserType{7}); + v.emplace_back(new UserType{42}); + return v; + }); + + // test_move_out_container + struct MoveOutContainer { + struct Value { int value; }; + std::list move_list() const { return {{0}, {1}, {2}}; } + }; + py::class_(m, "MoveOutContainerValue") + .def_readonly("value", &MoveOutContainer::Value::value); + py::class_(m, "MoveOutContainer") + .def(py::init<>()) + .def_property_readonly("move_list", &MoveOutContainer::move_list); + + // Class that can be move- and copy-constructed, but not assigned + struct NoAssign { + int value; + + explicit NoAssign(int value = 0) : value(value) { } + NoAssign(const NoAssign &) = default; + NoAssign(NoAssign &&) = default; + + NoAssign &operator=(const NoAssign &) = delete; + NoAssign &operator=(NoAssign &&) = delete; + }; + py::class_(m, "NoAssign", "Class with no C++ assignment operators") + .def(py::init<>()) + .def(py::init()); + +#ifdef PYBIND11_HAS_OPTIONAL + // test_optional + m.attr("has_optional") = true; + + using opt_int = std::optional; + using opt_no_assign = std::optional; + m.def("double_or_zero", [](const opt_int& x) -> int { + return x.value_or(0) * 2; + }); + m.def("half_or_none", [](int x) -> opt_int { + return x ? opt_int(x / 2) : opt_int(); + }); + m.def("test_nullopt", [](opt_int x) { + return x.value_or(42); + }, py::arg_v("x", std::nullopt, "None")); + m.def("test_no_assign", [](const opt_no_assign &x) { + return x ? x->value : 42; + }, py::arg_v("x", std::nullopt, "None")); + + m.def("nodefer_none_optional", [](std::optional) { return true; }); + m.def("nodefer_none_optional", [](py::none) { return false; }); +#endif + +#ifdef PYBIND11_HAS_EXP_OPTIONAL + // test_exp_optional + m.attr("has_exp_optional") = true; + + using exp_opt_int = std::experimental::optional; + using exp_opt_no_assign = std::experimental::optional; + m.def("double_or_zero_exp", [](const exp_opt_int& x) -> int { + return x.value_or(0) * 2; + }); + m.def("half_or_none_exp", [](int x) -> exp_opt_int { + return x ? exp_opt_int(x / 2) : exp_opt_int(); + }); + m.def("test_nullopt_exp", [](exp_opt_int x) { + return x.value_or(42); + }, py::arg_v("x", std::experimental::nullopt, "None")); + m.def("test_no_assign_exp", [](const exp_opt_no_assign &x) { + return x ? x->value : 42; + }, py::arg_v("x", std::experimental::nullopt, "None")); +#endif + +#ifdef PYBIND11_HAS_VARIANT + static_assert(std::is_same::value, + "visitor::result_type is required by boost::variant in C++11 mode"); + + struct visitor { + using result_type = const char *; + + result_type operator()(int) { return "int"; } + result_type operator()(std::string) { return "std::string"; } + result_type operator()(double) { return "double"; } + result_type operator()(std::nullptr_t) { return "std::nullptr_t"; } + }; + + // test_variant + m.def("load_variant", [](variant v) { + return py::detail::visit_helper::call(visitor(), v); + }); + m.def("load_variant_2pass", [](variant v) { + return py::detail::visit_helper::call(visitor(), v); + }); + m.def("cast_variant", []() { + using V = variant; + return py::make_tuple(V(5), V("Hello")); + }); +#endif + + // #528: templated constructor + // (no python tests: the test here is that this compiles) + m.def("tpl_ctor_vector", [](std::vector &) {}); + m.def("tpl_ctor_map", [](std::unordered_map &) {}); + m.def("tpl_ctor_set", [](std::unordered_set &) {}); +#if defined(PYBIND11_HAS_OPTIONAL) + m.def("tpl_constr_optional", [](std::optional &) {}); +#elif defined(PYBIND11_HAS_EXP_OPTIONAL) + m.def("tpl_constr_optional", [](std::experimental::optional &) {}); +#endif + + // test_vec_of_reference_wrapper + // #171: Can't return STL structures containing reference wrapper + m.def("return_vec_of_reference_wrapper", [](std::reference_wrapper p4) { + static UserType p1{1}, p2{2}, p3{3}; + return std::vector> { + std::ref(p1), std::ref(p2), std::ref(p3), p4 + }; + }); + + // test_stl_pass_by_pointer + m.def("stl_pass_by_pointer", [](std::vector* v) { return *v; }, "v"_a=nullptr); + + // #1258: pybind11/stl.h converts string to vector + m.def("func_with_string_or_vector_string_arg_overload", [](std::vector) { return 1; }); + m.def("func_with_string_or_vector_string_arg_overload", [](std::list) { return 2; }); + m.def("func_with_string_or_vector_string_arg_overload", [](std::string) { return 3; }); + + class Placeholder { + public: + Placeholder() { print_created(this); } + Placeholder(const Placeholder &) = delete; + ~Placeholder() { print_destroyed(this); } + }; + py::class_(m, "Placeholder"); + + /// test_stl_vector_ownership + m.def("test_stl_ownership", + []() { + std::vector result; + result.push_back(new Placeholder()); + return result; + }, + py::return_value_policy::take_ownership); + + m.def("array_cast_sequence", [](std::array x) { return x; }); + + /// test_issue_1561 + struct Issue1561Inner { std::string data; }; + struct Issue1561Outer { std::vector list; }; + + py::class_(m, "Issue1561Inner") + .def(py::init()) + .def_readwrite("data", &Issue1561Inner::data); + + py::class_(m, "Issue1561Outer") + .def(py::init<>()) + .def_readwrite("list", &Issue1561Outer::list); +} diff --git a/external/pybind11/tests/test_stl.py b/external/pybind11/tests/test_stl.py new file mode 100644 index 0000000..2335cb9 --- /dev/null +++ b/external/pybind11/tests/test_stl.py @@ -0,0 +1,241 @@ +import pytest + +from pybind11_tests import stl as m +from pybind11_tests import UserType +from pybind11_tests import ConstructorStats + + +def test_vector(doc): + """std::vector <-> list""" + lst = m.cast_vector() + assert lst == [1] + lst.append(2) + assert m.load_vector(lst) + assert m.load_vector(tuple(lst)) + + assert m.cast_bool_vector() == [True, False] + assert m.load_bool_vector([True, False]) + + assert doc(m.cast_vector) == "cast_vector() -> List[int]" + assert doc(m.load_vector) == "load_vector(arg0: List[int]) -> bool" + + # Test regression caused by 936: pointers to stl containers weren't castable + assert m.cast_ptr_vector() == ["lvalue", "lvalue"] + + +def test_deque(doc): + """std::deque <-> list""" + lst = m.cast_deque() + assert lst == [1] + lst.append(2) + assert m.load_deque(lst) + assert m.load_deque(tuple(lst)) + + +def test_array(doc): + """std::array <-> list""" + lst = m.cast_array() + assert lst == [1, 2] + assert m.load_array(lst) + + assert doc(m.cast_array) == "cast_array() -> List[int[2]]" + assert doc(m.load_array) == "load_array(arg0: List[int[2]]) -> bool" + + +def test_valarray(doc): + """std::valarray <-> list""" + lst = m.cast_valarray() + assert lst == [1, 4, 9] + assert m.load_valarray(lst) + + assert doc(m.cast_valarray) == "cast_valarray() -> List[int]" + assert doc(m.load_valarray) == "load_valarray(arg0: List[int]) -> bool" + + +def test_map(doc): + """std::map <-> dict""" + d = m.cast_map() + assert d == {"key": "value"} + assert "key" in d + d["key2"] = "value2" + assert "key2" in d + assert m.load_map(d) + + assert doc(m.cast_map) == "cast_map() -> Dict[str, str]" + assert doc(m.load_map) == "load_map(arg0: Dict[str, str]) -> bool" + + +def test_set(doc): + """std::set <-> set""" + s = m.cast_set() + assert s == {"key1", "key2"} + s.add("key3") + assert m.load_set(s) + + assert doc(m.cast_set) == "cast_set() -> Set[str]" + assert doc(m.load_set) == "load_set(arg0: Set[str]) -> bool" + + +def test_recursive_casting(): + """Tests that stl casters preserve lvalue/rvalue context for container values""" + assert m.cast_rv_vector() == ["rvalue", "rvalue"] + assert m.cast_lv_vector() == ["lvalue", "lvalue"] + assert m.cast_rv_array() == ["rvalue", "rvalue", "rvalue"] + assert m.cast_lv_array() == ["lvalue", "lvalue"] + assert m.cast_rv_map() == {"a": "rvalue"} + assert m.cast_lv_map() == {"a": "lvalue", "b": "lvalue"} + assert m.cast_rv_nested() == [[[{"b": "rvalue", "c": "rvalue"}], [{"a": "rvalue"}]]] + assert m.cast_lv_nested() == { + "a": [[["lvalue", "lvalue"]], [["lvalue", "lvalue"]]], + "b": [[["lvalue", "lvalue"], ["lvalue", "lvalue"]]] + } + + # Issue #853 test case: + z = m.cast_unique_ptr_vector() + assert z[0].value == 7 and z[1].value == 42 + + +def test_move_out_container(): + """Properties use the `reference_internal` policy by default. If the underlying function + returns an rvalue, the policy is automatically changed to `move` to avoid referencing + a temporary. In case the return value is a container of user-defined types, the policy + also needs to be applied to the elements, not just the container.""" + c = m.MoveOutContainer() + moved_out_list = c.move_list + assert [x.value for x in moved_out_list] == [0, 1, 2] + + +@pytest.mark.skipif(not hasattr(m, "has_optional"), reason='no ') +def test_optional(): + assert m.double_or_zero(None) == 0 + assert m.double_or_zero(42) == 84 + pytest.raises(TypeError, m.double_or_zero, 'foo') + + assert m.half_or_none(0) is None + assert m.half_or_none(42) == 21 + pytest.raises(TypeError, m.half_or_none, 'foo') + + assert m.test_nullopt() == 42 + assert m.test_nullopt(None) == 42 + assert m.test_nullopt(42) == 42 + assert m.test_nullopt(43) == 43 + + assert m.test_no_assign() == 42 + assert m.test_no_assign(None) == 42 + assert m.test_no_assign(m.NoAssign(43)) == 43 + pytest.raises(TypeError, m.test_no_assign, 43) + + assert m.nodefer_none_optional(None) + + +@pytest.mark.skipif(not hasattr(m, "has_exp_optional"), reason='no ') +def test_exp_optional(): + assert m.double_or_zero_exp(None) == 0 + assert m.double_or_zero_exp(42) == 84 + pytest.raises(TypeError, m.double_or_zero_exp, 'foo') + + assert m.half_or_none_exp(0) is None + assert m.half_or_none_exp(42) == 21 + pytest.raises(TypeError, m.half_or_none_exp, 'foo') + + assert m.test_nullopt_exp() == 42 + assert m.test_nullopt_exp(None) == 42 + assert m.test_nullopt_exp(42) == 42 + assert m.test_nullopt_exp(43) == 43 + + assert m.test_no_assign_exp() == 42 + assert m.test_no_assign_exp(None) == 42 + assert m.test_no_assign_exp(m.NoAssign(43)) == 43 + pytest.raises(TypeError, m.test_no_assign_exp, 43) + + +@pytest.mark.skipif(not hasattr(m, "load_variant"), reason='no ') +def test_variant(doc): + assert m.load_variant(1) == "int" + assert m.load_variant("1") == "std::string" + assert m.load_variant(1.0) == "double" + assert m.load_variant(None) == "std::nullptr_t" + + assert m.load_variant_2pass(1) == "int" + assert m.load_variant_2pass(1.0) == "double" + + assert m.cast_variant() == (5, "Hello") + + assert doc(m.load_variant) == "load_variant(arg0: Union[int, str, float, None]) -> str" + + +def test_vec_of_reference_wrapper(): + """#171: Can't return reference wrappers (or STL structures containing them)""" + assert str(m.return_vec_of_reference_wrapper(UserType(4))) == \ + "[UserType(1), UserType(2), UserType(3), UserType(4)]" + + +def test_stl_pass_by_pointer(msg): + """Passing nullptr or None to an STL container pointer is not expected to work""" + with pytest.raises(TypeError) as excinfo: + m.stl_pass_by_pointer() # default value is `nullptr` + assert msg(excinfo.value) == """ + stl_pass_by_pointer(): incompatible function arguments. The following argument types are supported: + 1. (v: List[int] = None) -> List[int] + + Invoked with: + """ # noqa: E501 line too long + + with pytest.raises(TypeError) as excinfo: + m.stl_pass_by_pointer(None) + assert msg(excinfo.value) == """ + stl_pass_by_pointer(): incompatible function arguments. The following argument types are supported: + 1. (v: List[int] = None) -> List[int] + + Invoked with: None + """ # noqa: E501 line too long + + assert m.stl_pass_by_pointer([1, 2, 3]) == [1, 2, 3] + + +def test_missing_header_message(): + """Trying convert `list` to a `std::vector`, or vice versa, without including + should result in a helpful suggestion in the error message""" + import pybind11_cross_module_tests as cm + + expected_message = ("Did you forget to `#include `? Or ,\n" + ", , etc. Some automatic\n" + "conversions are optional and require extra headers to be included\n" + "when compiling your pybind11 module.") + + with pytest.raises(TypeError) as excinfo: + cm.missing_header_arg([1.0, 2.0, 3.0]) + assert expected_message in str(excinfo.value) + + with pytest.raises(TypeError) as excinfo: + cm.missing_header_return() + assert expected_message in str(excinfo.value) + + +def test_function_with_string_and_vector_string_arg(): + """Check if a string is NOT implicitly converted to a list, which was the + behavior before fix of issue #1258""" + assert m.func_with_string_or_vector_string_arg_overload(('A', 'B', )) == 2 + assert m.func_with_string_or_vector_string_arg_overload(['A', 'B']) == 2 + assert m.func_with_string_or_vector_string_arg_overload('A') == 3 + + +def test_stl_ownership(): + cstats = ConstructorStats.get(m.Placeholder) + assert cstats.alive() == 0 + r = m.test_stl_ownership() + assert len(r) == 1 + del r + assert cstats.alive() == 0 + + +def test_array_cast_sequence(): + assert m.array_cast_sequence((1, 2, 3)) == [1, 2, 3] + + +def test_issue_1561(): + """ check fix for issue #1561 """ + bar = m.Issue1561Outer() + bar.list = [m.Issue1561Inner('bar')] + bar.list + assert bar.list[0].data == 'bar' diff --git a/external/pybind11/tests/test_stl_binders.cpp b/external/pybind11/tests/test_stl_binders.cpp new file mode 100644 index 0000000..a88b589 --- /dev/null +++ b/external/pybind11/tests/test_stl_binders.cpp @@ -0,0 +1,107 @@ +/* + tests/test_stl_binders.cpp -- Usage of stl_binders functions + + Copyright (c) 2016 Sergey Lyskov + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" + +#include +#include +#include +#include +#include + +class El { +public: + El() = delete; + El(int v) : a(v) { } + + int a; +}; + +std::ostream & operator<<(std::ostream &s, El const&v) { + s << "El{" << v.a << '}'; + return s; +} + +/// Issue #487: binding std::vector with E non-copyable +class E_nc { +public: + explicit E_nc(int i) : value{i} {} + E_nc(const E_nc &) = delete; + E_nc &operator=(const E_nc &) = delete; + E_nc(E_nc &&) = default; + E_nc &operator=(E_nc &&) = default; + + int value; +}; + +template Container *one_to_n(int n) { + auto v = new Container(); + for (int i = 1; i <= n; i++) + v->emplace_back(i); + return v; +} + +template Map *times_ten(int n) { + auto m = new Map(); + for (int i = 1; i <= n; i++) + m->emplace(int(i), E_nc(10*i)); + return m; +} + +TEST_SUBMODULE(stl_binders, m) { + // test_vector_int + py::bind_vector>(m, "VectorInt", py::buffer_protocol()); + + // test_vector_custom + py::class_(m, "El") + .def(py::init()); + py::bind_vector>(m, "VectorEl"); + py::bind_vector>>(m, "VectorVectorEl"); + + // test_map_string_double + py::bind_map>(m, "MapStringDouble"); + py::bind_map>(m, "UnorderedMapStringDouble"); + + // test_map_string_double_const + py::bind_map>(m, "MapStringDoubleConst"); + py::bind_map>(m, "UnorderedMapStringDoubleConst"); + + py::class_(m, "ENC") + .def(py::init()) + .def_readwrite("value", &E_nc::value); + + // test_noncopyable_containers + py::bind_vector>(m, "VectorENC"); + m.def("get_vnc", &one_to_n>, py::return_value_policy::reference); + py::bind_vector>(m, "DequeENC"); + m.def("get_dnc", &one_to_n>, py::return_value_policy::reference); + py::bind_map>(m, "MapENC"); + m.def("get_mnc", ×_ten>, py::return_value_policy::reference); + py::bind_map>(m, "UmapENC"); + m.def("get_umnc", ×_ten>, py::return_value_policy::reference); + + // test_vector_buffer + py::bind_vector>(m, "VectorUChar", py::buffer_protocol()); + // no dtype declared for this version: + struct VUndeclStruct { bool w; uint32_t x; double y; bool z; }; + m.def("create_undeclstruct", [m] () mutable { + py::bind_vector>(m, "VectorUndeclStruct", py::buffer_protocol()); + }); + + // The rest depends on numpy: + try { py::module::import("numpy"); } + catch (...) { return; } + + // test_vector_buffer_numpy + struct VStruct { bool w; uint32_t x; double y; bool z; }; + PYBIND11_NUMPY_DTYPE(VStruct, w, x, y, z); + py::class_(m, "VStruct").def_readwrite("x", &VStruct::x); + py::bind_vector>(m, "VectorStruct", py::buffer_protocol()); + m.def("get_vectorstruct", [] {return std::vector {{0, 5, 3.0, 1}, {1, 30, -1e4, 0}};}); +} diff --git a/external/pybind11/tests/test_stl_binders.py b/external/pybind11/tests/test_stl_binders.py new file mode 100644 index 0000000..6d5a159 --- /dev/null +++ b/external/pybind11/tests/test_stl_binders.py @@ -0,0 +1,235 @@ +import pytest +import sys +from pybind11_tests import stl_binders as m + +with pytest.suppress(ImportError): + import numpy as np + + +def test_vector_int(): + v_int = m.VectorInt([0, 0]) + assert len(v_int) == 2 + assert bool(v_int) is True + + # test construction from a generator + v_int1 = m.VectorInt(x for x in range(5)) + assert v_int1 == m.VectorInt([0, 1, 2, 3, 4]) + + v_int2 = m.VectorInt([0, 0]) + assert v_int == v_int2 + v_int2[1] = 1 + assert v_int != v_int2 + + v_int2.append(2) + v_int2.insert(0, 1) + v_int2.insert(0, 2) + v_int2.insert(0, 3) + v_int2.insert(6, 3) + assert str(v_int2) == "VectorInt[3, 2, 1, 0, 1, 2, 3]" + with pytest.raises(IndexError): + v_int2.insert(8, 4) + + v_int.append(99) + v_int2[2:-2] = v_int + assert v_int2 == m.VectorInt([3, 2, 0, 0, 99, 2, 3]) + del v_int2[1:3] + assert v_int2 == m.VectorInt([3, 0, 99, 2, 3]) + del v_int2[0] + assert v_int2 == m.VectorInt([0, 99, 2, 3]) + + v_int2.extend(m.VectorInt([4, 5])) + assert v_int2 == m.VectorInt([0, 99, 2, 3, 4, 5]) + + v_int2.extend([6, 7]) + assert v_int2 == m.VectorInt([0, 99, 2, 3, 4, 5, 6, 7]) + + # test error handling, and that the vector is unchanged + with pytest.raises(RuntimeError): + v_int2.extend([8, 'a']) + + assert v_int2 == m.VectorInt([0, 99, 2, 3, 4, 5, 6, 7]) + + # test extending from a generator + v_int2.extend(x for x in range(5)) + assert v_int2 == m.VectorInt([0, 99, 2, 3, 4, 5, 6, 7, 0, 1, 2, 3, 4]) + + # test negative indexing + assert v_int2[-1] == 4 + + # insert with negative index + v_int2.insert(-1, 88) + assert v_int2 == m.VectorInt([0, 99, 2, 3, 4, 5, 6, 7, 0, 1, 2, 3, 88, 4]) + + # delete negative index + del v_int2[-1] + assert v_int2 == m.VectorInt([0, 99, 2, 3, 4, 5, 6, 7, 0, 1, 2, 3, 88]) + +# related to the PyPy's buffer protocol. +@pytest.unsupported_on_pypy +def test_vector_buffer(): + b = bytearray([1, 2, 3, 4]) + v = m.VectorUChar(b) + assert v[1] == 2 + v[2] = 5 + mv = memoryview(v) # We expose the buffer interface + if sys.version_info.major > 2: + assert mv[2] == 5 + mv[2] = 6 + else: + assert mv[2] == '\x05' + mv[2] = '\x06' + assert v[2] == 6 + + with pytest.raises(RuntimeError) as excinfo: + m.create_undeclstruct() # Undeclared struct contents, no buffer interface + assert "NumPy type info missing for " in str(excinfo.value) + + +@pytest.unsupported_on_pypy +@pytest.requires_numpy +def test_vector_buffer_numpy(): + a = np.array([1, 2, 3, 4], dtype=np.int32) + with pytest.raises(TypeError): + m.VectorInt(a) + + a = np.array([[1, 2, 3, 4], [5, 6, 7, 8], [9, 10, 11, 12]], dtype=np.uintc) + v = m.VectorInt(a[0, :]) + assert len(v) == 4 + assert v[2] == 3 + ma = np.asarray(v) + ma[2] = 5 + assert v[2] == 5 + + v = m.VectorInt(a[:, 1]) + assert len(v) == 3 + assert v[2] == 10 + + v = m.get_vectorstruct() + assert v[0].x == 5 + ma = np.asarray(v) + ma[1]['x'] = 99 + assert v[1].x == 99 + + v = m.VectorStruct(np.zeros(3, dtype=np.dtype([('w', 'bool'), ('x', 'I'), + ('y', 'float64'), ('z', 'bool')], align=True))) + assert len(v) == 3 + + +def test_vector_bool(): + import pybind11_cross_module_tests as cm + + vv_c = cm.VectorBool() + for i in range(10): + vv_c.append(i % 2 == 0) + for i in range(10): + assert vv_c[i] == (i % 2 == 0) + assert str(vv_c) == "VectorBool[1, 0, 1, 0, 1, 0, 1, 0, 1, 0]" + + +def test_vector_custom(): + v_a = m.VectorEl() + v_a.append(m.El(1)) + v_a.append(m.El(2)) + assert str(v_a) == "VectorEl[El{1}, El{2}]" + + vv_a = m.VectorVectorEl() + vv_a.append(v_a) + vv_b = vv_a[0] + assert str(vv_b) == "VectorEl[El{1}, El{2}]" + + +def test_map_string_double(): + mm = m.MapStringDouble() + mm['a'] = 1 + mm['b'] = 2.5 + + assert list(mm) == ['a', 'b'] + assert list(mm.items()) == [('a', 1), ('b', 2.5)] + assert str(mm) == "MapStringDouble{a: 1, b: 2.5}" + + um = m.UnorderedMapStringDouble() + um['ua'] = 1.1 + um['ub'] = 2.6 + + assert sorted(list(um)) == ['ua', 'ub'] + assert sorted(list(um.items())) == [('ua', 1.1), ('ub', 2.6)] + assert "UnorderedMapStringDouble" in str(um) + + +def test_map_string_double_const(): + mc = m.MapStringDoubleConst() + mc['a'] = 10 + mc['b'] = 20.5 + assert str(mc) == "MapStringDoubleConst{a: 10, b: 20.5}" + + umc = m.UnorderedMapStringDoubleConst() + umc['a'] = 11 + umc['b'] = 21.5 + + str(umc) + + +def test_noncopyable_containers(): + # std::vector + vnc = m.get_vnc(5) + for i in range(0, 5): + assert vnc[i].value == i + 1 + + for i, j in enumerate(vnc, start=1): + assert j.value == i + + # std::deque + dnc = m.get_dnc(5) + for i in range(0, 5): + assert dnc[i].value == i + 1 + + i = 1 + for j in dnc: + assert(j.value == i) + i += 1 + + # std::map + mnc = m.get_mnc(5) + for i in range(1, 6): + assert mnc[i].value == 10 * i + + vsum = 0 + for k, v in mnc.items(): + assert v.value == 10 * k + vsum += v.value + + assert vsum == 150 + + # std::unordered_map + mnc = m.get_umnc(5) + for i in range(1, 6): + assert mnc[i].value == 10 * i + + vsum = 0 + for k, v in mnc.items(): + assert v.value == 10 * k + vsum += v.value + + assert vsum == 150 + + +def test_map_delitem(): + mm = m.MapStringDouble() + mm['a'] = 1 + mm['b'] = 2.5 + + assert list(mm) == ['a', 'b'] + assert list(mm.items()) == [('a', 1), ('b', 2.5)] + del mm['a'] + assert list(mm) == ['b'] + assert list(mm.items()) == [('b', 2.5)] + + um = m.UnorderedMapStringDouble() + um['ua'] = 1.1 + um['ub'] = 2.6 + + assert sorted(list(um)) == ['ua', 'ub'] + assert sorted(list(um.items())) == [('ua', 1.1), ('ub', 2.6)] + del um['ua'] + assert sorted(list(um)) == ['ub'] + assert sorted(list(um.items())) == [('ub', 2.6)] diff --git a/external/pybind11/tests/test_tagbased_polymorphic.cpp b/external/pybind11/tests/test_tagbased_polymorphic.cpp new file mode 100644 index 0000000..272e460 --- /dev/null +++ b/external/pybind11/tests/test_tagbased_polymorphic.cpp @@ -0,0 +1,136 @@ +/* + tests/test_tagbased_polymorphic.cpp -- test of polymorphic_type_hook + + Copyright (c) 2018 Hudson River Trading LLC + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" +#include + +struct Animal +{ + enum class Kind { + Unknown = 0, + Dog = 100, Labrador, Chihuahua, LastDog = 199, + Cat = 200, Panther, LastCat = 299 + }; + static const std::type_info* type_of_kind(Kind kind); + static std::string name_of_kind(Kind kind); + + const Kind kind; + const std::string name; + + protected: + Animal(const std::string& _name, Kind _kind) + : kind(_kind), name(_name) + {} +}; + +struct Dog : Animal +{ + Dog(const std::string& _name, Kind _kind = Kind::Dog) : Animal(_name, _kind) {} + std::string bark() const { return name_of_kind(kind) + " " + name + " goes " + sound; } + std::string sound = "WOOF!"; +}; + +struct Labrador : Dog +{ + Labrador(const std::string& _name, int _excitement = 9001) + : Dog(_name, Kind::Labrador), excitement(_excitement) {} + int excitement; +}; + +struct Chihuahua : Dog +{ + Chihuahua(const std::string& _name) : Dog(_name, Kind::Chihuahua) { sound = "iyiyiyiyiyi"; } + std::string bark() const { return Dog::bark() + " and runs in circles"; } +}; + +struct Cat : Animal +{ + Cat(const std::string& _name, Kind _kind = Kind::Cat) : Animal(_name, _kind) {} + std::string purr() const { return "mrowr"; } +}; + +struct Panther : Cat +{ + Panther(const std::string& _name) : Cat(_name, Kind::Panther) {} + std::string purr() const { return "mrrrRRRRRR"; } +}; + +std::vector> create_zoo() +{ + std::vector> ret; + ret.emplace_back(new Labrador("Fido", 15000)); + + // simulate some new type of Dog that the Python bindings + // haven't been updated for; it should still be considered + // a Dog, not just an Animal. + ret.emplace_back(new Dog("Ginger", Dog::Kind(150))); + + ret.emplace_back(new Chihuahua("Hertzl")); + ret.emplace_back(new Cat("Tiger", Cat::Kind::Cat)); + ret.emplace_back(new Panther("Leo")); + return ret; +} + +const std::type_info* Animal::type_of_kind(Kind kind) +{ + switch (kind) { + case Kind::Unknown: break; + + case Kind::Dog: break; + case Kind::Labrador: return &typeid(Labrador); + case Kind::Chihuahua: return &typeid(Chihuahua); + case Kind::LastDog: break; + + case Kind::Cat: break; + case Kind::Panther: return &typeid(Panther); + case Kind::LastCat: break; + } + + if (kind >= Kind::Dog && kind <= Kind::LastDog) return &typeid(Dog); + if (kind >= Kind::Cat && kind <= Kind::LastCat) return &typeid(Cat); + return nullptr; +} + +std::string Animal::name_of_kind(Kind kind) +{ + std::string raw_name = type_of_kind(kind)->name(); + py::detail::clean_type_id(raw_name); + return raw_name; +} + +namespace pybind11 { + template + struct polymorphic_type_hook::value>> + { + static const void *get(const itype *src, const std::type_info*& type) + { type = src ? Animal::type_of_kind(src->kind) : nullptr; return src; } + }; +} + +TEST_SUBMODULE(tagbased_polymorphic, m) { + py::class_(m, "Animal") + .def_readonly("name", &Animal::name); + py::class_(m, "Dog") + .def(py::init()) + .def_readwrite("sound", &Dog::sound) + .def("bark", &Dog::bark); + py::class_(m, "Labrador") + .def(py::init(), "name"_a, "excitement"_a = 9001) + .def_readwrite("excitement", &Labrador::excitement); + py::class_(m, "Chihuahua") + .def(py::init()) + .def("bark", &Chihuahua::bark); + py::class_(m, "Cat") + .def(py::init()) + .def("purr", &Cat::purr); + py::class_(m, "Panther") + .def(py::init()) + .def("purr", &Panther::purr); + m.def("create_zoo", &create_zoo); +}; diff --git a/external/pybind11/tests/test_tagbased_polymorphic.py b/external/pybind11/tests/test_tagbased_polymorphic.py new file mode 100644 index 0000000..2574d7d --- /dev/null +++ b/external/pybind11/tests/test_tagbased_polymorphic.py @@ -0,0 +1,20 @@ +from pybind11_tests import tagbased_polymorphic as m + + +def test_downcast(): + zoo = m.create_zoo() + assert [type(animal) for animal in zoo] == [ + m.Labrador, m.Dog, m.Chihuahua, m.Cat, m.Panther + ] + assert [animal.name for animal in zoo] == [ + "Fido", "Ginger", "Hertzl", "Tiger", "Leo" + ] + zoo[1].sound = "woooooo" + assert [dog.bark() for dog in zoo[:3]] == [ + "Labrador Fido goes WOOF!", + "Dog Ginger goes woooooo", + "Chihuahua Hertzl goes iyiyiyiyiyi and runs in circles" + ] + assert [cat.purr() for cat in zoo[3:]] == ["mrowr", "mrrrRRRRRR"] + zoo[0].excitement -= 1000 + assert zoo[0].excitement == 14000 diff --git a/external/pybind11/tests/test_union.cpp b/external/pybind11/tests/test_union.cpp new file mode 100644 index 0000000..7b98ea2 --- /dev/null +++ b/external/pybind11/tests/test_union.cpp @@ -0,0 +1,22 @@ +/* + tests/test_class.cpp -- test py::class_ definitions and basic functionality + + Copyright (c) 2019 Roland Dreier + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" + +TEST_SUBMODULE(union_, m) { + union TestUnion { + int value_int; + unsigned value_uint; + }; + + py::class_(m, "TestUnion") + .def(py::init<>()) + .def_readonly("as_int", &TestUnion::value_int) + .def_readwrite("as_uint", &TestUnion::value_uint); +} diff --git a/external/pybind11/tests/test_union.py b/external/pybind11/tests/test_union.py new file mode 100644 index 0000000..e1866e7 --- /dev/null +++ b/external/pybind11/tests/test_union.py @@ -0,0 +1,8 @@ +from pybind11_tests import union_ as m + + +def test_union(): + instance = m.TestUnion() + + instance.as_uint = 10 + assert instance.as_int == 10 diff --git a/external/pybind11/tests/test_virtual_functions.cpp b/external/pybind11/tests/test_virtual_functions.cpp new file mode 100644 index 0000000..ccf018d --- /dev/null +++ b/external/pybind11/tests/test_virtual_functions.cpp @@ -0,0 +1,479 @@ +/* + tests/test_virtual_functions.cpp -- overriding virtual functions from Python + + Copyright (c) 2016 Wenzel Jakob + + All rights reserved. Use of this source code is governed by a + BSD-style license that can be found in the LICENSE file. +*/ + +#include "pybind11_tests.h" +#include "constructor_stats.h" +#include +#include + +/* This is an example class that we'll want to be able to extend from Python */ +class ExampleVirt { +public: + ExampleVirt(int state) : state(state) { print_created(this, state); } + ExampleVirt(const ExampleVirt &e) : state(e.state) { print_copy_created(this); } + ExampleVirt(ExampleVirt &&e) : state(e.state) { print_move_created(this); e.state = 0; } + virtual ~ExampleVirt() { print_destroyed(this); } + + virtual int run(int value) { + py::print("Original implementation of " + "ExampleVirt::run(state={}, value={}, str1={}, str2={})"_s.format(state, value, get_string1(), *get_string2())); + return state + value; + } + + virtual bool run_bool() = 0; + virtual void pure_virtual() = 0; + + // Returning a reference/pointer to a type converted from python (numbers, strings, etc.) is a + // bit trickier, because the actual int& or std::string& or whatever only exists temporarily, so + // we have to handle it specially in the trampoline class (see below). + virtual const std::string &get_string1() { return str1; } + virtual const std::string *get_string2() { return &str2; } + +private: + int state; + const std::string str1{"default1"}, str2{"default2"}; +}; + +/* This is a wrapper class that must be generated */ +class PyExampleVirt : public ExampleVirt { +public: + using ExampleVirt::ExampleVirt; /* Inherit constructors */ + + int run(int value) override { + /* Generate wrapping code that enables native function overloading */ + PYBIND11_OVERLOAD( + int, /* Return type */ + ExampleVirt, /* Parent class */ + run, /* Name of function */ + value /* Argument(s) */ + ); + } + + bool run_bool() override { + PYBIND11_OVERLOAD_PURE( + bool, /* Return type */ + ExampleVirt, /* Parent class */ + run_bool, /* Name of function */ + /* This function has no arguments. The trailing comma + in the previous line is needed for some compilers */ + ); + } + + void pure_virtual() override { + PYBIND11_OVERLOAD_PURE( + void, /* Return type */ + ExampleVirt, /* Parent class */ + pure_virtual, /* Name of function */ + /* This function has no arguments. The trailing comma + in the previous line is needed for some compilers */ + ); + } + + // We can return reference types for compatibility with C++ virtual interfaces that do so, but + // note they have some significant limitations (see the documentation). + const std::string &get_string1() override { + PYBIND11_OVERLOAD( + const std::string &, /* Return type */ + ExampleVirt, /* Parent class */ + get_string1, /* Name of function */ + /* (no arguments) */ + ); + } + + const std::string *get_string2() override { + PYBIND11_OVERLOAD( + const std::string *, /* Return type */ + ExampleVirt, /* Parent class */ + get_string2, /* Name of function */ + /* (no arguments) */ + ); + } + +}; + +class NonCopyable { +public: + NonCopyable(int a, int b) : value{new int(a*b)} { print_created(this, a, b); } + NonCopyable(NonCopyable &&o) { value = std::move(o.value); print_move_created(this); } + NonCopyable(const NonCopyable &) = delete; + NonCopyable() = delete; + void operator=(const NonCopyable &) = delete; + void operator=(NonCopyable &&) = delete; + std::string get_value() const { + if (value) return std::to_string(*value); else return "(null)"; + } + ~NonCopyable() { print_destroyed(this); } + +private: + std::unique_ptr value; +}; + +// This is like the above, but is both copy and movable. In effect this means it should get moved +// when it is not referenced elsewhere, but copied if it is still referenced. +class Movable { +public: + Movable(int a, int b) : value{a+b} { print_created(this, a, b); } + Movable(const Movable &m) { value = m.value; print_copy_created(this); } + Movable(Movable &&m) { value = std::move(m.value); print_move_created(this); } + std::string get_value() const { return std::to_string(value); } + ~Movable() { print_destroyed(this); } +private: + int value; +}; + +class NCVirt { +public: + virtual ~NCVirt() { } + virtual NonCopyable get_noncopyable(int a, int b) { return NonCopyable(a, b); } + virtual Movable get_movable(int a, int b) = 0; + + std::string print_nc(int a, int b) { return get_noncopyable(a, b).get_value(); } + std::string print_movable(int a, int b) { return get_movable(a, b).get_value(); } +}; +class NCVirtTrampoline : public NCVirt { +#if !defined(__INTEL_COMPILER) + NonCopyable get_noncopyable(int a, int b) override { + PYBIND11_OVERLOAD(NonCopyable, NCVirt, get_noncopyable, a, b); + } +#endif + Movable get_movable(int a, int b) override { + PYBIND11_OVERLOAD_PURE(Movable, NCVirt, get_movable, a, b); + } +}; + +struct Base { + /* for some reason MSVC2015 can't compile this if the function is pure virtual */ + virtual std::string dispatch() const { return {}; }; + virtual ~Base() = default; +}; + +struct DispatchIssue : Base { + virtual std::string dispatch() const { + PYBIND11_OVERLOAD_PURE(std::string, Base, dispatch, /* no arguments */); + } +}; + +static void test_gil() { + { + py::gil_scoped_acquire lock; + py::print("1st lock acquired"); + + } + + { + py::gil_scoped_acquire lock; + py::print("2nd lock acquired"); + } + +} + +static void test_gil_from_thread() { + py::gil_scoped_release release; + + std::thread t(test_gil); + t.join(); +} + + +// Forward declaration (so that we can put the main tests here; the inherited virtual approaches are +// rather long). +void initialize_inherited_virtuals(py::module &m); + +TEST_SUBMODULE(virtual_functions, m) { + // test_override + py::class_(m, "ExampleVirt") + .def(py::init()) + /* Reference original class in function definitions */ + .def("run", &ExampleVirt::run) + .def("run_bool", &ExampleVirt::run_bool) + .def("pure_virtual", &ExampleVirt::pure_virtual); + + py::class_(m, "NonCopyable") + .def(py::init()); + + py::class_(m, "Movable") + .def(py::init()); + + // test_move_support +#if !defined(__INTEL_COMPILER) + py::class_(m, "NCVirt") + .def(py::init<>()) + .def("get_noncopyable", &NCVirt::get_noncopyable) + .def("get_movable", &NCVirt::get_movable) + .def("print_nc", &NCVirt::print_nc) + .def("print_movable", &NCVirt::print_movable); +#endif + + m.def("runExampleVirt", [](ExampleVirt *ex, int value) { return ex->run(value); }); + m.def("runExampleVirtBool", [](ExampleVirt* ex) { return ex->run_bool(); }); + m.def("runExampleVirtVirtual", [](ExampleVirt *ex) { ex->pure_virtual(); }); + + m.def("cstats_debug", &ConstructorStats::get); + initialize_inherited_virtuals(m); + + // test_alias_delay_initialization1 + // don't invoke Python dispatch classes by default when instantiating C++ classes + // that were not extended on the Python side + struct A { + virtual ~A() {} + virtual void f() { py::print("A.f()"); } + }; + + struct PyA : A { + PyA() { py::print("PyA.PyA()"); } + ~PyA() { py::print("PyA.~PyA()"); } + + void f() override { + py::print("PyA.f()"); + // This convolution just gives a `void`, but tests that PYBIND11_TYPE() works to protect + // a type containing a , + PYBIND11_OVERLOAD(PYBIND11_TYPE(typename std::enable_if::type), A, f); + } + }; + + py::class_(m, "A") + .def(py::init<>()) + .def("f", &A::f); + + m.def("call_f", [](A *a) { a->f(); }); + + // test_alias_delay_initialization2 + // ... unless we explicitly request it, as in this example: + struct A2 { + virtual ~A2() {} + virtual void f() { py::print("A2.f()"); } + }; + + struct PyA2 : A2 { + PyA2() { py::print("PyA2.PyA2()"); } + ~PyA2() { py::print("PyA2.~PyA2()"); } + void f() override { + py::print("PyA2.f()"); + PYBIND11_OVERLOAD(void, A2, f); + } + }; + + py::class_(m, "A2") + .def(py::init_alias<>()) + .def(py::init([](int) { return new PyA2(); })) + .def("f", &A2::f); + + m.def("call_f", [](A2 *a2) { a2->f(); }); + + // test_dispatch_issue + // #159: virtual function dispatch has problems with similar-named functions + py::class_(m, "DispatchIssue") + .def(py::init<>()) + .def("dispatch", &Base::dispatch); + + m.def("dispatch_issue_go", [](const Base * b) { return b->dispatch(); }); + + // test_override_ref + // #392/397: overriding reference-returning functions + class OverrideTest { + public: + struct A { std::string value = "hi"; }; + std::string v; + A a; + explicit OverrideTest(const std::string &v) : v{v} {} + virtual std::string str_value() { return v; } + virtual std::string &str_ref() { return v; } + virtual A A_value() { return a; } + virtual A &A_ref() { return a; } + virtual ~OverrideTest() = default; + }; + + class PyOverrideTest : public OverrideTest { + public: + using OverrideTest::OverrideTest; + std::string str_value() override { PYBIND11_OVERLOAD(std::string, OverrideTest, str_value); } + // Not allowed (uncommenting should hit a static_assert failure): we can't get a reference + // to a python numeric value, since we only copy values in the numeric type caster: +// std::string &str_ref() override { PYBIND11_OVERLOAD(std::string &, OverrideTest, str_ref); } + // But we can work around it like this: + private: + std::string _tmp; + std::string str_ref_helper() { PYBIND11_OVERLOAD(std::string, OverrideTest, str_ref); } + public: + std::string &str_ref() override { return _tmp = str_ref_helper(); } + + A A_value() override { PYBIND11_OVERLOAD(A, OverrideTest, A_value); } + A &A_ref() override { PYBIND11_OVERLOAD(A &, OverrideTest, A_ref); } + }; + + py::class_(m, "OverrideTest_A") + .def_readwrite("value", &OverrideTest::A::value); + py::class_(m, "OverrideTest") + .def(py::init()) + .def("str_value", &OverrideTest::str_value) +// .def("str_ref", &OverrideTest::str_ref) + .def("A_value", &OverrideTest::A_value) + .def("A_ref", &OverrideTest::A_ref); +} + + +// Inheriting virtual methods. We do two versions here: the repeat-everything version and the +// templated trampoline versions mentioned in docs/advanced.rst. +// +// These base classes are exactly the same, but we technically need distinct +// classes for this example code because we need to be able to bind them +// properly (pybind11, sensibly, doesn't allow us to bind the same C++ class to +// multiple python classes). +class A_Repeat { +#define A_METHODS \ +public: \ + virtual int unlucky_number() = 0; \ + virtual std::string say_something(unsigned times) { \ + std::string s = ""; \ + for (unsigned i = 0; i < times; ++i) \ + s += "hi"; \ + return s; \ + } \ + std::string say_everything() { \ + return say_something(1) + " " + std::to_string(unlucky_number()); \ + } +A_METHODS + virtual ~A_Repeat() = default; +}; +class B_Repeat : public A_Repeat { +#define B_METHODS \ +public: \ + int unlucky_number() override { return 13; } \ + std::string say_something(unsigned times) override { \ + return "B says hi " + std::to_string(times) + " times"; \ + } \ + virtual double lucky_number() { return 7.0; } +B_METHODS +}; +class C_Repeat : public B_Repeat { +#define C_METHODS \ +public: \ + int unlucky_number() override { return 4444; } \ + double lucky_number() override { return 888; } +C_METHODS +}; +class D_Repeat : public C_Repeat { +#define D_METHODS // Nothing overridden. +D_METHODS +}; + +// Base classes for templated inheritance trampolines. Identical to the repeat-everything version: +class A_Tpl { A_METHODS; virtual ~A_Tpl() = default; }; +class B_Tpl : public A_Tpl { B_METHODS }; +class C_Tpl : public B_Tpl { C_METHODS }; +class D_Tpl : public C_Tpl { D_METHODS }; + + +// Inheritance approach 1: each trampoline gets every virtual method (11 in total) +class PyA_Repeat : public A_Repeat { +public: + using A_Repeat::A_Repeat; + int unlucky_number() override { PYBIND11_OVERLOAD_PURE(int, A_Repeat, unlucky_number, ); } + std::string say_something(unsigned times) override { PYBIND11_OVERLOAD(std::string, A_Repeat, say_something, times); } +}; +class PyB_Repeat : public B_Repeat { +public: + using B_Repeat::B_Repeat; + int unlucky_number() override { PYBIND11_OVERLOAD(int, B_Repeat, unlucky_number, ); } + std::string say_something(unsigned times) override { PYBIND11_OVERLOAD(std::string, B_Repeat, say_something, times); } + double lucky_number() override { PYBIND11_OVERLOAD(double, B_Repeat, lucky_number, ); } +}; +class PyC_Repeat : public C_Repeat { +public: + using C_Repeat::C_Repeat; + int unlucky_number() override { PYBIND11_OVERLOAD(int, C_Repeat, unlucky_number, ); } + std::string say_something(unsigned times) override { PYBIND11_OVERLOAD(std::string, C_Repeat, say_something, times); } + double lucky_number() override { PYBIND11_OVERLOAD(double, C_Repeat, lucky_number, ); } +}; +class PyD_Repeat : public D_Repeat { +public: + using D_Repeat::D_Repeat; + int unlucky_number() override { PYBIND11_OVERLOAD(int, D_Repeat, unlucky_number, ); } + std::string say_something(unsigned times) override { PYBIND11_OVERLOAD(std::string, D_Repeat, say_something, times); } + double lucky_number() override { PYBIND11_OVERLOAD(double, D_Repeat, lucky_number, ); } +}; + +// Inheritance approach 2: templated trampoline classes. +// +// Advantages: +// - we have only 2 (template) class and 4 method declarations (one per virtual method, plus one for +// any override of a pure virtual method), versus 4 classes and 6 methods (MI) or 4 classes and 11 +// methods (repeat). +// - Compared to MI, we also don't have to change the non-trampoline inheritance to virtual, and can +// properly inherit constructors. +// +// Disadvantage: +// - the compiler must still generate and compile 14 different methods (more, even, than the 11 +// required for the repeat approach) instead of the 6 required for MI. (If there was no pure +// method (or no pure method override), the number would drop down to the same 11 as the repeat +// approach). +template +class PyA_Tpl : public Base { +public: + using Base::Base; // Inherit constructors + int unlucky_number() override { PYBIND11_OVERLOAD_PURE(int, Base, unlucky_number, ); } + std::string say_something(unsigned times) override { PYBIND11_OVERLOAD(std::string, Base, say_something, times); } +}; +template +class PyB_Tpl : public PyA_Tpl { +public: + using PyA_Tpl::PyA_Tpl; // Inherit constructors (via PyA_Tpl's inherited constructors) + int unlucky_number() override { PYBIND11_OVERLOAD(int, Base, unlucky_number, ); } + double lucky_number() override { PYBIND11_OVERLOAD(double, Base, lucky_number, ); } +}; +// Since C_Tpl and D_Tpl don't declare any new virtual methods, we don't actually need these (we can +// use PyB_Tpl and PyB_Tpl for the trampoline classes instead): +/* +template class PyC_Tpl : public PyB_Tpl { +public: + using PyB_Tpl::PyB_Tpl; +}; +template class PyD_Tpl : public PyC_Tpl { +public: + using PyC_Tpl::PyC_Tpl; +}; +*/ + +void initialize_inherited_virtuals(py::module &m) { + // test_inherited_virtuals + + // Method 1: repeat + py::class_(m, "A_Repeat") + .def(py::init<>()) + .def("unlucky_number", &A_Repeat::unlucky_number) + .def("say_something", &A_Repeat::say_something) + .def("say_everything", &A_Repeat::say_everything); + py::class_(m, "B_Repeat") + .def(py::init<>()) + .def("lucky_number", &B_Repeat::lucky_number); + py::class_(m, "C_Repeat") + .def(py::init<>()); + py::class_(m, "D_Repeat") + .def(py::init<>()); + + // test_ + // Method 2: Templated trampolines + py::class_>(m, "A_Tpl") + .def(py::init<>()) + .def("unlucky_number", &A_Tpl::unlucky_number) + .def("say_something", &A_Tpl::say_something) + .def("say_everything", &A_Tpl::say_everything); + py::class_>(m, "B_Tpl") + .def(py::init<>()) + .def("lucky_number", &B_Tpl::lucky_number); + py::class_>(m, "C_Tpl") + .def(py::init<>()); + py::class_>(m, "D_Tpl") + .def(py::init<>()); + + + // Fix issue #1454 (crash when acquiring/releasing GIL on another thread in Python 2.7) + m.def("test_gil", &test_gil); + m.def("test_gil_from_thread", &test_gil_from_thread); +}; diff --git a/external/pybind11/tests/test_virtual_functions.py b/external/pybind11/tests/test_virtual_functions.py new file mode 100644 index 0000000..5ce9abd --- /dev/null +++ b/external/pybind11/tests/test_virtual_functions.py @@ -0,0 +1,377 @@ +import pytest + +from pybind11_tests import virtual_functions as m +from pybind11_tests import ConstructorStats + + +def test_override(capture, msg): + class ExtendedExampleVirt(m.ExampleVirt): + def __init__(self, state): + super(ExtendedExampleVirt, self).__init__(state + 1) + self.data = "Hello world" + + def run(self, value): + print('ExtendedExampleVirt::run(%i), calling parent..' % value) + return super(ExtendedExampleVirt, self).run(value + 1) + + def run_bool(self): + print('ExtendedExampleVirt::run_bool()') + return False + + def get_string1(self): + return "override1" + + def pure_virtual(self): + print('ExtendedExampleVirt::pure_virtual(): %s' % self.data) + + class ExtendedExampleVirt2(ExtendedExampleVirt): + def __init__(self, state): + super(ExtendedExampleVirt2, self).__init__(state + 1) + + def get_string2(self): + return "override2" + + ex12 = m.ExampleVirt(10) + with capture: + assert m.runExampleVirt(ex12, 20) == 30 + assert capture == """ + Original implementation of ExampleVirt::run(state=10, value=20, str1=default1, str2=default2) + """ # noqa: E501 line too long + + with pytest.raises(RuntimeError) as excinfo: + m.runExampleVirtVirtual(ex12) + assert msg(excinfo.value) == 'Tried to call pure virtual function "ExampleVirt::pure_virtual"' + + ex12p = ExtendedExampleVirt(10) + with capture: + assert m.runExampleVirt(ex12p, 20) == 32 + assert capture == """ + ExtendedExampleVirt::run(20), calling parent.. + Original implementation of ExampleVirt::run(state=11, value=21, str1=override1, str2=default2) + """ # noqa: E501 line too long + with capture: + assert m.runExampleVirtBool(ex12p) is False + assert capture == "ExtendedExampleVirt::run_bool()" + with capture: + m.runExampleVirtVirtual(ex12p) + assert capture == "ExtendedExampleVirt::pure_virtual(): Hello world" + + ex12p2 = ExtendedExampleVirt2(15) + with capture: + assert m.runExampleVirt(ex12p2, 50) == 68 + assert capture == """ + ExtendedExampleVirt::run(50), calling parent.. + Original implementation of ExampleVirt::run(state=17, value=51, str1=override1, str2=override2) + """ # noqa: E501 line too long + + cstats = ConstructorStats.get(m.ExampleVirt) + assert cstats.alive() == 3 + del ex12, ex12p, ex12p2 + assert cstats.alive() == 0 + assert cstats.values() == ['10', '11', '17'] + assert cstats.copy_constructions == 0 + assert cstats.move_constructions >= 0 + + +def test_alias_delay_initialization1(capture): + """`A` only initializes its trampoline class when we inherit from it + + If we just create and use an A instance directly, the trampoline initialization is + bypassed and we only initialize an A() instead (for performance reasons). + """ + class B(m.A): + def __init__(self): + super(B, self).__init__() + + def f(self): + print("In python f()") + + # C++ version + with capture: + a = m.A() + m.call_f(a) + del a + pytest.gc_collect() + assert capture == "A.f()" + + # Python version + with capture: + b = B() + m.call_f(b) + del b + pytest.gc_collect() + assert capture == """ + PyA.PyA() + PyA.f() + In python f() + PyA.~PyA() + """ + + +def test_alias_delay_initialization2(capture): + """`A2`, unlike the above, is configured to always initialize the alias + + While the extra initialization and extra class layer has small virtual dispatch + performance penalty, it also allows us to do more things with the trampoline + class such as defining local variables and performing construction/destruction. + """ + class B2(m.A2): + def __init__(self): + super(B2, self).__init__() + + def f(self): + print("In python B2.f()") + + # No python subclass version + with capture: + a2 = m.A2() + m.call_f(a2) + del a2 + pytest.gc_collect() + a3 = m.A2(1) + m.call_f(a3) + del a3 + pytest.gc_collect() + assert capture == """ + PyA2.PyA2() + PyA2.f() + A2.f() + PyA2.~PyA2() + PyA2.PyA2() + PyA2.f() + A2.f() + PyA2.~PyA2() + """ + + # Python subclass version + with capture: + b2 = B2() + m.call_f(b2) + del b2 + pytest.gc_collect() + assert capture == """ + PyA2.PyA2() + PyA2.f() + In python B2.f() + PyA2.~PyA2() + """ + + +# PyPy: Reference count > 1 causes call with noncopyable instance +# to fail in ncv1.print_nc() +@pytest.unsupported_on_pypy +@pytest.mark.skipif(not hasattr(m, "NCVirt"), reason="NCVirt test broken on ICPC") +def test_move_support(): + class NCVirtExt(m.NCVirt): + def get_noncopyable(self, a, b): + # Constructs and returns a new instance: + nc = m.NonCopyable(a * a, b * b) + return nc + + def get_movable(self, a, b): + # Return a referenced copy + self.movable = m.Movable(a, b) + return self.movable + + class NCVirtExt2(m.NCVirt): + def get_noncopyable(self, a, b): + # Keep a reference: this is going to throw an exception + self.nc = m.NonCopyable(a, b) + return self.nc + + def get_movable(self, a, b): + # Return a new instance without storing it + return m.Movable(a, b) + + ncv1 = NCVirtExt() + assert ncv1.print_nc(2, 3) == "36" + assert ncv1.print_movable(4, 5) == "9" + ncv2 = NCVirtExt2() + assert ncv2.print_movable(7, 7) == "14" + # Don't check the exception message here because it differs under debug/non-debug mode + with pytest.raises(RuntimeError): + ncv2.print_nc(9, 9) + + nc_stats = ConstructorStats.get(m.NonCopyable) + mv_stats = ConstructorStats.get(m.Movable) + assert nc_stats.alive() == 1 + assert mv_stats.alive() == 1 + del ncv1, ncv2 + assert nc_stats.alive() == 0 + assert mv_stats.alive() == 0 + assert nc_stats.values() == ['4', '9', '9', '9'] + assert mv_stats.values() == ['4', '5', '7', '7'] + assert nc_stats.copy_constructions == 0 + assert mv_stats.copy_constructions == 1 + assert nc_stats.move_constructions >= 0 + assert mv_stats.move_constructions >= 0 + + +def test_dispatch_issue(msg): + """#159: virtual function dispatch has problems with similar-named functions""" + class PyClass1(m.DispatchIssue): + def dispatch(self): + return "Yay.." + + class PyClass2(m.DispatchIssue): + def dispatch(self): + with pytest.raises(RuntimeError) as excinfo: + super(PyClass2, self).dispatch() + assert msg(excinfo.value) == 'Tried to call pure virtual function "Base::dispatch"' + + p = PyClass1() + return m.dispatch_issue_go(p) + + b = PyClass2() + assert m.dispatch_issue_go(b) == "Yay.." + + +def test_override_ref(): + """#392/397: overriding reference-returning functions""" + o = m.OverrideTest("asdf") + + # Not allowed (see associated .cpp comment) + # i = o.str_ref() + # assert o.str_ref() == "asdf" + assert o.str_value() == "asdf" + + assert o.A_value().value == "hi" + a = o.A_ref() + assert a.value == "hi" + a.value = "bye" + assert a.value == "bye" + + +def test_inherited_virtuals(): + class AR(m.A_Repeat): + def unlucky_number(self): + return 99 + + class AT(m.A_Tpl): + def unlucky_number(self): + return 999 + + obj = AR() + assert obj.say_something(3) == "hihihi" + assert obj.unlucky_number() == 99 + assert obj.say_everything() == "hi 99" + + obj = AT() + assert obj.say_something(3) == "hihihi" + assert obj.unlucky_number() == 999 + assert obj.say_everything() == "hi 999" + + for obj in [m.B_Repeat(), m.B_Tpl()]: + assert obj.say_something(3) == "B says hi 3 times" + assert obj.unlucky_number() == 13 + assert obj.lucky_number() == 7.0 + assert obj.say_everything() == "B says hi 1 times 13" + + for obj in [m.C_Repeat(), m.C_Tpl()]: + assert obj.say_something(3) == "B says hi 3 times" + assert obj.unlucky_number() == 4444 + assert obj.lucky_number() == 888.0 + assert obj.say_everything() == "B says hi 1 times 4444" + + class CR(m.C_Repeat): + def lucky_number(self): + return m.C_Repeat.lucky_number(self) + 1.25 + + obj = CR() + assert obj.say_something(3) == "B says hi 3 times" + assert obj.unlucky_number() == 4444 + assert obj.lucky_number() == 889.25 + assert obj.say_everything() == "B says hi 1 times 4444" + + class CT(m.C_Tpl): + pass + + obj = CT() + assert obj.say_something(3) == "B says hi 3 times" + assert obj.unlucky_number() == 4444 + assert obj.lucky_number() == 888.0 + assert obj.say_everything() == "B says hi 1 times 4444" + + class CCR(CR): + def lucky_number(self): + return CR.lucky_number(self) * 10 + + obj = CCR() + assert obj.say_something(3) == "B says hi 3 times" + assert obj.unlucky_number() == 4444 + assert obj.lucky_number() == 8892.5 + assert obj.say_everything() == "B says hi 1 times 4444" + + class CCT(CT): + def lucky_number(self): + return CT.lucky_number(self) * 1000 + + obj = CCT() + assert obj.say_something(3) == "B says hi 3 times" + assert obj.unlucky_number() == 4444 + assert obj.lucky_number() == 888000.0 + assert obj.say_everything() == "B says hi 1 times 4444" + + class DR(m.D_Repeat): + def unlucky_number(self): + return 123 + + def lucky_number(self): + return 42.0 + + for obj in [m.D_Repeat(), m.D_Tpl()]: + assert obj.say_something(3) == "B says hi 3 times" + assert obj.unlucky_number() == 4444 + assert obj.lucky_number() == 888.0 + assert obj.say_everything() == "B says hi 1 times 4444" + + obj = DR() + assert obj.say_something(3) == "B says hi 3 times" + assert obj.unlucky_number() == 123 + assert obj.lucky_number() == 42.0 + assert obj.say_everything() == "B says hi 1 times 123" + + class DT(m.D_Tpl): + def say_something(self, times): + return "DT says:" + (' quack' * times) + + def unlucky_number(self): + return 1234 + + def lucky_number(self): + return -4.25 + + obj = DT() + assert obj.say_something(3) == "DT says: quack quack quack" + assert obj.unlucky_number() == 1234 + assert obj.lucky_number() == -4.25 + assert obj.say_everything() == "DT says: quack 1234" + + class DT2(DT): + def say_something(self, times): + return "DT2: " + ('QUACK' * times) + + def unlucky_number(self): + return -3 + + class BT(m.B_Tpl): + def say_something(self, times): + return "BT" * times + + def unlucky_number(self): + return -7 + + def lucky_number(self): + return -1.375 + + obj = BT() + assert obj.say_something(3) == "BTBTBT" + assert obj.unlucky_number() == -7 + assert obj.lucky_number() == -1.375 + assert obj.say_everything() == "BT -7" + + +def test_issue_1454(): + # Fix issue #1454 (crash when acquiring/releasing GIL on another thread in Python 2.7) + m.test_gil() + m.test_gil_from_thread() diff --git a/external/pybind11/tools/FindCatch.cmake b/external/pybind11/tools/FindCatch.cmake new file mode 100644 index 0000000..9d490c5 --- /dev/null +++ b/external/pybind11/tools/FindCatch.cmake @@ -0,0 +1,57 @@ +# - Find the Catch test framework or download it (single header) +# +# This is a quick module for internal use. It assumes that Catch is +# REQUIRED and that a minimum version is provided (not EXACT). If +# a suitable version isn't found locally, the single header file +# will be downloaded and placed in the build dir: PROJECT_BINARY_DIR. +# +# This code sets the following variables: +# CATCH_INCLUDE_DIR - path to catch.hpp +# CATCH_VERSION - version number + +if(NOT Catch_FIND_VERSION) + message(FATAL_ERROR "A version number must be specified.") +elseif(Catch_FIND_REQUIRED) + message(FATAL_ERROR "This module assumes Catch is not required.") +elseif(Catch_FIND_VERSION_EXACT) + message(FATAL_ERROR "Exact version numbers are not supported, only minimum.") +endif() + +# Extract the version number from catch.hpp +function(_get_catch_version) + file(STRINGS "${CATCH_INCLUDE_DIR}/catch.hpp" version_line REGEX "Catch v.*" LIMIT_COUNT 1) + if(version_line MATCHES "Catch v([0-9]+)\\.([0-9]+)\\.([0-9]+)") + set(CATCH_VERSION "${CMAKE_MATCH_1}.${CMAKE_MATCH_2}.${CMAKE_MATCH_3}" PARENT_SCOPE) + endif() +endfunction() + +# Download the single-header version of Catch +function(_download_catch version destination_dir) + message(STATUS "Downloading catch v${version}...") + set(url https://github.com/philsquared/Catch/releases/download/v${version}/catch.hpp) + file(DOWNLOAD ${url} "${destination_dir}/catch.hpp" STATUS status) + list(GET status 0 error) + if(error) + message(FATAL_ERROR "Could not download ${url}") + endif() + set(CATCH_INCLUDE_DIR "${destination_dir}" CACHE INTERNAL "") +endfunction() + +# Look for catch locally +find_path(CATCH_INCLUDE_DIR NAMES catch.hpp PATH_SUFFIXES catch) +if(CATCH_INCLUDE_DIR) + _get_catch_version() +endif() + +# Download the header if it wasn't found or if it's outdated +if(NOT CATCH_VERSION OR CATCH_VERSION VERSION_LESS ${Catch_FIND_VERSION}) + if(DOWNLOAD_CATCH) + _download_catch(${Catch_FIND_VERSION} "${PROJECT_BINARY_DIR}/catch/") + _get_catch_version() + else() + set(CATCH_FOUND FALSE) + return() + endif() +endif() + +set(CATCH_FOUND TRUE) diff --git a/external/pybind11/tools/FindEigen3.cmake b/external/pybind11/tools/FindEigen3.cmake new file mode 100644 index 0000000..9c546a0 --- /dev/null +++ b/external/pybind11/tools/FindEigen3.cmake @@ -0,0 +1,81 @@ +# - Try to find Eigen3 lib +# +# This module supports requiring a minimum version, e.g. you can do +# find_package(Eigen3 3.1.2) +# to require version 3.1.2 or newer of Eigen3. +# +# Once done this will define +# +# EIGEN3_FOUND - system has eigen lib with correct version +# EIGEN3_INCLUDE_DIR - the eigen include directory +# EIGEN3_VERSION - eigen version + +# Copyright (c) 2006, 2007 Montel Laurent, +# Copyright (c) 2008, 2009 Gael Guennebaud, +# Copyright (c) 2009 Benoit Jacob +# Redistribution and use is allowed according to the terms of the 2-clause BSD license. + +if(NOT Eigen3_FIND_VERSION) + if(NOT Eigen3_FIND_VERSION_MAJOR) + set(Eigen3_FIND_VERSION_MAJOR 2) + endif(NOT Eigen3_FIND_VERSION_MAJOR) + if(NOT Eigen3_FIND_VERSION_MINOR) + set(Eigen3_FIND_VERSION_MINOR 91) + endif(NOT Eigen3_FIND_VERSION_MINOR) + if(NOT Eigen3_FIND_VERSION_PATCH) + set(Eigen3_FIND_VERSION_PATCH 0) + endif(NOT Eigen3_FIND_VERSION_PATCH) + + set(Eigen3_FIND_VERSION "${Eigen3_FIND_VERSION_MAJOR}.${Eigen3_FIND_VERSION_MINOR}.${Eigen3_FIND_VERSION_PATCH}") +endif(NOT Eigen3_FIND_VERSION) + +macro(_eigen3_check_version) + file(READ "${EIGEN3_INCLUDE_DIR}/Eigen/src/Core/util/Macros.h" _eigen3_version_header) + + string(REGEX MATCH "define[ \t]+EIGEN_WORLD_VERSION[ \t]+([0-9]+)" _eigen3_world_version_match "${_eigen3_version_header}") + set(EIGEN3_WORLD_VERSION "${CMAKE_MATCH_1}") + string(REGEX MATCH "define[ \t]+EIGEN_MAJOR_VERSION[ \t]+([0-9]+)" _eigen3_major_version_match "${_eigen3_version_header}") + set(EIGEN3_MAJOR_VERSION "${CMAKE_MATCH_1}") + string(REGEX MATCH "define[ \t]+EIGEN_MINOR_VERSION[ \t]+([0-9]+)" _eigen3_minor_version_match "${_eigen3_version_header}") + set(EIGEN3_MINOR_VERSION "${CMAKE_MATCH_1}") + + set(EIGEN3_VERSION ${EIGEN3_WORLD_VERSION}.${EIGEN3_MAJOR_VERSION}.${EIGEN3_MINOR_VERSION}) + if(${EIGEN3_VERSION} VERSION_LESS ${Eigen3_FIND_VERSION}) + set(EIGEN3_VERSION_OK FALSE) + else(${EIGEN3_VERSION} VERSION_LESS ${Eigen3_FIND_VERSION}) + set(EIGEN3_VERSION_OK TRUE) + endif(${EIGEN3_VERSION} VERSION_LESS ${Eigen3_FIND_VERSION}) + + if(NOT EIGEN3_VERSION_OK) + + message(STATUS "Eigen3 version ${EIGEN3_VERSION} found in ${EIGEN3_INCLUDE_DIR}, " + "but at least version ${Eigen3_FIND_VERSION} is required") + endif(NOT EIGEN3_VERSION_OK) +endmacro(_eigen3_check_version) + +if (EIGEN3_INCLUDE_DIR) + + # in cache already + _eigen3_check_version() + set(EIGEN3_FOUND ${EIGEN3_VERSION_OK}) + +else (EIGEN3_INCLUDE_DIR) + + find_path(EIGEN3_INCLUDE_DIR NAMES signature_of_eigen3_matrix_library + PATHS + ${CMAKE_INSTALL_PREFIX}/include + ${KDE4_INCLUDE_DIR} + PATH_SUFFIXES eigen3 eigen + ) + + if(EIGEN3_INCLUDE_DIR) + _eigen3_check_version() + endif(EIGEN3_INCLUDE_DIR) + + include(FindPackageHandleStandardArgs) + find_package_handle_standard_args(Eigen3 DEFAULT_MSG EIGEN3_INCLUDE_DIR EIGEN3_VERSION_OK) + + mark_as_advanced(EIGEN3_INCLUDE_DIR) + +endif(EIGEN3_INCLUDE_DIR) + diff --git a/external/pybind11/tools/FindPythonLibsNew.cmake b/external/pybind11/tools/FindPythonLibsNew.cmake new file mode 100644 index 0000000..e660c5f --- /dev/null +++ b/external/pybind11/tools/FindPythonLibsNew.cmake @@ -0,0 +1,202 @@ +# - Find python libraries +# This module finds the libraries corresponding to the Python interpreter +# FindPythonInterp provides. +# This code sets the following variables: +# +# PYTHONLIBS_FOUND - have the Python libs been found +# PYTHON_PREFIX - path to the Python installation +# PYTHON_LIBRARIES - path to the python library +# PYTHON_INCLUDE_DIRS - path to where Python.h is found +# PYTHON_MODULE_EXTENSION - lib extension, e.g. '.so' or '.pyd' +# PYTHON_MODULE_PREFIX - lib name prefix: usually an empty string +# PYTHON_SITE_PACKAGES - path to installation site-packages +# PYTHON_IS_DEBUG - whether the Python interpreter is a debug build +# +# Thanks to talljimbo for the patch adding the 'LDVERSION' config +# variable usage. + +#============================================================================= +# Copyright 2001-2009 Kitware, Inc. +# Copyright 2012 Continuum Analytics, Inc. +# +# All rights reserved. +# +# Redistribution and use in source and binary forms, with or without +# modification, are permitted provided that the following conditions +# are met: +# +# * Redistributions of source code must retain the above copyright +# notice, this list of conditions and the following disclaimer. +# +# * Redistributions in binary form must reproduce the above copyright +# notice, this list of conditions and the following disclaimer in the +# documentation and/or other materials provided with the distribution. +# +# * Neither the names of Kitware, Inc., the Insight Software Consortium, +# nor the names of their contributors may be used to endorse or promote +# products derived from this software without specific prior written +# permission. +# +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS +# "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT +# LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR +# # A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT +# HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, +# SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT +# LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, +# DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY +# THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT +# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE +# OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +#============================================================================= + +# Checking for the extension makes sure that `LibsNew` was found and not just `Libs`. +if(PYTHONLIBS_FOUND AND PYTHON_MODULE_EXTENSION) + return() +endif() + +# Use the Python interpreter to find the libs. +if(PythonLibsNew_FIND_REQUIRED) + find_package(PythonInterp ${PythonLibsNew_FIND_VERSION} REQUIRED) +else() + find_package(PythonInterp ${PythonLibsNew_FIND_VERSION}) +endif() + +if(NOT PYTHONINTERP_FOUND) + set(PYTHONLIBS_FOUND FALSE) + set(PythonLibsNew_FOUND FALSE) + return() +endif() + +# According to http://stackoverflow.com/questions/646518/python-how-to-detect-debug-interpreter +# testing whether sys has the gettotalrefcount function is a reliable, cross-platform +# way to detect a CPython debug interpreter. +# +# The library suffix is from the config var LDVERSION sometimes, otherwise +# VERSION. VERSION will typically be like "2.7" on unix, and "27" on windows. +execute_process(COMMAND "${PYTHON_EXECUTABLE}" "-c" + "from distutils import sysconfig as s;import sys;import struct; +print('.'.join(str(v) for v in sys.version_info)); +print(sys.prefix); +print(s.get_python_inc(plat_specific=True)); +print(s.get_python_lib(plat_specific=True)); +print(s.get_config_var('SO')); +print(hasattr(sys, 'gettotalrefcount')+0); +print(struct.calcsize('@P')); +print(s.get_config_var('LDVERSION') or s.get_config_var('VERSION')); +print(s.get_config_var('LIBDIR') or ''); +print(s.get_config_var('MULTIARCH') or ''); +" + RESULT_VARIABLE _PYTHON_SUCCESS + OUTPUT_VARIABLE _PYTHON_VALUES + ERROR_VARIABLE _PYTHON_ERROR_VALUE) + +if(NOT _PYTHON_SUCCESS MATCHES 0) + if(PythonLibsNew_FIND_REQUIRED) + message(FATAL_ERROR + "Python config failure:\n${_PYTHON_ERROR_VALUE}") + endif() + set(PYTHONLIBS_FOUND FALSE) + set(PythonLibsNew_FOUND FALSE) + return() +endif() + +# Convert the process output into a list +if(WIN32) + string(REGEX REPLACE "\\\\" "/" _PYTHON_VALUES ${_PYTHON_VALUES}) +endif() +string(REGEX REPLACE ";" "\\\\;" _PYTHON_VALUES ${_PYTHON_VALUES}) +string(REGEX REPLACE "\n" ";" _PYTHON_VALUES ${_PYTHON_VALUES}) +list(GET _PYTHON_VALUES 0 _PYTHON_VERSION_LIST) +list(GET _PYTHON_VALUES 1 PYTHON_PREFIX) +list(GET _PYTHON_VALUES 2 PYTHON_INCLUDE_DIR) +list(GET _PYTHON_VALUES 3 PYTHON_SITE_PACKAGES) +list(GET _PYTHON_VALUES 4 PYTHON_MODULE_EXTENSION) +list(GET _PYTHON_VALUES 5 PYTHON_IS_DEBUG) +list(GET _PYTHON_VALUES 6 PYTHON_SIZEOF_VOID_P) +list(GET _PYTHON_VALUES 7 PYTHON_LIBRARY_SUFFIX) +list(GET _PYTHON_VALUES 8 PYTHON_LIBDIR) +list(GET _PYTHON_VALUES 9 PYTHON_MULTIARCH) + +# Make sure the Python has the same pointer-size as the chosen compiler +# Skip if CMAKE_SIZEOF_VOID_P is not defined +if(CMAKE_SIZEOF_VOID_P AND (NOT "${PYTHON_SIZEOF_VOID_P}" STREQUAL "${CMAKE_SIZEOF_VOID_P}")) + if(PythonLibsNew_FIND_REQUIRED) + math(EXPR _PYTHON_BITS "${PYTHON_SIZEOF_VOID_P} * 8") + math(EXPR _CMAKE_BITS "${CMAKE_SIZEOF_VOID_P} * 8") + message(FATAL_ERROR + "Python config failure: Python is ${_PYTHON_BITS}-bit, " + "chosen compiler is ${_CMAKE_BITS}-bit") + endif() + set(PYTHONLIBS_FOUND FALSE) + set(PythonLibsNew_FOUND FALSE) + return() +endif() + +# The built-in FindPython didn't always give the version numbers +string(REGEX REPLACE "\\." ";" _PYTHON_VERSION_LIST ${_PYTHON_VERSION_LIST}) +list(GET _PYTHON_VERSION_LIST 0 PYTHON_VERSION_MAJOR) +list(GET _PYTHON_VERSION_LIST 1 PYTHON_VERSION_MINOR) +list(GET _PYTHON_VERSION_LIST 2 PYTHON_VERSION_PATCH) + +# Make sure all directory separators are '/' +string(REGEX REPLACE "\\\\" "/" PYTHON_PREFIX ${PYTHON_PREFIX}) +string(REGEX REPLACE "\\\\" "/" PYTHON_INCLUDE_DIR ${PYTHON_INCLUDE_DIR}) +string(REGEX REPLACE "\\\\" "/" PYTHON_SITE_PACKAGES ${PYTHON_SITE_PACKAGES}) + +if(CMAKE_HOST_WIN32 AND NOT (MSYS OR MINGW)) + set(PYTHON_LIBRARY + "${PYTHON_PREFIX}/libs/Python${PYTHON_LIBRARY_SUFFIX}.lib") + + # when run in a venv, PYTHON_PREFIX points to it. But the libraries remain in the + # original python installation. They may be found relative to PYTHON_INCLUDE_DIR. + if(NOT EXISTS "${PYTHON_LIBRARY}") + get_filename_component(_PYTHON_ROOT ${PYTHON_INCLUDE_DIR} DIRECTORY) + set(PYTHON_LIBRARY + "${_PYTHON_ROOT}/libs/Python${PYTHON_LIBRARY_SUFFIX}.lib") + endif() + + # raise an error if the python libs are still not found. + if(NOT EXISTS "${PYTHON_LIBRARY}") + message(FATAL_ERROR "Python libraries not found") + endif() + +else() + if(PYTHON_MULTIARCH) + set(_PYTHON_LIBS_SEARCH "${PYTHON_LIBDIR}/${PYTHON_MULTIARCH}" "${PYTHON_LIBDIR}") + else() + set(_PYTHON_LIBS_SEARCH "${PYTHON_LIBDIR}") + endif() + #message(STATUS "Searching for Python libs in ${_PYTHON_LIBS_SEARCH}") + # Probably this needs to be more involved. It would be nice if the config + # information the python interpreter itself gave us were more complete. + find_library(PYTHON_LIBRARY + NAMES "python${PYTHON_LIBRARY_SUFFIX}" + PATHS ${_PYTHON_LIBS_SEARCH} + NO_DEFAULT_PATH) + + # If all else fails, just set the name/version and let the linker figure out the path. + if(NOT PYTHON_LIBRARY) + set(PYTHON_LIBRARY python${PYTHON_LIBRARY_SUFFIX}) + endif() +endif() + +MARK_AS_ADVANCED( + PYTHON_LIBRARY + PYTHON_INCLUDE_DIR +) + +# We use PYTHON_INCLUDE_DIR, PYTHON_LIBRARY and PYTHON_DEBUG_LIBRARY for the +# cache entries because they are meant to specify the location of a single +# library. We now set the variables listed by the documentation for this +# module. +SET(PYTHON_INCLUDE_DIRS "${PYTHON_INCLUDE_DIR}") +SET(PYTHON_LIBRARIES "${PYTHON_LIBRARY}") +SET(PYTHON_DEBUG_LIBRARIES "${PYTHON_DEBUG_LIBRARY}") + +find_package_message(PYTHON + "Found PythonLibs: ${PYTHON_LIBRARY}" + "${PYTHON_EXECUTABLE}${PYTHON_VERSION}") + +set(PYTHONLIBS_FOUND TRUE) +set(PythonLibsNew_FOUND TRUE) diff --git a/external/pybind11/tools/check-style.sh b/external/pybind11/tools/check-style.sh new file mode 100755 index 0000000..0a9f7d2 --- /dev/null +++ b/external/pybind11/tools/check-style.sh @@ -0,0 +1,70 @@ +#!/bin/bash +# +# Script to check include/test code for common pybind11 code style errors. +# +# This script currently checks for +# +# 1. use of tabs instead of spaces +# 2. MSDOS-style CRLF endings +# 3. trailing spaces +# 4. missing space between keyword and parenthesis, e.g.: for(, if(, while( +# 5. Missing space between right parenthesis and brace, e.g. 'for (...){' +# 6. opening brace on its own line. It should always be on the same line as the +# if/while/for/do statement. +# +# Invoke as: tools/check-style.sh +# + +check_style_errors=0 +IFS=$'\n' + +found="$( GREP_COLORS='mt=41' GREP_COLOR='41' grep $'\t' include tests/*.{cpp,py,h} docs/*.rst -rn --color=always )" +if [ -n "$found" ]; then + # The mt=41 sets a red background for matched tabs: + echo -e '\033[31;01mError: found tab characters in the following files:\033[0m' + check_style_errors=1 + echo "$found" | sed -e 's/^/ /' +fi + + +found="$( grep -IUlr $'\r' include tests/*.{cpp,py,h} docs/*.rst --color=always )" +if [ -n "$found" ]; then + echo -e '\033[31;01mError: found CRLF characters in the following files:\033[0m' + check_style_errors=1 + echo "$found" | sed -e 's/^/ /' +fi + +found="$(GREP_COLORS='mt=41' GREP_COLOR='41' grep '[[:blank:]]\+$' include tests/*.{cpp,py,h} docs/*.rst -rn --color=always )" +if [ -n "$found" ]; then + # The mt=41 sets a red background for matched trailing spaces + echo -e '\033[31;01mError: found trailing spaces in the following files:\033[0m' + check_style_errors=1 + echo "$found" | sed -e 's/^/ /' +fi + +found="$(grep '\<\(if\|for\|while\|catch\)(\|){' include tests/*.{cpp,h} -rn --color=always)" +if [ -n "$found" ]; then + echo -e '\033[31;01mError: found the following coding style problems:\033[0m' + check_style_errors=1 + echo "$found" | sed -e 's/^/ /' +fi + +found="$(awk ' +function prefix(filename, lineno) { + return " \033[35m" filename "\033[36m:\033[32m" lineno "\033[36m:\033[0m" +} +function mark(pattern, string) { sub(pattern, "\033[01;31m&\033[0m", string); return string } +last && /^\s*{/ { + print prefix(FILENAME, FNR-1) mark("\\)\\s*$", last) + print prefix(FILENAME, FNR) mark("^\\s*{", $0) + last="" +} +{ last = /(if|for|while|catch|switch)\s*\(.*\)\s*$/ ? $0 : "" } +' $(find include -type f) tests/*.{cpp,h} docs/*.rst)" +if [ -n "$found" ]; then + check_style_errors=1 + echo -e '\033[31;01mError: braces should occur on the same line as the if/while/.. statement. Found issues in the following files:\033[0m' + echo "$found" +fi + +exit $check_style_errors diff --git a/external/pybind11/tools/libsize.py b/external/pybind11/tools/libsize.py new file mode 100644 index 0000000..5dcb8b0 --- /dev/null +++ b/external/pybind11/tools/libsize.py @@ -0,0 +1,38 @@ +from __future__ import print_function, division +import os +import sys + +# Internal build script for generating debugging test .so size. +# Usage: +# python libsize.py file.so save.txt -- displays the size of file.so and, if save.txt exists, compares it to the +# size in it, then overwrites save.txt with the new size for future runs. + +if len(sys.argv) != 3: + sys.exit("Invalid arguments: usage: python libsize.py file.so save.txt") + +lib = sys.argv[1] +save = sys.argv[2] + +if not os.path.exists(lib): + sys.exit("Error: requested file ({}) does not exist".format(lib)) + +libsize = os.path.getsize(lib) + +print("------", os.path.basename(lib), "file size:", libsize, end='') + +if os.path.exists(save): + with open(save) as sf: + oldsize = int(sf.readline()) + + if oldsize > 0: + change = libsize - oldsize + if change == 0: + print(" (no change)") + else: + print(" (change of {:+} bytes = {:+.2%})".format(change, change / oldsize)) +else: + print() + +with open(save, 'w') as sf: + sf.write(str(libsize)) + diff --git a/external/pybind11/tools/mkdoc.py b/external/pybind11/tools/mkdoc.py new file mode 100755 index 0000000..44164af --- /dev/null +++ b/external/pybind11/tools/mkdoc.py @@ -0,0 +1,379 @@ +#!/usr/bin/env python3 +# +# Syntax: mkdoc.py [-I ..] [.. a list of header files ..] +# +# Extract documentation from C++ header files to use it in Python bindings +# + +import os +import sys +import platform +import re +import textwrap + +from clang import cindex +from clang.cindex import CursorKind +from collections import OrderedDict +from glob import glob +from threading import Thread, Semaphore +from multiprocessing import cpu_count + +RECURSE_LIST = [ + CursorKind.TRANSLATION_UNIT, + CursorKind.NAMESPACE, + CursorKind.CLASS_DECL, + CursorKind.STRUCT_DECL, + CursorKind.ENUM_DECL, + CursorKind.CLASS_TEMPLATE +] + +PRINT_LIST = [ + CursorKind.CLASS_DECL, + CursorKind.STRUCT_DECL, + CursorKind.ENUM_DECL, + CursorKind.ENUM_CONSTANT_DECL, + CursorKind.CLASS_TEMPLATE, + CursorKind.FUNCTION_DECL, + CursorKind.FUNCTION_TEMPLATE, + CursorKind.CONVERSION_FUNCTION, + CursorKind.CXX_METHOD, + CursorKind.CONSTRUCTOR, + CursorKind.FIELD_DECL +] + +PREFIX_BLACKLIST = [ + CursorKind.TRANSLATION_UNIT +] + +CPP_OPERATORS = { + '<=': 'le', '>=': 'ge', '==': 'eq', '!=': 'ne', '[]': 'array', + '+=': 'iadd', '-=': 'isub', '*=': 'imul', '/=': 'idiv', '%=': + 'imod', '&=': 'iand', '|=': 'ior', '^=': 'ixor', '<<=': 'ilshift', + '>>=': 'irshift', '++': 'inc', '--': 'dec', '<<': 'lshift', '>>': + 'rshift', '&&': 'land', '||': 'lor', '!': 'lnot', '~': 'bnot', + '&': 'band', '|': 'bor', '+': 'add', '-': 'sub', '*': 'mul', '/': + 'div', '%': 'mod', '<': 'lt', '>': 'gt', '=': 'assign', '()': 'call' +} + +CPP_OPERATORS = OrderedDict( + sorted(CPP_OPERATORS.items(), key=lambda t: -len(t[0]))) + +job_count = cpu_count() +job_semaphore = Semaphore(job_count) + + +class NoFilenamesError(ValueError): + pass + + +def d(s): + return s if isinstance(s, str) else s.decode('utf8') + + +def sanitize_name(name): + name = re.sub(r'type-parameter-0-([0-9]+)', r'T\1', name) + for k, v in CPP_OPERATORS.items(): + name = name.replace('operator%s' % k, 'operator_%s' % v) + name = re.sub('<.*>', '', name) + name = ''.join([ch if ch.isalnum() else '_' for ch in name]) + name = re.sub('_$', '', re.sub('_+', '_', name)) + return '__doc_' + name + + +def process_comment(comment): + result = '' + + # Remove C++ comment syntax + leading_spaces = float('inf') + for s in comment.expandtabs(tabsize=4).splitlines(): + s = s.strip() + if s.startswith('/*'): + s = s[2:].lstrip('*') + elif s.endswith('*/'): + s = s[:-2].rstrip('*') + elif s.startswith('///'): + s = s[3:] + if s.startswith('*'): + s = s[1:] + if len(s) > 0: + leading_spaces = min(leading_spaces, len(s) - len(s.lstrip())) + result += s + '\n' + + if leading_spaces != float('inf'): + result2 = "" + for s in result.splitlines(): + result2 += s[leading_spaces:] + '\n' + result = result2 + + # Doxygen tags + cpp_group = '([\w:]+)' + param_group = '([\[\w:\]]+)' + + s = result + s = re.sub(r'\\c\s+%s' % cpp_group, r'``\1``', s) + s = re.sub(r'\\a\s+%s' % cpp_group, r'*\1*', s) + s = re.sub(r'\\e\s+%s' % cpp_group, r'*\1*', s) + s = re.sub(r'\\em\s+%s' % cpp_group, r'*\1*', s) + s = re.sub(r'\\b\s+%s' % cpp_group, r'**\1**', s) + s = re.sub(r'\\ingroup\s+%s' % cpp_group, r'', s) + s = re.sub(r'\\param%s?\s+%s' % (param_group, cpp_group), + r'\n\n$Parameter ``\2``:\n\n', s) + s = re.sub(r'\\tparam%s?\s+%s' % (param_group, cpp_group), + r'\n\n$Template parameter ``\2``:\n\n', s) + + for in_, out_ in { + 'return': 'Returns', + 'author': 'Author', + 'authors': 'Authors', + 'copyright': 'Copyright', + 'date': 'Date', + 'remark': 'Remark', + 'sa': 'See also', + 'see': 'See also', + 'extends': 'Extends', + 'throw': 'Throws', + 'throws': 'Throws' + }.items(): + s = re.sub(r'\\%s\s*' % in_, r'\n\n$%s:\n\n' % out_, s) + + s = re.sub(r'\\details\s*', r'\n\n', s) + s = re.sub(r'\\brief\s*', r'', s) + s = re.sub(r'\\short\s*', r'', s) + s = re.sub(r'\\ref\s*', r'', s) + + s = re.sub(r'\\code\s?(.*?)\s?\\endcode', + r"```\n\1\n```\n", s, flags=re.DOTALL) + + # HTML/TeX tags + s = re.sub(r'(.*?)', r'``\1``', s, flags=re.DOTALL) + s = re.sub(r'
(.*?)
', r"```\n\1\n```\n", s, flags=re.DOTALL) + s = re.sub(r'(.*?)', r'*\1*', s, flags=re.DOTALL) + s = re.sub(r'(.*?)', r'**\1**', s, flags=re.DOTALL) + s = re.sub(r'\\f\$(.*?)\\f\$', r'$\1$', s, flags=re.DOTALL) + s = re.sub(r'
  • ', r'\n\n* ', s) + s = re.sub(r'', r'', s) + s = re.sub(r'
  • ', r'\n\n', s) + + s = s.replace('``true``', '``True``') + s = s.replace('``false``', '``False``') + + # Re-flow text + wrapper = textwrap.TextWrapper() + wrapper.expand_tabs = True + wrapper.replace_whitespace = True + wrapper.drop_whitespace = True + wrapper.width = 70 + wrapper.initial_indent = wrapper.subsequent_indent = '' + + result = '' + in_code_segment = False + for x in re.split(r'(```)', s): + if x == '```': + if not in_code_segment: + result += '```\n' + else: + result += '\n```\n\n' + in_code_segment = not in_code_segment + elif in_code_segment: + result += x.strip() + else: + for y in re.split(r'(?: *\n *){2,}', x): + wrapped = wrapper.fill(re.sub(r'\s+', ' ', y).strip()) + if len(wrapped) > 0 and wrapped[0] == '$': + result += wrapped[1:] + '\n' + wrapper.initial_indent = \ + wrapper.subsequent_indent = ' ' * 4 + else: + if len(wrapped) > 0: + result += wrapped + '\n\n' + wrapper.initial_indent = wrapper.subsequent_indent = '' + return result.rstrip().lstrip('\n') + + +def extract(filename, node, prefix, output): + if not (node.location.file is None or + os.path.samefile(d(node.location.file.name), filename)): + return 0 + if node.kind in RECURSE_LIST: + sub_prefix = prefix + if node.kind not in PREFIX_BLACKLIST: + if len(sub_prefix) > 0: + sub_prefix += '_' + sub_prefix += d(node.spelling) + for i in node.get_children(): + extract(filename, i, sub_prefix, output) + if node.kind in PRINT_LIST: + comment = d(node.raw_comment) if node.raw_comment is not None else '' + comment = process_comment(comment) + sub_prefix = prefix + if len(sub_prefix) > 0: + sub_prefix += '_' + if len(node.spelling) > 0: + name = sanitize_name(sub_prefix + d(node.spelling)) + output.append((name, filename, comment)) + + +class ExtractionThread(Thread): + def __init__(self, filename, parameters, output): + Thread.__init__(self) + self.filename = filename + self.parameters = parameters + self.output = output + job_semaphore.acquire() + + def run(self): + print('Processing "%s" ..' % self.filename, file=sys.stderr) + try: + index = cindex.Index( + cindex.conf.lib.clang_createIndex(False, True)) + tu = index.parse(self.filename, self.parameters) + extract(self.filename, tu.cursor, '', self.output) + finally: + job_semaphore.release() + + +def read_args(args): + parameters = [] + filenames = [] + if "-x" not in args: + parameters.extend(['-x', 'c++']) + if not any(it.startswith("-std=") for it in args): + parameters.append('-std=c++11') + + if platform.system() == 'Darwin': + dev_path = '/Applications/Xcode.app/Contents/Developer/' + lib_dir = dev_path + 'Toolchains/XcodeDefault.xctoolchain/usr/lib/' + sdk_dir = dev_path + 'Platforms/MacOSX.platform/Developer/SDKs' + libclang = lib_dir + 'libclang.dylib' + + if os.path.exists(libclang): + cindex.Config.set_library_path(os.path.dirname(libclang)) + + if os.path.exists(sdk_dir): + sysroot_dir = os.path.join(sdk_dir, next(os.walk(sdk_dir))[1][0]) + parameters.append('-isysroot') + parameters.append(sysroot_dir) + elif platform.system() == 'Linux': + # clang doesn't find its own base includes by default on Linux, + # but different distros install them in different paths. + # Try to autodetect, preferring the highest numbered version. + def clang_folder_version(d): + return [int(ver) for ver in re.findall(r'(?:${PYBIND11_CPP_STANDARD}>) + endif() + + get_property(_iid TARGET ${PN}::pybind11 PROPERTY INTERFACE_INCLUDE_DIRECTORIES) + get_property(_ill TARGET ${PN}::module PROPERTY INTERFACE_LINK_LIBRARIES) + set(${PN}_INCLUDE_DIRS ${_iid}) + set(${PN}_LIBRARIES ${_ico} ${_ill}) +endif() +endif() diff --git a/external/pybind11/tools/pybind11Tools.cmake b/external/pybind11/tools/pybind11Tools.cmake new file mode 100644 index 0000000..c7156c0 --- /dev/null +++ b/external/pybind11/tools/pybind11Tools.cmake @@ -0,0 +1,227 @@ +# tools/pybind11Tools.cmake -- Build system for the pybind11 modules +# +# Copyright (c) 2015 Wenzel Jakob +# +# All rights reserved. Use of this source code is governed by a +# BSD-style license that can be found in the LICENSE file. + +cmake_minimum_required(VERSION 2.8.12) + +# Add a CMake parameter for choosing a desired Python version +if(NOT PYBIND11_PYTHON_VERSION) + set(PYBIND11_PYTHON_VERSION "" CACHE STRING "Python version to use for compiling modules") +endif() + +set(Python_ADDITIONAL_VERSIONS 3.7 3.6 3.5 3.4) +find_package(PythonLibsNew ${PYBIND11_PYTHON_VERSION} REQUIRED) + +include(CheckCXXCompilerFlag) +include(CMakeParseArguments) + +if(NOT PYBIND11_CPP_STANDARD AND NOT CMAKE_CXX_STANDARD) + if(NOT MSVC) + check_cxx_compiler_flag("-std=c++14" HAS_CPP14_FLAG) + + if (HAS_CPP14_FLAG) + set(PYBIND11_CPP_STANDARD -std=c++14) + else() + check_cxx_compiler_flag("-std=c++11" HAS_CPP11_FLAG) + if (HAS_CPP11_FLAG) + set(PYBIND11_CPP_STANDARD -std=c++11) + else() + message(FATAL_ERROR "Unsupported compiler -- pybind11 requires C++11 support!") + endif() + endif() + elseif(MSVC) + set(PYBIND11_CPP_STANDARD /std:c++14) + endif() + + set(PYBIND11_CPP_STANDARD ${PYBIND11_CPP_STANDARD} CACHE STRING + "C++ standard flag, e.g. -std=c++11, -std=c++14, /std:c++14. Defaults to C++14 mode." FORCE) +endif() + +# Checks whether the given CXX/linker flags can compile and link a cxx file. cxxflags and +# linkerflags are lists of flags to use. The result variable is a unique variable name for each set +# of flags: the compilation result will be cached base on the result variable. If the flags work, +# sets them in cxxflags_out/linkerflags_out internal cache variables (in addition to ${result}). +function(_pybind11_return_if_cxx_and_linker_flags_work result cxxflags linkerflags cxxflags_out linkerflags_out) + set(CMAKE_REQUIRED_LIBRARIES ${linkerflags}) + check_cxx_compiler_flag("${cxxflags}" ${result}) + if (${result}) + set(${cxxflags_out} "${cxxflags}" CACHE INTERNAL "" FORCE) + set(${linkerflags_out} "${linkerflags}" CACHE INTERNAL "" FORCE) + endif() +endfunction() + +# Internal: find the appropriate link time optimization flags for this compiler +function(_pybind11_add_lto_flags target_name prefer_thin_lto) + if (NOT DEFINED PYBIND11_LTO_CXX_FLAGS) + set(PYBIND11_LTO_CXX_FLAGS "" CACHE INTERNAL "") + set(PYBIND11_LTO_LINKER_FLAGS "" CACHE INTERNAL "") + + if(CMAKE_CXX_COMPILER_ID MATCHES "GNU|Clang") + set(cxx_append "") + set(linker_append "") + if (CMAKE_CXX_COMPILER_ID MATCHES "Clang" AND NOT APPLE) + # Clang Gold plugin does not support -Os; append -O3 to MinSizeRel builds to override it + set(linker_append ";$<$:-O3>") + elseif(CMAKE_CXX_COMPILER_ID MATCHES "GNU") + set(cxx_append ";-fno-fat-lto-objects") + endif() + + if (CMAKE_CXX_COMPILER_ID MATCHES "Clang" AND prefer_thin_lto) + _pybind11_return_if_cxx_and_linker_flags_work(HAS_FLTO_THIN + "-flto=thin${cxx_append}" "-flto=thin${linker_append}" + PYBIND11_LTO_CXX_FLAGS PYBIND11_LTO_LINKER_FLAGS) + endif() + + if (NOT HAS_FLTO_THIN) + _pybind11_return_if_cxx_and_linker_flags_work(HAS_FLTO + "-flto${cxx_append}" "-flto${linker_append}" + PYBIND11_LTO_CXX_FLAGS PYBIND11_LTO_LINKER_FLAGS) + endif() + elseif (CMAKE_CXX_COMPILER_ID MATCHES "Intel") + # Intel equivalent to LTO is called IPO + _pybind11_return_if_cxx_and_linker_flags_work(HAS_INTEL_IPO + "-ipo" "-ipo" PYBIND11_LTO_CXX_FLAGS PYBIND11_LTO_LINKER_FLAGS) + elseif(MSVC) + # cmake only interprets libraries as linker flags when they start with a - (otherwise it + # converts /LTCG to \LTCG as if it was a Windows path). Luckily MSVC supports passing flags + # with - instead of /, even if it is a bit non-standard: + _pybind11_return_if_cxx_and_linker_flags_work(HAS_MSVC_GL_LTCG + "/GL" "-LTCG" PYBIND11_LTO_CXX_FLAGS PYBIND11_LTO_LINKER_FLAGS) + endif() + + if (PYBIND11_LTO_CXX_FLAGS) + message(STATUS "LTO enabled") + else() + message(STATUS "LTO disabled (not supported by the compiler and/or linker)") + endif() + endif() + + # Enable LTO flags if found, except for Debug builds + if (PYBIND11_LTO_CXX_FLAGS) + target_compile_options(${target_name} PRIVATE "$<$>:${PYBIND11_LTO_CXX_FLAGS}>") + endif() + if (PYBIND11_LTO_LINKER_FLAGS) + target_link_libraries(${target_name} PRIVATE "$<$>:${PYBIND11_LTO_LINKER_FLAGS}>") + endif() +endfunction() + +# Build a Python extension module: +# pybind11_add_module( [MODULE | SHARED] [EXCLUDE_FROM_ALL] +# [NO_EXTRAS] [SYSTEM] [THIN_LTO] source1 [source2 ...]) +# +function(pybind11_add_module target_name) + set(options MODULE SHARED EXCLUDE_FROM_ALL NO_EXTRAS SYSTEM THIN_LTO) + cmake_parse_arguments(ARG "${options}" "" "" ${ARGN}) + + if(ARG_MODULE AND ARG_SHARED) + message(FATAL_ERROR "Can't be both MODULE and SHARED") + elseif(ARG_SHARED) + set(lib_type SHARED) + else() + set(lib_type MODULE) + endif() + + if(ARG_EXCLUDE_FROM_ALL) + set(exclude_from_all EXCLUDE_FROM_ALL) + endif() + + add_library(${target_name} ${lib_type} ${exclude_from_all} ${ARG_UNPARSED_ARGUMENTS}) + + if(ARG_SYSTEM) + set(inc_isystem SYSTEM) + endif() + + target_include_directories(${target_name} ${inc_isystem} + PRIVATE ${PYBIND11_INCLUDE_DIR} # from project CMakeLists.txt + PRIVATE ${pybind11_INCLUDE_DIR} # from pybind11Config + PRIVATE ${PYTHON_INCLUDE_DIRS}) + + # Python debug libraries expose slightly different objects + # https://docs.python.org/3.6/c-api/intro.html#debugging-builds + # https://stackoverflow.com/questions/39161202/how-to-work-around-missing-pymodule-create2-in-amd64-win-python35-d-lib + if(PYTHON_IS_DEBUG) + target_compile_definitions(${target_name} PRIVATE Py_DEBUG) + endif() + + # The prefix and extension are provided by FindPythonLibsNew.cmake + set_target_properties(${target_name} PROPERTIES PREFIX "${PYTHON_MODULE_PREFIX}") + set_target_properties(${target_name} PROPERTIES SUFFIX "${PYTHON_MODULE_EXTENSION}") + + # -fvisibility=hidden is required to allow multiple modules compiled against + # different pybind versions to work properly, and for some features (e.g. + # py::module_local). We force it on everything inside the `pybind11` + # namespace; also turning it on for a pybind module compilation here avoids + # potential warnings or issues from having mixed hidden/non-hidden types. + set_target_properties(${target_name} PROPERTIES CXX_VISIBILITY_PRESET "hidden") + set_target_properties(${target_name} PROPERTIES CUDA_VISIBILITY_PRESET "hidden") + + if(WIN32 OR CYGWIN) + # Link against the Python shared library on Windows + target_link_libraries(${target_name} PRIVATE ${PYTHON_LIBRARIES}) + elseif(APPLE) + # It's quite common to have multiple copies of the same Python version + # installed on one's system. E.g.: one copy from the OS and another copy + # that's statically linked into an application like Blender or Maya. + # If we link our plugin library against the OS Python here and import it + # into Blender or Maya later on, this will cause segfaults when multiple + # conflicting Python instances are active at the same time (even when they + # are of the same version). + + # Windows is not affected by this issue since it handles DLL imports + # differently. The solution for Linux and Mac OS is simple: we just don't + # link against the Python library. The resulting shared library will have + # missing symbols, but that's perfectly fine -- they will be resolved at + # import time. + + target_link_libraries(${target_name} PRIVATE "-undefined dynamic_lookup") + + if(ARG_SHARED) + # Suppress CMake >= 3.0 warning for shared libraries + set_target_properties(${target_name} PROPERTIES MACOSX_RPATH ON) + endif() + endif() + + # Make sure C++11/14 are enabled + if(CMAKE_VERSION VERSION_LESS 3.3) + target_compile_options(${target_name} PUBLIC ${PYBIND11_CPP_STANDARD}) + else() + target_compile_options(${target_name} PUBLIC $<$:${PYBIND11_CPP_STANDARD}>) + endif() + + if(ARG_NO_EXTRAS) + return() + endif() + + _pybind11_add_lto_flags(${target_name} ${ARG_THIN_LTO}) + + if (NOT MSVC AND NOT ${CMAKE_BUILD_TYPE} MATCHES Debug|RelWithDebInfo) + # Strip unnecessary sections of the binary on Linux/Mac OS + if(CMAKE_STRIP) + if(APPLE) + add_custom_command(TARGET ${target_name} POST_BUILD + COMMAND ${CMAKE_STRIP} -x $) + else() + add_custom_command(TARGET ${target_name} POST_BUILD + COMMAND ${CMAKE_STRIP} $) + endif() + endif() + endif() + + if(MSVC) + # /MP enables multithreaded builds (relevant when there are many files), /bigobj is + # needed for bigger binding projects due to the limit to 64k addressable sections + target_compile_options(${target_name} PRIVATE /bigobj) + if(CMAKE_VERSION VERSION_LESS 3.11) + target_compile_options(${target_name} PRIVATE $<$>:/MP>) + else() + # Only set these options for C++ files. This is important so that, for + # instance, projects that include other types of source files like CUDA + # .cu files don't get these options propagated to nvcc since that would + # cause the build to fail. + target_compile_options(${target_name} PRIVATE $<$>:$<$:/MP>>) + endif() + endif() +endfunction() diff --git a/opae-libs/CMakeLists.txt b/opae-libs/CMakeLists.txt new file mode 100644 index 0000000..ab9b075 --- /dev/null +++ b/opae-libs/CMakeLists.txt @@ -0,0 +1,138 @@ +## Copyright(c) 2017-2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +cmake_minimum_required(VERSION 2.8.12) +project(opae-libs) + +set(OPAE_VERSION_MAJOR 1 CACHE STRING "OPAE major version" FORCE) +set(OPAE_VERSION_MINOR 4 CACHE STRING "OPAE minor version" FORCE) +set(OPAE_VERSION_REVISION 1 CACHE STRING "OPAE revision version" FORCE) +set(OPAE_VERSION ${OPAE_VERSION_MAJOR}.${OPAE_VERSION_MINOR}.${OPAE_VERSION_REVISION} + CACHE STRING "OPAE version" FORCE) + +set(OPAE_LIBS_ROOT ${CMAKE_CURRENT_SOURCE_DIR} CACHE PATH "Root directory of opae-libs project" FORCE) +set(OPAE_INCLUDE_PATH ${OPAE_LIBS_ROOT}/include CACHE PATH "Include directory for opae-libs") + +set(CMAKE_MODULE_PATH ${CMAKE_MODULE_PATH} "${OPAE_LIBS_ROOT}/cmake/modules") + +############################################################################ +## Python Interpreter/Build Env ########################################### +############################################################################ +set(OPAE_PYTHON_VERSION 2.7 CACHE STRING "Python version to use for building/distributing pyopae") +set_property(CACHE OPAE_PYTHON_VERSION PROPERTY STRINGS 2.7 3.6 3.5 3.4 3.3) +find_package(PythonInterp ${OPAE_PYTHON_VERSION}) +find_package(PythonLibs ${OPAE_PYTHON_VERSION}) + +option(OPAE_BUILD_TESTS "Enable building of OPAE unit tests" OFF) +mark_as_advanced(OPAE_BUILD_TESTS) + +include(OPAE) + +get_property(LIB64 GLOBAL PROPERTY FIND_LIBRARY_USE_LIB64_PATHS) +if ("${LIB64}" STREQUAL "TRUE") + set(OPAE_LIB_INSTALL_DIR "lib64" CACHE INTERNAL "arch specific library") +else() + set(OPAE_LIB_INSTALL_DIR "lib" CACHE INTERNAL "arch specific library") +endif() + +############################################################################ +## RPATH Handling ########################################################## +############################################################################ +option(OPAE_INSTALL_RPATH "Enable to create RPATH during install" OFF) +mark_as_advanced(OPAE_INSTALL_RPATH) + +set(CMAKE_SKIP_BUILD_RPATH FALSE) +if(OPAE_INSTALL_RPATH) + set(CMAKE_BUILD_WITH_INSTALL_RPATH FALSE) + set(CMAKE_INSTALL_RPATH "${CMAKE_INSTALL_PREFIX}/${OPAE_LIB_INSTALL_DIR}") + set(CMAKE_INSTALL_RPATH_USE_LINK_PATH TRUE) +endif() + +############################################################################ +## Place all executables and libraries under the same directories ########## +############################################################################ +set(EXECUTABLE_OUTPUT_PATH ${CMAKE_BINARY_DIR}/bin CACHE PATH "Exe Build directory" FORCE) +set(LIBRARY_OUTPUT_PATH ${CMAKE_BINARY_DIR}/lib CACHE PATH "Lib Build directory" FORCE) + +############################################################################ +## Conditional Build Steps ################################################# +############################################################################ +option(OPAE_BUILD_LIBOPAE_CXX "Enable building of C++ bindings around libopae-c." ON) +mark_as_advanced(OPAE_BUILD_LIBOPAE_CXX) + +option(OPAE_BUILD_LIBOPAE_PY "Enable building of Python bindings around libopae-cxx-core." ON) +mark_as_advanced(OPAE_BUILD_LIBOPAE_PY) + +option(OPAE_BUILD_PYTHON_DIST "Enable building of Python source and binary distribution" OFF) +mark_as_advanced(OPAE_BUILD_PYTHON_DIST) + +option(OPAE_ENABLE_MOCK "Enable building of test infrastructure with mock" OFF) +mark_as_advanced(OPAE_ENABLE_MOCK) + +option(OPAE_BUILD_SIM "Enable building of the AFU simulation environment" OFF) +mark_as_advanced(OPAE_BUILD_SIM) + +option(OPAE_PRESERVE_REPOS "Disable refresh of external repos" OFF) +mark_as_advanced(OPAE_PRESERVE_REPOS) + +if(OPAE_BUILD_TESTS) + option(OPAE_TEST_TAG "Desired branch for opae-test" master) + mark_as_advanced(OPAE_TEST_TAG) +endif(OPAE_BUILD_TESTS) + +if(OPAE_BUILD_SIM) + option(OPAE_SIM_TAG "Desired branch for opae-sim" master) + mark_as_advanced(OPAE_SIM_TAG) +endif(OPAE_BUILD_SIM) + +############################################################################ +## Add Subdirectories ###################################################### +############################################################################ + +opae_add_subdirectory(external) +opae_add_subdirectory(libopae-c) +opae_add_subdirectory(libbitstream) +opae_add_subdirectory(plugins) + +if(OPAE_BUILD_LIBOPAE_CXX) + opae_add_subdirectory(libopaecxx) +endif() + +if(OPAE_BUILD_LIBOPAE_PY AND OPAE_BUILD_LIBOPAE_CXX) + opae_add_subdirectory(pyopae) +endif() + +if(OPAE_BUILD_TESTS) + enable_testing() + opae_add_subdirectory(tests) +endif(OPAE_BUILD_TESTS) + +install(DIRECTORY include/opae + DESTINATION include + COMPONENT libopaeheaders) + +configure_file("${CMAKE_CURRENT_SOURCE_DIR}/cmake/config/config.h.in" + "${CMAKE_BINARY_DIR}/include/config.h") diff --git a/opae-libs/LICENSE b/opae-libs/LICENSE new file mode 100644 index 0000000..b1e4945 --- /dev/null +++ b/opae-libs/LICENSE @@ -0,0 +1,29 @@ +BSD 3-Clause License + +Copyright (c) 2020, OPAE +All rights reserved. + +Redistribution and use in source and binary forms, with or without +modification, are permitted provided that the following conditions are met: + +1. Redistributions of source code must retain the above copyright notice, this + list of conditions and the following disclaimer. + +2. Redistributions in binary form must reproduce the above copyright notice, + this list of conditions and the following disclaimer in the documentation + and/or other materials provided with the distribution. + +3. Neither the name of the copyright holder nor the names of its + contributors may be used to endorse or promote products derived from + this software without specific prior written permission. + +THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE +DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE +FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL +DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR +SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER +CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, +OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE +OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. diff --git a/opae-libs/README.md b/opae-libs/README.md new file mode 100644 index 0000000..04f41ac --- /dev/null +++ b/opae-libs/README.md @@ -0,0 +1 @@ +# opae-libs \ No newline at end of file diff --git a/opae-libs/cmake/config/config.h.in b/opae-libs/cmake/config/config.h.in new file mode 100644 index 0000000..14f44d1 --- /dev/null +++ b/opae-libs/cmake/config/config.h.in @@ -0,0 +1,250 @@ +/* config.h.in */ + +/* 1234 = LIL_ENDIAN, 4231 = BIG_ENDIAN */ +#cmakedefine BYTEORDER @BYTEORDER@ + +/* Define to 1 if you have the bcopy function. */ +#cmakedefine HAVE_BCOPY 1 + +/* Define to 1 if you have the strlcat function. */ +#cmakedefine HAVE_STRLCAT 1 + +/* Define to 1 if you have the strlcpy function. */ +#cmakedefine HAVE_STRLCPY 1 + +/* Define to 1 if you have the header file. */ +#cmakedefine HAVE_CTYPE_H 1 + +/* Define to 1 if you have the header file. */ +#cmakedefine HAVE_DLFCN_H 1 + +/* Define to 1 if you have the header file. */ +#cmakedefine HAVE_ERRNO_H 1 + +/* Define to 1 if you have the header file. */ +#cmakedefine HAVE_FCNTL_H 1 + +/* Define to 1 if you have the `getpagesize' function. */ +#cmakedefine HAVE_GETPAGESIZE 1 + +/* Define to 1 if you have the `gettimeofday' function. */ +#cmakedefine HAVE_GETTIMEOFDAY 1 + +/* Define to 1 if you have the header file. */ +#cmakedefine HAVE_INTTYPES_H 1 + +/* Define to 1 if you have the `ltdl' library (-lltdl). */ +#cmakedefine HAVE_LIBLTDL 1 + +/* Define to 1 if you have the `pthread' library (-lpthread). */ +#cmakedefine HAVE_LIBPTHREAD 1 + +/* Define to 1 if you have the `rt' library (-lrt). */ +#cmakedefine HAVE_LIBRT 1 + +/* Define to 1 if you have the header file. */ +#cmakedefine HAVE_LTDL_H 1 + +/* Define to 1 if you have the header file. */ +#cmakedefine HAVE_MEMORY_H 1 + +/* Define to 1 if you have the `memset' function. */ +#cmakedefine HAVE_MEMSET 1 + +/* Define to 1 if you have a working `mmap' system call. */ +#cmakedefine HAVE_MMAP 1 + +/* Define to 1 if you have the `munmap' function. */ +#cmakedefine HAVE_MUNMAP 1 + +/* Define to 1 if you have the header file. */ +#cmakedefine HAVE_PTHREAD_H 1 + +/* Define to 1 if stdbool.h conforms to C99. */ +#cmakedefine HAVE_STDBOOL_H 1 + +/* Define to 1 if you have the header file. */ +#cmakedefine HAVE_STDDEF_H 1 + +/* Define to 1 if you have the header file. */ +#cmakedefine HAVE_STDINT_H 1 + +/* Define to 1 if you have the header file. */ +#cmakedefine HAVE_STDLIB_H 1 + +/* Define to 1 if you have the `strchr' function. */ +#cmakedefine HAVE_STRCHR 1 + +/* Define to 1 if you have the `strerror' function. */ +#cmakedefine HAVE_STRERROR 1 + +/* Define to 1 if you have the header file. */ +#cmakedefine HAVE_STRINGS_H 1 + +/* Define to 1 if you have the header file. */ +#cmakedefine HAVE_STRING_H 1 + +/* Define to 1 if you have the `strncpy' function. */ +#cmakedefine HAVE_STRNCPY 1 + +/* Define to 1 if the system has the type `struct timespec'. */ +#cmakedefine HAVE_STRUCT_TIMESPEC 1 + +/* Define to 1 if the system has the type `struct timeval'. */ +#cmakedefine HAVE_STRUCT_TIMEVAL 1 + +/* Define to 1 if you have the header file. */ +#cmakedefine HAVE_SYS_IOCTL_H 1 + +/* Define to 1 if you have the header file. */ +#cmakedefine HAVE_SYS_MMAN_H 1 + +/* Define to 1 if you have the header file. */ +#cmakedefine HAVE_SYS_PARAM_H 1 + +/* Define to 1 if you have the header file. */ +#cmakedefine HAVE_SYS_RESOURCE_H 1 + +/* Define to 1 if you have the header file. */ +#cmakedefine HAVE_SYS_STAT_H 1 + +/* Define to 1 if you have the header file. */ +#cmakedefine HAVE_SYS_TIME_H 1 + +/* Define to 1 if you have the header file. */ +#cmakedefine HAVE_SYS_TYPES_H 1 + +/* Define to 1 if you have the header file. */ +#cmakedefine HAVE_TIME_H 1 + +/* Define to 1 if the system has the type `uintptr_t'. */ +#cmakedefine HAVE_UINTPTR_T 1 + +/* Define to 1 if you have the header file. */ +#cmakedefine HAVE_UNISTD_H 1 + +/* Define to 1 if the system has the type `_Bool'. */ +#cmakedefine HAVE__BOOL 1 + +/* Define to 1 if your C compiler doesn't accept -c and -o together. */ +#cmakedefine NO_MINUS_C_MINUS_O 1 + +/* Name of package */ +#cmakedefine PACKAGE @PACKAGE@ + +/* Define to the address where bug reports for this package should be sent. */ +#cmakedefine PACKAGE_BUGREPORT @PACKAGE_BUGREPORT@ + +/* Define to the full name of this package. */ +#cmakedefine PACKAGE_NAME @PACKAGE_NAME@ + +/* Define to the full name and version of this package. */ +#cmakedefine PACKAGE_STRING @PACKAGE_STRING@ + +/* Define to the one symbol short name of this package. */ +#cmakedefine PACKAGE_TARNAME @PACKAGE_TARNAME@ + +/* Define to the home page for this package. */ +#cmakedefine PACKAGE_URL @PACKAGE_URL@ + +/* Define to the version of this package. */ +#cmakedefine PACKAGE_VERSION "@PACKAGE_VERSION@" + +/* Define to the version of this package. */ +#cmakedefine VERSION "@PACKAGE_VERSION@" + +/* Define to 1 if you have the ANSI C header files. */ +#cmakedefine STDC_HEADERS 1 + +/* Define for Solaris 2.5.1 so the uint32_t typedef from , + , or is not used. If the typedef were allowed, the + #define below would cause a syntax error. */ +#cmakedefine _UINT32_T + +/* Define for Solaris 2.5.1 so the uint64_t typedef from , + , or is not used. If the typedef were allowed, the + #define below would cause a syntax error. */ +#cmakedefine _UINT64_T + +/* Define for Solaris 2.5.1 so the uint8_t typedef from , + , or is not used. If the typedef were allowed, the + #define below would cause a syntax error. */ +#cmakedefine _UINT8_T + +/* Define to `__inline__' or `__inline' if that's what the C compiler + calls it, or to nothing if 'inline' is not supported under any name. */ +#ifndef __cplusplus +#cmakedefine inline +#endif + +/* Define to the type of a signed integer type of width exactly 16 bits if + such a type exists and the standard includes do not define it. */ +#cmakedefine int16_t + +/* Define to the type of a signed integer type of width exactly 32 bits if + such a type exists and the standard includes do not define it. */ +#cmakedefine int32_t + +/* Define to the type of a signed integer type of width exactly 64 bits if + such a type exists and the standard includes do not define it. */ +#cmakedefine int64_t + +/* Define to the type of a signed integer type of width exactly 8 bits if such + a type exists and the standard includes do not define it. */ +#cmakedefine int8_t + +/* Define to `long int' if does not define. */ +#cmakedefine off_t @OFF_T@ + +/* Define to `unsigned int' if does not define. */ +#cmakedefine size_t @SIZE_T@ + +/* Define to the type of an unsigned integer type of width exactly 16 bits if + such a type exists and the standard includes do not define it. */ +#cmakedefine uint16_t + +/* Define to the type of an unsigned integer type of width exactly 32 bits if + such a type exists and the standard includes do not define it. */ +#cmakedefine uint32_t + +/* Define to the type of an unsigned integer type of width exactly 64 bits if + such a type exists and the standard includes do not define it. */ +#cmakedefine uint64_t + +/* Define to the type of an unsigned integer type of width exactly 8 bits if + such a type exists and the standard includes do not define it. */ +#cmakedefine uint8_t + +/* Define to the type of an unsigned integer type wide enough to hold a + pointer, if such a type exists, and if the system does not define it. */ +#cmakedefine uintptr_t + +#define OPAE_VERSION_MAJOR @OPAE_VERSION_MAJOR@ +#define OPAE_VERSION_MINOR @OPAE_VERSION_MINOR@ +#define OPAE_VERSION_REVISION @OPAE_VERSION_REVISION@ +#cmakedefine OPAE_VERSION "@OPAE_VERSION@" + +#cmakedefine OPAE_GIT_COMMIT_HASH "@OPAE_GIT_COMMIT_HASH@" +#define OPAE_GIT_SRC_TREE_DIRTY @OPAE_GIT_SRC_TREE_DIRTY@ + +#define OPAE_ASE_CFG_SRC_PATH "@CMAKE_CURRENT_SOURCE_DIR@/libopae/plugins/ase/opae_ase.cfg" +#define OPAE_ASE_CFG_INST_PATH "@CMAKE_INSTALL_PREFIX@/share/opae/ase/opae_ase.cfg" + +#define OPAE_MODULE_SEARCH_PATHS \ + "@CMAKE_INSTALL_PREFIX@/lib64/opae/", \ + "@CMAKE_INSTALL_PREFIX@/lib/opae/", \ + "/usr/lib64/opae/", \ + "/usr/lib/opae/", \ + "" + +#ifndef STATIC +#define STATIC static +#endif + +#ifndef __XFPGA_API__ +#define __XFPGA_API__ +#endif + +#ifndef __OPAE_API__ +#define __OPAE_API__ +#endif diff --git a/opae-libs/cmake/modules/FindHwloc.cmake b/opae-libs/cmake/modules/FindHwloc.cmake new file mode 100644 index 0000000..bb9a4a5 --- /dev/null +++ b/opae-libs/cmake/modules/FindHwloc.cmake @@ -0,0 +1,42 @@ +#!/usr/bin/cmake -P +## Copyright(c) 2018-2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE + +find_package(PkgConfig) +pkg_check_modules(PC_HWLOC QUIET hwloc) + +find_library(HWLOC_LIBRARIES + NAMES hwloc + HINTS ${PC_HWLOC_LIBDIR} + ${PC_HWLOC_LIBRARY_DIRS}) + +find_path(HWLOC_INCLUDE_DIRS + NAMES hwloc.h + HINTS ${PC_HWLOC_INCLUDEDIR} + ${PC_HWLOC_INCLUDE_DIRS}) + +include(FindPackageHandleStandardArgs) +find_package_handle_standard_args(HWLOC REQUIRED_VARS HWLOC_INCLUDE_DIRS HWLOC_LIBRARIES) diff --git a/opae-libs/cmake/modules/FindUUID.cmake b/opae-libs/cmake/modules/FindUUID.cmake new file mode 100644 index 0000000..30cfb1f --- /dev/null +++ b/opae-libs/cmake/modules/FindUUID.cmake @@ -0,0 +1,70 @@ +#!/usr/bin/cmake -P +## Copyright(c) 2017-2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE + +# - Try to find uuid +# Once done, this will define +# +# libuuid_FOUND - system has libuuid +# libuuid_INCLUDE_DIRS - the libuuid include directories +# libuuid_LIBRARIES - link these to use libuuid + +find_package(PkgConfig) +pkg_check_modules(PC_UUID QUIET uuid) + +# Use pkg-config to get hints about paths +execute_process(COMMAND pkg-config --cflags uuid --silence-errors + COMMAND cut -d I -f 2 + OUTPUT_VARIABLE UUID_PKG_CONFIG_INCLUDE_DIRS + OUTPUT_STRIP_TRAILING_WHITESPACE) +set(UUID_PKG_CONFIG_INCLUDE_DIRS "${UUID_PKG_CONFIG_INCLUDE_DIRS}" CACHE STRING "Compiler flags for UUID library") + +# Include dir +find_path(libuuid_INCLUDE_DIRS + NAMES uuid/uuid.h + PATHS ${LIBUUID_ROOT}/include + ${UUID_PKG_CONFIG_INCLUDE_DIRS} + /usr/local/include + /usr/include + ${CMAKE_EXTRA_INCLUDES}) + +# The library itself +find_library(libuuid_LIBRARIES + NAMES uuid + PATHS ${LIBUUID_ROOT}/lib + ${PC_UUID_LIBDIR} + ${PC_UUID_LIBRARY_DIRS} + /usr/local/lib + /usr/lib + /lib + ${CMAKE_EXTRA_LIBS}) + +if(libuuid_LIBRARIES AND libuuid_INCLUDE_DIRS) + set(libuuid_FOUND true) +endif(libuuid_LIBRARIES AND libuuid_INCLUDE_DIRS) + +include(FindPackageHandleStandardArgs) +find_package_handle_standard_args(libuuid REQUIRED_VARS libuuid_INCLUDE_DIRS libuuid_LIBRARIES) diff --git a/opae-libs/cmake/modules/Findjson-c.cmake b/opae-libs/cmake/modules/Findjson-c.cmake new file mode 100644 index 0000000..f7868f1 --- /dev/null +++ b/opae-libs/cmake/modules/Findjson-c.cmake @@ -0,0 +1,71 @@ +#!/usr/bin/cmake -P +## Copyright(c) 2017-2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +# - Try to find libjson-c +# Once done, this will define +# +# libjson-c_FOUND - system has libjson-c +# libjson-c_INCLUDE_DIRS - the libjson-c include directories +# libjson-c_LIBRARIES - link these to use libjson-c + +find_package(PkgConfig) +pkg_check_modules(PC_JSON_C QUIET json-c) + +# Use pkg-config to get hints about paths +execute_process(COMMAND pkg-config --cflags json-c --silence-errors + COMMAND cut -d I -f 2 + OUTPUT_VARIABLE JSON-C_PKG_CONFIG_INCLUDE_DIRS + OUTPUT_STRIP_TRAILING_WHITESPACE) +set(JSON-C_PKG_CONFIG_INCLUDE_DIRS "${JSON-C_PKG_CONFIG_INCLUDE_DIRS}" CACHE STRING "Compiler flags for JSON-C library") + +# Include dir +find_path(libjson-c_INCLUDE_DIRS + NAMES json-c/json.h + PATHS ${LIBJSON-C_ROOT}/include + ${JSON-C_PKG_CONFIG_INCLUDE_DIRS} + /usr/local/include + /usr/include + ${CMAKE_EXTRA_INCLUDES}) + +# The library itself +find_library(libjson-c_LIBRARIES + NAMES json-c + PATHS ${LIBJSON-C_ROOT}/lib + ${PC_JSON_C_LIBDIR} + ${PC_JSON_C_LIBRARY_DIRS} + /usr/local/lib + /usr/lib + /lib + /usr/lib/x86_64-linux-gnu + ${CMAKE_EXTRA_LIBS}) + +if(libjson-c_LIBRARIES AND libjson-c_INCLUDE_DIRS) + set(libjson-c_FOUND true) +endif(libjson-c_LIBRARIES AND libjson-c_INCLUDE_DIRS) + +include(FindPackageHandleStandardArgs) +find_package_handle_standard_args(libjson-c REQUIRED_VARS libjson-c_INCLUDE_DIRS libjson-c_LIBRARIES) diff --git a/opae-libs/cmake/modules/OPAE.cmake b/opae-libs/cmake/modules/OPAE.cmake new file mode 100644 index 0000000..b0cf674 --- /dev/null +++ b/opae-libs/cmake/modules/OPAE.cmake @@ -0,0 +1,60 @@ +#!/usr/bin/cmake -P +## Copyright(c) 2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +include(OPAEGit) + +include(Findjson-c) + +if(NOT libjson-c_FOUND) + message("-- json-c not found. Please install json-c package for you respective distribution: + DEB: apt install libjson-c-dev + RPM: yum install json-c-devel + If you have already installed this package in a non-standard location + please specify the location by defining the variable LIBJSON-C_ROOT in + your cmake command as follows: cmake -DLIBJSON-C_ROOT=") + set(REQUIRED_DEPENDENCIES "libjson-c ${REQUIRED_DEPENDENCIES}") +endif() + +include(FindUUID) + +if(NOT libuuid_FOUND) + message("-- uuid not found. Please install uuid package for your respective distribution: + DEB: apt install uuid-dev + RPM: yum install libuuid-devel + If you have already installed this package in a non-standard location + please specify the location by defining the variable LIBUUID_ROOT in + your cmake command as follows: cmake -DLIBUUID_ROOT=") + set(REQUIRED_DEPENDENCIES "libuuid ${REQUIRED_DEPENDENCIES}") +endif() + +include(OPAECompiler) +if(OPAE_BUILD_TESTS) + find_package(GTest 1.8.0) +endif(OPAE_BUILD_TESTS) +include(OPAETest) +include(OPAEPackaging) +include(OPAEExternal) diff --git a/opae-libs/cmake/modules/OPAECompiler.cmake b/opae-libs/cmake/modules/OPAECompiler.cmake new file mode 100644 index 0000000..224f302 --- /dev/null +++ b/opae-libs/cmake/modules/OPAECompiler.cmake @@ -0,0 +1,360 @@ +#!/usr/bin/cmake -P +## Copyright(c) 2017-2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +include(CheckCCompilerFlag) +include(CheckCXXCompilerFlag) + +if(NOT CMAKE_C_COMPILER) + message("-- No C compiler was found. Please install the gcc package for your distribution: + DEB: apt install gcc + RPM: yum install gcc") +endif() + +if(NOT CMAKE_CXX_COMPILER) + message("-- No C++ compiler was found. Please install the g++ package for your distribution: + DEB: apt install g++ + RPM: yum install gcc-c++") +endif() + +set(CMAKE_EXPORT_COMPILE_COMMANDS 1) + +set(CMAKE_THREAD_PREFER_PTHREAD TRUE) +find_package(Threads) + +############################################################################ +## Set the default build type to Release with debug info. ################## +############################################################################ +if(CMAKE_BUILD_TYPE STREQUAL "") + set(CMAKE_BUILD_TYPE RelWithDebInfo + CACHE STRING + "Type of build: {Debug Release RelWithDebInfo MinSizeRel Coverage}" + FORCE) + function(opae_coverage_build) + endfunction() +elseif(CMAKE_BUILD_TYPE STREQUAL "Coverage") + find_program(OPAE_GCOV_EXECUTABLE gcov) + if(NOT OPAE_GCOV_EXECUTABLE) + message(FATAL_ERROR "Coverage requested, but gcov not found. Aborting...") + endif() + find_program(OPAE_LCOV_EXECUTABLE lcov) + find_program(OPAE_GENHTML_EXECUTABLE genhtml) + + # example: + # opae_coverage_build(TARGET opae-c SOURCE a.c b.c) + function(opae_coverage_build) + set(options ) + set(oneValueArgs TARGET) + set(multiValueArgs SOURCE) + cmake_parse_arguments(OPAE_COVERAGE_BUILD "${options}" + "${oneValueArgs}" "${multiValueArgs}" ${ARGN}) + + set_property(SOURCE ${OPAE_COVERAGE_BUILD_SOURCE} APPEND_STRING PROPERTY COMPILE_FLAGS + " -g -O0 -Wall -Wextra -Werror -pthread --coverage -fprofile-arcs -ftest-coverage") + target_link_libraries(${OPAE_COVERAGE_BUILD_TARGET} "-lgcov") + endfunction() +else() + function(opae_coverage_build) + endfunction() +endif() + +set(CMAKE_C_FLAGS_DEBUG "-g -O0 -Wall -Wextra -Werror -pthread") +set(CMAKE_CXX_FLAGS_DEBUG "-g -O0 -Wall -Wextra -Werror -pthread") + +set(CMAKE_C_FLAGS_RELEASE "-O2 -Wall -Wextra -Werror -pthread") +set(CMAKE_CXX_FLAGS_RELEASE "-O2 -Wall -Wextra -Werror -pthread") + +set(CMAKE_C_FLAGS_RELWITHDEBINFO "-g -O2 -Wall -Wextra -Werror -pthread") +set(CMAKE_CXX_FLAGS_RELWITHDEBINFO "-g -O2 -Wall -Wextra -Werror -pthread") + +set(CMAKE_C_FLAGS_MINSIZEREL "-Os -Wall -Wextra -Werror -pthread") +set(CMAKE_CXX_FLAGS_MINSIZEREL "-Os -Wall -Wextra -Werror -pthread") + +############################################################################ +## Enable defensive options for Release builds. ############################ +############################################################################ +if(CMAKE_BUILD_TYPE STREQUAL "Release" OR CMAKE_BUILD_TYPE STREQUAL "RelWithDebInfo") + # C options + set(CMAKE_C_FLAGS "${CMAKE_C_FLAGS} -Wformat -Wformat-security") + set(CMAKE_C_FLAGS "${CMAKE_C_FLAGS} -fPIC -D_FORTIFY_SOURCE=2") + if(GCC_VERSION VERSION_GREATER 4.9 OR GCC_VERSION VERSION_EQUAL 4.9) + set(CMAKE_C_FLAGS "${CMAKE_C_FLAGS} -fstack-protector-strong") + set(CMAKE_C_FLAGS "${CMAKE_C_FLAGS} -z noexecstack -z relro -z now") + else() + set(CMAKE_C_FLAGS "${CMAKE_C_FLAGS} -fstack-protector-all") + endif() + + # C++ options + set(CMAKE_CXX_FLAGS "${CMAKE_CXX_FLAGS} -Wformat -Wformat-security") + set(CMAKE_CXX_FLAGS "${CMAKE_CXX_FLAGS} -fPIC -D_FORTIFY_SOURCE=2") + if(GCC_VERSION VERSION_GREATER 4.9 OR GCC_VERSION VERSION_EQUAL 4.9) + set(CMAKE_CXX_FLAGS "${CMAKE_CXX_FLAGS} -fstack-protector-strong") + set(CMAKE_CXX_FLAGS "${CMAKE_CXX_FLAGS} -z noexecstack -z relro -z now") + else() + set(CMAKE_CXX_FLAGS "${CMAKE_CXX_FLAGS} -fstack-protector-all") + endif() + + # Linker options + if (NOT ${CMAKE_C_COMPILER} MATCHES "clang") + set(CMAKE_SHARED_LINKER_FLAGS "${CMAKE_SHARED_LINKER_FLAGS} -pie") + set(CMAKE_EXE_LINKER_FLAGS "${CMAKE_EXE_LINKER_FLAGS} -pie") + endif() +endif() + +# Check if support for C++ 11/14/0x is available +check_cxx_compiler_flag("-std=c++14" COMPILER_SUPPORTS_CXX14) +check_cxx_compiler_flag("-std=c++11" COMPILER_SUPPORTS_CXX11) +check_cxx_compiler_flag("-std=c++0x" COMPILER_SUPPORTS_CXX0X) +if(COMPILER_SUPPORTS_CXX14) + set(CMAKE_CXX_FLAGS "${CMAKE_CXX_FLAGS} -std=c++14") + set(CMAKE_CXX_STANDARD 14) +elseif(COMPILER_SUPPORTS_CXX11) + set(CMAKE_CXX_FLAGS "${CMAKE_CXX_FLAGS} -std=c++11") + set(CMAKE_CXX_STANDARD 11) +elseif(COMPILER_SUPPORTS_CXX0X) + set(CMAKE_CXX_FLAGS "${CMAKE_CXX_FLAGS} -std=c++0x") +endif() + +# If building on a 32-bit system, make sure off_t can store offsets > 2GB. +if(CMAKE_COMPILER_IS_GNUCC) + if(CMAKE_SIZEOF_VOID_P EQUAL 4) + add_definitions(-D_LARGEFILE_SOURCE) + add_definitions(-D_FILE_OFFSET_BITS=64) + endif() +endif() + +macro(set_install_rpath target_name) + if(OPAE_INSTALL_RPATH) + set_target_properties(${target_name} PROPERTIES + INSTALL_RPATH "\$ORIGIN/../${OPAE_LIB_INSTALL_DIR}" + INSTALL_RPATH_USE_LINK_PATH TRUE + SKIP_BUILD_RPATH FALSE + BUILD_WITH_INSTALL_RPATH FALSE) + endif() +endmacro() + +function(opae_add_subdirectory directory_name) + get_filename_component(full_dir_path "${directory_name}" REALPATH) + if(EXISTS "${full_dir_path}" AND IS_DIRECTORY "${full_dir_path}" AND EXISTS "${full_dir_path}/CMakeLists.txt") + add_subdirectory(${directory_name}) + else() + message("Directory or CMakeLists.txt not found: ${full_dir_path}") + endif() +endfunction() + +# example: +# opae_add_executable(TARGET fpgaconf SOURCE a.c b.c LIBS opae-c) +function(opae_add_executable) + set(options ) + set(oneValueArgs TARGET COMPONENT DESTINATION) + set(multiValueArgs SOURCE LIBS) + cmake_parse_arguments(OPAE_ADD_EXECUTABLE "${options}" + "${oneValueArgs}" "${multiValueArgs}" ${ARGN}) + + add_executable(${OPAE_ADD_EXECUTABLE_TARGET} ${OPAE_ADD_EXECUTABLE_SOURCE}) + + target_include_directories(${OPAE_ADD_EXECUTABLE_TARGET} PUBLIC + $ + $ + PRIVATE ${CMAKE_CURRENT_SOURCE_DIR} + PRIVATE ${OPAE_LIBS_ROOT} + PUBLIC ${libjson-c_INCLUDE_DIRS} + PUBLIC ${libuuid_INCLUDE_DIRS}) + + set_property(TARGET ${OPAE_ADD_EXECUTABLE_TARGET} PROPERTY C_STANDARD 99) + target_compile_definitions(${OPAE_ADD_EXECUTABLE_TARGET} + PRIVATE + HAVE_CONFIG_H=1) + if(CMAKE_BUILD_TYPE STREQUAL "Debug") + target_compile_definitions(${OPAE_ADD_EXECUTABLE_TARGET} + PRIVATE + LIBOPAE_DEBUG=1) + endif() + + target_link_libraries(${OPAE_ADD_EXECUTABLE_TARGET} ${OPAE_ADD_EXECUTABLE_LIBS}) + + opae_coverage_build(TARGET ${OPAE_ADD_EXECUTABLE_TARGET} SOURCE ${OPAE_ADD_EXECUTABLE_SOURCE}) + set_install_rpath(${OPAE_ADD_EXECUTABLE_TARGET}) + + if(OPAE_ADD_EXECUTABLE_COMPONENT) + if(OPAE_ADD_EXECUTABLE_DESTINATION) + set(dest ${OPAE_ADD_EXECUTABLE_DESTINATION}) + else(OPAE_ADD_EXECUTABLE_DESTINATION) + set(dest bin) + endif(OPAE_ADD_EXECUTABLE_DESTINATION) + + install(TARGETS ${OPAE_ADD_EXECUTABLE_TARGET} + RUNTIME DESTINATION ${dest} + COMPONENT ${OPAE_ADD_EXECUTABLE_COMPONENT}) + endif(OPAE_ADD_EXECUTABLE_COMPONENT) +endfunction() + +# example: +# opae_add_shared_library(TARGET opae-c SOURCE a.c b.c LIBS dl) +function(opae_add_shared_library) + set(options ) + set(oneValueArgs TARGET VERSION SOVERSION COMPONENT DESTINATION) + set(multiValueArgs SOURCE LIBS) + cmake_parse_arguments(OPAE_ADD_SHARED_LIBRARY "${options}" + "${oneValueArgs}" "${multiValueArgs}" ${ARGN}) + + add_library(${OPAE_ADD_SHARED_LIBRARY_TARGET} SHARED ${OPAE_ADD_SHARED_LIBRARY_SOURCE}) + + target_include_directories(${OPAE_ADD_SHARED_LIBRARY_TARGET} PUBLIC + $ + $ + PRIVATE ${CMAKE_CURRENT_SOURCE_DIR} + PRIVATE ${OPAE_LIBS_ROOT} + PUBLIC ${libjson-c_INCLUDE_DIRS} + PUBLIC ${libuuid_INCLUDE_DIRS}) + + set_property(TARGET ${OPAE_ADD_SHARED_LIBRARY_TARGET} PROPERTY C_STANDARD 99) + target_compile_definitions(${OPAE_ADD_SHARED_LIBRARY_TARGET} + PRIVATE + HAVE_CONFIG_H=1) + if(CMAKE_BUILD_TYPE STREQUAL "Debug") + target_compile_definitions(${OPAE_ADD_SHARED_LIBRARY_TARGET} + PRIVATE + LIBOPAE_DEBUG=1) + endif() + + if(OPAE_ADD_SHARED_LIBRARY_VERSION AND OPAE_ADD_SHARED_LIBRARY_SOVERSION) + set_target_properties(${OPAE_ADD_SHARED_LIBRARY_TARGET} PROPERTIES + VERSION ${OPAE_ADD_SHARED_LIBRARY_VERSION} + SOVERSION ${OPAE_ADD_SHARED_LIBRARY_SOVERSION}) + endif() + + target_link_libraries(${OPAE_ADD_SHARED_LIBRARY_TARGET} ${OPAE_ADD_SHARED_LIBRARY_LIBS}) + + opae_coverage_build(TARGET ${OPAE_ADD_SHARED_LIBRARY_TARGET} SOURCE ${OPAE_ADD_SHARED_LIBRARY_SOURCE}) + set_install_rpath(${OPAE_ADD_SHARED_LIBRARY_TARGET}) + + if(OPAE_ADD_SHARED_LIBRARY_COMPONENT) + if(OPAE_ADD_SHARED_LIBRARY_DESTINATION) + set(dest ${OPAE_ADD_SHARED_LIBRARY_DESTINATION}) + else(OPAE_ADD_SHARED_LIBRARY_DESTINATION) + set(dest ${OPAE_LIB_INSTALL_DIR}) + endif(OPAE_ADD_SHARED_LIBRARY_DESTINATION) + + install(TARGETS ${OPAE_ADD_SHARED_LIBRARY_TARGET} + LIBRARY DESTINATION ${dest} + COMPONENT ${OPAE_ADD_SHARED_LIBRARY_COMPONENT}) + endif(OPAE_ADD_SHARED_LIBRARY_COMPONENT) +endfunction() + +# example: +# opae_add_module_library(TARGET xfpga SOURCE a.c b.c LIBS opae-c) +function(opae_add_module_library) + set(options ) + set(oneValueArgs TARGET COMPONENT DESTINATION) + set(multiValueArgs SOURCE LIBS) + cmake_parse_arguments(OPAE_ADD_MODULE_LIBRARY "${options}" + "${oneValueArgs}" "${multiValueArgs}" ${ARGN}) + + add_library(${OPAE_ADD_MODULE_LIBRARY_TARGET} MODULE ${OPAE_ADD_MODULE_LIBRARY_SOURCE}) + + target_include_directories(${OPAE_ADD_MODULE_LIBRARY_TARGET} PUBLIC + $ + $ + PRIVATE ${CMAKE_CURRENT_SOURCE_DIR} + PRIVATE ${OPAE_LIBS_ROOT} + PUBLIC ${libjson-c_INCLUDE_DIRS} + PUBLIC ${libuuid_INCLUDE_DIRS}) + + set_property(TARGET ${OPAE_ADD_MODULE_LIBRARY_TARGET} PROPERTY C_STANDARD 99) + target_compile_definitions(${OPAE_ADD_MODULE_LIBRARY_TARGET} + PRIVATE + HAVE_CONFIG_H=1) + if(CMAKE_BUILD_TYPE STREQUAL "Debug") + target_compile_definitions(${OPAE_ADD_MODULE_LIBRARY_TARGET} + PRIVATE + LIBOPAE_DEBUG=1) + endif() + + target_link_libraries(${OPAE_ADD_MODULE_LIBRARY_TARGET} ${OPAE_ADD_MODULE_LIBRARY_LIBS}) + + opae_coverage_build(TARGET ${OPAE_ADD_MODULE_LIBRARY_TARGET} SOURCE ${OPAE_ADD_MODULE_LIBRARY_SOURCE}) + + if(OPAE_ADD_MODULE_LIBRARY_COMPONENT) + if(OPAE_ADD_MODULE_LIBRARY_DESTINATION) + set(dest ${OPAE_ADD_MODULE_LIBRARY_DESTINATION}) + else(OPAE_ADD_MODULE_LIBRARY_DESTINATION) + set(dest ${OPAE_LIB_INSTALL_DIR}/opae) + endif(OPAE_ADD_MODULE_LIBRARY_DESTINATION) + + install(TARGETS ${OPAE_ADD_MODULE_LIBRARY_TARGET} + LIBRARY DESTINATION ${dest} + COMPONENT ${OPAE_ADD_MODULE_LIBRARY_COMPONENT}) + endif(OPAE_ADD_MODULE_LIBRARY_COMPONENT) +endfunction() + +# example: +# opae_add_static_library(TARGET sometarget SOURCE ${SRC}) +function(opae_add_static_library) + set(options ) + set(oneValueArgs TARGET COMPONENT DESTINATION) + set(multiValueArgs SOURCE LIBS) + cmake_parse_arguments(OPAE_ADD_STATIC_LIBRARY "${options}" + "${oneValueArgs}" "${multiValueArgs}" ${ARGN}) + + add_library(${OPAE_ADD_STATIC_LIBRARY_TARGET} STATIC ${OPAE_ADD_STATIC_LIBRARY_SOURCE}) + + target_include_directories(${OPAE_ADD_STATIC_LIBRARY_TARGET} PUBLIC + $ + $ + PRIVATE ${CMAKE_CURRENT_SOURCE_DIR}) + + set_property(TARGET ${OPAE_ADD_STATIC_LIBRARY_TARGET} PROPERTY C_STANDARD 99) + set_property(TARGET ${OPAE_ADD_STATIC_LIBRARY_TARGET} + PROPERTY + POSITION_INDEPENDENT_CODE ON) + target_compile_definitions(${OPAE_ADD_STATIC_LIBRARY_TARGET} + PRIVATE + PIC=1 + HAVE_CONFIG_H=1) + if(CMAKE_BUILD_TYPE STREQUAL "Debug") + target_compile_definitions(${OPAE_ADD_STATIC_LIBRARY_TARGET} + PRIVATE + LIBOPAE_DEBUG=1) + endif() + + target_link_libraries(${OPAE_ADD_STATIC_LIBRARY_TARGET} + ${OPAE_ADD_STATIC_LIBRARY_LIBS}) + + opae_coverage_build(TARGET ${OPAE_ADD_STATIC_LIBRARY_TARGET} SOURCE ${OPAE_ADD_STATIC_LIBRARY_SOURCE}) + + if(OPAE_ADD_STATIC_LIBRARY_COMPONENT) + if(OPAE_ADD_STATIC_LIBRARY_DESTINATION) + set(dest ${OPAE_ADD_STATIC_LIBRARY_DESTINATION}) + else(OPAE_ADD_STATIC_LIBRARY_DESTINATION) + set(dest ${OPAE_LIB_INSTALL_DIR}) + endif(OPAE_ADD_STATIC_LIBRARY_DESTINATION) + + install(TARGETS ${OPAE_ADD_STATIC_LIBRARY_TARGET} + ARCHIVE DESTINATION ${dest} + COMPONENT ${OPAE_ADD_STATIC_LIBRARY_COMPONENT}) + endif(OPAE_ADD_STATIC_LIBRARY_COMPONENT) +endfunction() diff --git a/opae-libs/cmake/modules/OPAEExternal.cmake b/opae-libs/cmake/modules/OPAEExternal.cmake new file mode 100644 index 0000000..e851d2f --- /dev/null +++ b/opae-libs/cmake/modules/OPAEExternal.cmake @@ -0,0 +1,93 @@ +#!/usr/bin/cmake -P +## Copyright(c) 2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE + +cmake_minimum_required (VERSION 2.8.12) + +macro(opae_external_project_add) + set(options EXCLUDE_FROM_ALL NO_ADD_SUBDIRECTORY) + set(oneValueArgs PROJECT_NAME GIT_URL GIT_TAG PRESERVE_REPOS) + set(multiValueArgs) + cmake_parse_arguments(OPAE_EXTERNAL_PROJECT_ADD "${options}" + "${oneValueArgs}" "${multiValueArgs}" ${ARGN}) + if(NOT OPAE_EXTERNAL_PROJECT_ADD_GIT_TAG) + set(OPAE_EXTERNAL_PROJECT_ADD_GIT_TAG "master") + endif(NOT OPAE_EXTERNAL_PROJECT_ADD_GIT_TAG) + + set(${OPAE_EXTERNAL_PROJECT_ADD_PROJECT_NAME}_ROOT + ${CMAKE_SOURCE_DIR}/external/${OPAE_EXTERNAL_PROJECT_ADD_PROJECT_NAME} + CACHE PATH "Root directory to ${OPAE_EXTERNAL_PROJECT_ADD_PROJECT_NAME} external" FORCE) + + set(download_dir + ${CMAKE_CURRENT_BINARY_DIR}/${OPAE_EXTERNAL_PROJECT_ADD_PROJECT_NAME}/download) + file(WRITE ${download_dir}/CMakeLists.txt + "cmake_minimum_required(VERSION 2.8.12)\n" + "include(ExternalProject)\n" + "ExternalProject_Add(${OPAE_EXTERNAL_PROJECT_ADD_PROJECT_NAME}\n" + " GIT_REPOSITORY ${OPAE_EXTERNAL_PROJECT_ADD_GIT_URL}\n" + " GIT_TAG ${OPAE_EXTERNAL_PROJECT_ADD_GIT_TAG}\n" + " SOURCE_DIR ${CMAKE_SOURCE_DIR}/external/${OPAE_EXTERNAL_PROJECT_ADD_PROJECT_NAME}\n" + " BINARY_DIR ${CMAKE_BINARY_DIR}/external/${OPAE_EXTERNAL_PROJECT_ADD_PROJECT_NAME}\n" + " CONFIGURE_COMMAND \"\"\n" + " BUILD_COMMAND \"\"\n" + " INSTALL_COMMAND \"\"\n" + " TEST_COMMAND \"\"\n" + " COMMENT \"adding ${OPAE_EXTERNAL_PROJECT_ADD_PROJECT_NAME}\"\n" + ")\n" + ) + + if(NOT EXISTS ${CMAKE_SOURCE_DIR}/external/${OPAE_EXTERNAL_PROJECT_ADD_PROJECT_NAME} OR NOT ${OPAE_EXTERNAL_PROJECT_ADD_PRESERVE_REPOS}) + execute_process( + COMMAND ${CMAKE_COMMAND} -G "${CMAKE_GENERATOR}" . + RESULT_VARIABLE result + WORKING_DIRECTORY ${download_dir}) + if(result) + message(FATAL_ERROR "CMake step for ${OPAE_EXTERNAL_PROJECT_ADD_PROJECT_NAME} failed: ${result}") + endif(result) + + execute_process( + COMMAND ${CMAKE_COMMAND} --build . + RESULT_VARIABLE result + WORKING_DIRECTORY ${download_dir}) + if(result) + message(FATAL_ERROR "Build step for ${OPAE_EXTERNAL_PROJECT_ADD_PROJECT_NAME} failed: ${result}") + endif(result) + endif() + + set(src_dir + ${CMAKE_SOURCE_DIR}/external/${OPAE_EXTERNAL_PROJECT_ADD_PROJECT_NAME}) + set(bin_dir + ${CMAKE_BINARY_DIR}/external/${OPAE_EXTERNAL_PROJECT_ADD_PROJECT_NAME}) + + if(NOT ${OPAE_EXTERNAL_PROJECT_ADD_NO_ADD_SUBDIRECTORY} AND EXISTS ${src_dir}/CMakeLists.txt) + if(${OPAE_EXTERNAL_PROJECT_ADD_EXCLUDE_FROM_ALL}) + add_subdirectory(${src_dir} ${bin_dir} EXCLUDE_FROM_ALL) + else(${OPAE_EXTERNAL_PROJECT_ADD_EXCLUDE_FROM_ALL}) + add_subdirectory(${src_dir} ${bin_dir}) + endif(${OPAE_EXTERNAL_PROJECT_ADD_EXCLUDE_FROM_ALL}) + endif(NOT ${OPAE_EXTERNAL_PROJECT_ADD_NO_ADD_SUBDIRECTORY} AND EXISTS ${src_dir}/CMakeLists.txt) + +endmacro(opae_external_project_add) diff --git a/opae-libs/cmake/modules/OPAEGit.cmake b/opae-libs/cmake/modules/OPAEGit.cmake new file mode 100644 index 0000000..9a0e63b --- /dev/null +++ b/opae-libs/cmake/modules/OPAEGit.cmake @@ -0,0 +1,65 @@ +#!/usr/bin/cmake -P +## Copyright(c) 2017-2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +# Exports +# +# * OPAE_GIT_EXECUTABLE +# * OPAE_GIT_COMMIT_HASH +# * OPAE_GIT_SRC_TREE_DIRTY + +find_program(OPAE_GIT_EXECUTABLE git) + +if(EXISTS ${OPAE_GIT_EXECUTABLE}) + # Find the abbreviated git commit hash. + execute_process(COMMAND ${OPAE_GIT_EXECUTABLE} log -1 --format=%h + WORKING_DIRECTORY ${CMAKE_SOURCE_DIR} + OUTPUT_VARIABLE OPAE_GIT_COMMIT_HASH + RESULT_VARIABLE OPAE_GIT_LOG_RESULT + OUTPUT_STRIP_TRAILING_WHITESPACE) + if(NOT ${OPAE_GIT_LOG_RESULT} EQUAL 0) + set(OPAE_GIT_COMMIT_HASH unknown) + endif() + + # Determine whether the working tree has changes. + execute_process(COMMAND ${OPAE_GIT_EXECUTABLE} diff --stat + WORKING_DIRECTORY ${CMAKE_SOURCE_DIR} + OUTPUT_VARIABLE OPAE_GIT_DIFF_OUTPUT + RESULT_VARIABLE OPAE_GIT_DIFF_RESULT + OUTPUT_STRIP_TRAILING_WHITESPACE) + if(NOT ${OPAE_GIT_DIFF_RESULT} EQUAL 0) + set(OPAE_GIT_SRC_TREE_DIRTY 0) + else() + if(OPAE_GIT_DIFF_OUTPUT) + set(OPAE_GIT_SRC_TREE_DIRTY 1) + else() + set(OPAE_GIT_SRC_TREE_DIRTY 0) + endif() + endif() +else(EXISTS ${OPAE_GIT_EXECUTABLE}) + set(OPAE_GIT_COMMIT_HASH unknown) + set(OPAE_GIT_SRC_TREE_DIRTY 0) +endif(EXISTS ${OPAE_GIT_EXECUTABLE}) diff --git a/opae-libs/cmake/modules/OPAEPackaging.cmake b/opae-libs/cmake/modules/OPAEPackaging.cmake new file mode 100644 index 0000000..4f0b458 --- /dev/null +++ b/opae-libs/cmake/modules/OPAEPackaging.cmake @@ -0,0 +1,174 @@ +#!/usr/bin/cmake -P +## Copyright(c) 2017-2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +set(JSON_C_DEBIAN_PACKAGE "libjson0") + +find_program(LSB_RELEASE_EXE lsb_release) +if(LSB_RELEASE_EXE) + execute_process(COMMAND ${LSB_RELEASE_EXE} -is + OUTPUT_VARIABLE LSB_DISTRIBUTOR_ID + OUTPUT_STRIP_TRAILING_WHITESPACE + ) + execute_process(COMMAND ${LSB_RELEASE_EXE} -rs + OUTPUT_VARIABLE LSB_RELEASE_NUMBER + OUTPUT_STRIP_TRAILING_WHITESPACE + ) + + message(STATUS "Detecting distribution - ${LSB_DISTRIBUTOR_ID} ${LSB_RELEASE_NUMBER}") + + if(${LSB_DISTRIBUTOR_ID} STREQUAL "Ubuntu") + + if(${LSB_RELEASE_NUMBER} STREQUAL "16.04") + set(JSON_C_DEBIAN_PACKAGE "libjson-c2") + elseif(${LSB_RELEASE_NUMBER} STREQUAL "18.04" OR ${LSB_RELEASE_NUMBER} STREQUAL "19.04") + set(JSON_C_DEBIAN_PACKAGE "libjson-c3") + elseif(${LSB_RELEASE_NUMBER} STREQUAL "19.10" OR ${LSB_RELEASE_NUMBER} STREQUAL "20.04") + set(JSON_C_DEBIAN_PACKAGE "libjson-c4") + else() + message(WARNING "Unrecognized Ubuntu version: ${LSB_RELEASE_NUMBER}. Defaulting to ${JSON_C_DEBIAN_PACKAGE}") + endif() + + endif(${LSB_DISTRIBUTOR_ID} STREQUAL "Ubuntu") +endif(LSB_RELEASE_EXE) + + +function(DEFINE_PKG name) + set(_components "COMPONENTS") + + # Parse all these entries + set(_entries "GROUP;DISPLAY_NAME;DESCRIPTION;DEB_DEPENDS") + + # Only valid options for a component + set(_component_entries "GROUP;DISPLAY_NAME;DESCRIPTION;DEB_DEPENDS") + + # Define parsing order + cmake_parse_arguments(DEFINE_PKG + "" + "${_entries}" + "${_components}" + ${ARGN}) + + # Iterate over 2-valued entries + foreach(_component ${DEFINE_PKG_COMPONENTS}) + string(TOUPPER "${_component}" _component_upper) + + # Assume all entrys refer to component variables + foreach(_entry ${_component_entries}) + if(DEFINE_PKG_${_entry}) + set(CPACK_COMPONENT_${_component_upper}_${_entry} "${DEFINE_PKG_${_entry}}" + CACHE STRING "component" FORCE) + endif() + endforeach() + endforeach() + + + if(DEFINE_PKG_DEB_DEPENDS) + string(TOUPPER "${DEFINE_PKG_GROUP}" _group_upper) + set(CPACK_DEBIAN_${_group_upper}_PACKAGE_DEPENDS ${DEFINE_PKG_DEB_DEPENDS} + CACHE STRING "depends" FORCE) + endif() + + if(DEFINE_PKG_DESCRIPTION) + string(TOUPPER "${DEFINE_PKG_GROUP}" _group_upper) + set(CPACK_COMPONENT_${_group_upper}_DESCRIPTION ${DEFINE_PKG_DESCRIPTION} + CACHE STRING "descr" FORCE) + endif() + + +endfunction(DEFINE_PKG) + + + +macro(CREATE_PYTHON_EXE EXE_NAME MAIN_MODULE) + message(WARNING "This macro will be deprecated in a future release.") + + file(MAKE_DIRECTORY ${PROJECT_BINARY_DIR}/bin) + set(PACKAGER_BIN ${PROJECT_BINARY_DIR}/bin/${EXE_NAME}) + + # Generate a __main__.py that loads the target module + set(BUILD_DIR_MAIN "${CMAKE_CURRENT_BINARY_DIR}/${EXE_NAME}_main") + file(MAKE_DIRECTORY "${BUILD_DIR_MAIN}") + file(WRITE "${BUILD_DIR_MAIN}/__main__.py" + "import sys\n" + "from ${MAIN_MODULE} import main\n" + "if __name__ == '__main__':\n" + " sys.exit(main())\n") + + # Generate a Python script to zip the sources. + # *** We could use writepy() for Python files, but this introduces the + # *** potential for compatibility problems, especially in RPMs. + file(WRITE "${BUILD_DIR_MAIN}/do_zip.py" + "import os\n" + "import stat\n" + "import zipfile\n" + "from io import BytesIO\n" + "\n" + "# Write to a buffer so that the shebang can be prepended easily\n" + "wr_buf = BytesIO()\n" + "wr_buf.write('#!/usr/bin/env python3{}'.format(os.linesep).encode())\n" + "\n" + "z = zipfile.PyZipFile(wr_buf, 'w')\n") + + # Emit the list of files to include in the zipped file. Entries in the ${ARGN} + # list may either be actual names of files to zip or entries may be the names + # of sub-lists. The sub-lists are tuples, holding the path to the file to zip + # and the name to call the file in the zipped file. + foreach(PYFILE ${ARGN}) + # Is this entry a list or a file? + if (DEFINED ${PYFILE}) + # It's a list. Extract the source path and the name to call the + # file inside the zipped file. + list(GET ${PYFILE} 0 F_PATH) + list(GET ${PYFILE} 1 Z_NAME) + file(APPEND "${BUILD_DIR_MAIN}/do_zip.py" + "z.write('${F_PATH}', '${Z_NAME}')\n") + else() + # Entry is just a file name. + file(APPEND "${BUILD_DIR_MAIN}/do_zip.py" + "z.write('${PYFILE}')\n") + endif() + endforeach(PYFILE) + + file(APPEND "${BUILD_DIR_MAIN}/do_zip.py" + "z.write('${BUILD_DIR_MAIN}/__main__.py', '__main__.py')\n" + "z.close()\n" + "\n" + "# Write out the buffer\n" + "with open('${PACKAGER_BIN}', 'wb') as f:\n" + " f.write(wr_buf.getvalue())\n" + " # Mark the file executable\n" + " mode = os.fstat(f.fileno()).st_mode\n" + " mode |= stat.S_IXUSR | stat.S_IXGRP | stat.S_IXOTH\n" + " os.fchmod(f.fileno(), stat.S_IMODE(mode))\n" + "\n" + "f.close()\n") + + # Run Python to generate the zipped file + execute_process(COMMAND python3 "${BUILD_DIR_MAIN}/do_zip.py" + WORKING_DIRECTORY ${CMAKE_CURRENT_SOURCE_DIR}) + +endmacro(CREATE_PYTHON_EXE) diff --git a/opae-libs/cmake/modules/OPAETest.cmake b/opae-libs/cmake/modules/OPAETest.cmake new file mode 100644 index 0000000..6f87ca6 --- /dev/null +++ b/opae-libs/cmake/modules/OPAETest.cmake @@ -0,0 +1,191 @@ +#!/usr/bin/cmake -P +## Copyright(c) 2017-2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE + +check_cxx_compiler_flag("-Wno-sign-compare" CXX_SUPPORTS_NO_SIGN_COMPARE) + +set(OPAE_TEST_LIBRARIES test_system fpga_db + CACHE LIST "OPAE test libs." FORCE) + +function(opae_load_gtest) + message(STATUS "Trying to fetch gtest through git...") + find_package(Git REQUIRED) + + include(ExternalProject) + + # Download and install GoogleTest + ExternalProject_Add( + gtest + GIT_REPOSITORY "https://github.com/google/googletest" + GIT_TAG "release-1.8.0" + UPDATE_COMMAND "" + PREFIX ${CMAKE_CURRENT_BINARY_DIR}/gtest + CMAKE_ARGS -DCMAKE_POSITION_INDEPENDENT_CODE=ON + # Disable install step + INSTALL_COMMAND "") + + set(gtest_root "${CMAKE_CURRENT_BINARY_DIR}/gtest/src/gtest/googletest") + message(STATUS "gtest located at: ${gtest_root}") + + # Create a libgtest target to be used as a dependency by test programs + add_library(libgtest IMPORTED STATIC GLOBAL) + add_library(libgtest_main IMPORTED STATIC GLOBAL) + add_dependencies(libgtest gtest) + add_dependencies(libgtest_main gtest) + + # Get GTest source and binary directories from CMake project + ExternalProject_Get_Property(gtest source_dir binary_dir) + + # Set libgtest properties + set_target_properties(libgtest PROPERTIES + "IMPORTED_LOCATION" "${binary_dir}/googlemock/gtest/libgtest.a" + "IMPORTED_LINK_INTERFACE_LIBRARIES" "${CMAKE_THREAD_LIBS_INIT}") + # Set libgtest_main properties + set_target_properties(libgtest_main PROPERTIES + "IMPORTED_LOCATION" "${binary_dir}/googlemock/gtest/libgtest_main.a" + "IMPORTED_LINK_INTERFACE_LIBRARIES" "${CMAKE_THREAD_LIBS_INIT}") + + # Export gtest variables + set(GTEST_ROOT "${gtest_root}" CACHE PATH "GTest root dir." FORCE) + set(GTEST_INCLUDE_DIRS "${gtest_root}/include" + CACHE PATH "GTest include dir." FORCE) + set(GTEST_MAIN_LIBRARY "libgtest_main" + CACHE PATH "GTest main lib." FORCE) + set(GTEST_LIBRARIES "libgtest" + CACHE PATH "GTest test lib." FORCE) + set(GTEST_BOTH_LIBRARIES libgtest_main libgtest + CACHE LIST "GTest both libs." FORCE) + set(GTEST_FOUND TRUE CACHE BOOL "GTest found?" FORCE) +endfunction() + +function(opae_test_add) + set(options TEST_FPGAD) + set(oneValueArgs TARGET) + set(multiValueArgs SOURCE LIBS) + cmake_parse_arguments(OPAE_TEST_ADD "${options}" + "${oneValueArgs}" "${multiValueArgs}" ${ARGN}) + + if(OPAE_ENABLE_MOCK) + set(MOCK_C ${opae-test_ROOT}/framework/mock/mock.c) + endif() + + add_executable(${OPAE_TEST_ADD_TARGET} + ${OPAE_TEST_ADD_SOURCE} ${MOCK_C}) + + set_target_properties(${OPAE_TEST_ADD_TARGET} + PROPERTIES + CXX_STANDARD 11 + CXX_STANDARD_REQUIRED YES + CXX_EXTENSIONS NO) + target_compile_definitions(${OPAE_TEST_ADD_TARGET} + PRIVATE + HAVE_CONFIG_H=1) + if(CMAKE_BUILD_TYPE STREQUAL "Debug") + target_compile_definitions(${OPAE_TEST_ADD_TARGET} + PRIVATE + LIBOPAE_DEBUG=1) + endif() + if(CXX_SUPPORTS_NO_SIGN_COMPARE) + target_compile_options(${OPAE_TEST_ADD_TARGET} + PRIVATE -Wno-sign-compare) + endif() + + target_include_directories(${OPAE_TEST_ADD_TARGET} + PUBLIC + $ + $ + $ + PRIVATE + ${OPAE_LIBS_ROOT} + ${OPAE_LIBS_ROOT}/plugins/xfpga + ${OPAE_LIBS_ROOT}/libopae-c + ${opae-test_ROOT}/framework + ${GTEST_INCLUDE_DIRS}) + + if(${OPAE_TEST_ADD_TEST_FPGAD}) + target_include_directories(${OPAE_TEST_ADD_TARGET} + PRIVATE + ${opae-test_ROOT}/framework/mock/test_fpgad) + endif(${OPAE_TEST_ADD_TEST_FPGAD}) + + target_link_libraries(${OPAE_TEST_ADD_TARGET} + ${CMAKE_THREAD_LIBS_INIT} + ${OPAE_TEST_LIBRARIES} + ${libjson-c_LIBRARIES} + ${libuuid_LIBRARIES} + ${GTEST_BOTH_LIBRARIES} + ${OPAE_TEST_ADD_LIBS}) + + opae_coverage_build(TARGET ${OPAE_TEST_ADD_TARGET} + SOURCE ${OPAE_TEST_ADD_SOURCE}) + + add_test( + NAME ${OPAE_TEST_ADD_TARGET} + COMMAND $ + WORKING_DIRECTORY ${CMAKE_BINARY_DIR} + ) +endfunction() + +function(opae_test_add_static_lib) + set(options ) + set(oneValueArgs TARGET) + set(multiValueArgs SOURCE LIBS) + cmake_parse_arguments(OPAE_TEST_ADD_STATIC_LIB "${options}" + "${oneValueArgs}" "${multiValueArgs}" ${ARGN}) + + add_library(${OPAE_TEST_ADD_STATIC_LIB_TARGET} STATIC + ${OPAE_TEST_ADD_STATIC_LIB_SOURCE}) + + target_include_directories(${OPAE_TEST_ADD_STATIC_LIB_TARGET} + PUBLIC + $ + $ + $ + PRIVATE + ${OPAE_LIBS_ROOT} + ${OPAE_LIBS_ROOT}/plugins/xfpga + ${OPAE_LIBS_ROOT}/libopae-c) + + set_property(TARGET ${OPAE_TEST_ADD_STATIC_LIB_TARGET} + PROPERTY + POSITION_INDEPENDENT_CODE ON) + target_compile_definitions(${OPAE_TEST_ADD_STATIC_LIB_TARGET} + PRIVATE + HAVE_CONFIG_H=1 + PIC=1 + STATIC=) + if(CMAKE_BUILD_TYPE STREQUAL "Debug") + target_compile_definitions(${OPAE_TEST_ADD_STATIC_LIB_TARGET} + PRIVATE + LIBOPAE_DEBUG=1) + endif() + + target_link_libraries(${OPAE_TEST_ADD_STATIC_LIB_TARGET} + ${OPAE_TEST_ADD_STATIC_LIB_LIBS}) + + opae_coverage_build(TARGET ${OPAE_TEST_ADD_STATIC_LIB_TARGET} + SOURCE ${OPAE_TEST_ADD_STATIC_LIB_SOURCE}) +endfunction() diff --git a/opae-libs/external/CMakeLists.txt b/opae-libs/external/CMakeLists.txt new file mode 100644 index 0000000..a895557 --- /dev/null +++ b/opae-libs/external/CMakeLists.txt @@ -0,0 +1,41 @@ +## Copyright(c) 2017-2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +cmake_minimum_required(VERSION 2.8.12) + +if(OPAE_BUILD_TESTS) + opae_external_project_add(PROJECT_NAME opae-test + GIT_URL https://github.com/OPAE/opae-test.git + GIT_TAG ${OPAE_TEST_TAG} + PRESERVE_REPOS ${OPAE_PRESERVE_REPOS}) +endif(OPAE_BUILD_TESTS) + +if(OPAE_BUILD_SIM) + opae_external_project_add(PROJECT_NAME opae-sim + GIT_URL https://github.com/OPAE/opae-sim.git + GIT_TAG ${OPAE_SIM_TAG} + PRESERVE_REPOS ${OPAE_PRESERVE_REPOS}) +endif(OPAE_BUILD_SIM) diff --git a/opae-libs/include/opae/access.h b/opae-libs/include/opae/access.h new file mode 100644 index 0000000..6518ccc --- /dev/null +++ b/opae-libs/include/opae/access.h @@ -0,0 +1,108 @@ +// Copyright(c) 2017, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +/** + * @file access.h + * @brief Functions to acquire, release, and reset OPAE FPGA resources + */ + +#ifndef __FPGA_ACCESS_H__ +#define __FPGA_ACCESS_H__ + +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * Open an FPGA object + * + * Acquires ownership of the FPGA resource referred to by 'token'. + * + * Most often this will be used to open an accelerator object to directly interact + * with an accelerator function, or to open an FPGA object to perform + * management functions. + * + * @param[in] token Pointer to token identifying resource to acquire + * ownership of + * @param[out] handle Pointer to preallocated memory to place a handle in. + * This handle will be used in subsequent API calls. + * @param[in] flags One of the following flags: + * * FPGA_OPEN_SHARED allows the resource to be opened + * multiple times (not supported in ASE) + * Shared resources (including buffers) are released + * when all associated handles have been closed + * (either explicitly with fpgaClose() or by process + * termination). + * @returns FPGA_OK on success. FPGA_NOT_FOUND if the resource for + * 'token' could not be found. FPGA_INVALID_PARAM if + * 'token' does not refer to a resource that can be + * opened, or if either argument is NULL or invalid. + * FPGA_EXCEPTION if an internal exception occurred while + * creating the handle. FPGA_NO_DRIVER if the driver is + * not loaded. FPGA_BUSY if trying to open a resource that + * has already been opened in exclusive mode. + * FPGA_NO_ACCESS if the current process' privileges are + * not sufficient to open the resource. + */ +fpga_result fpgaOpen(fpga_token token, fpga_handle *handle, + int flags); + +/** + * Close a previously opened FPGA object + * + * Relinquishes ownership of a previously fpgaOpen()ed resource. This enables + * others to acquire ownership if the resource was opened exclusively. + * Also deallocates / unmaps MMIO and UMsg memory areas. + * + * @param[in] handle Handle to previously opened FPGA object + * @returns FPGA_OK on success. FPGA_INVALID_PARAM if handle does + * not refer to an acquired resource, or if handle is NULL. + * FPGA_EXCEPTION if an internal error occurred while + * accessing the handle. + */ +fpga_result fpgaClose(fpga_handle handle); + +/** + * Reset an FPGA object + * + * Performs an accelerator reset. + * + * @param[in] handle Handle to previously opened FPGA object + * @returns FPGA_OK on success. FPGA_INVALID_PARAM if handle does + * not refer to an acquired resource or to a resource that + * cannot be reset. FPGA_EXCEPTION if an internal error + * occurred while trying to access the handle or resetting + * the resource. + */ +fpga_result fpgaReset(fpga_handle handle); + +#ifdef __cplusplus +} // extern "C" +#endif // __cplusplus + +#endif // __FPGA_ACCESS_H__ diff --git a/opae-libs/include/opae/buffer.h b/opae-libs/include/opae/buffer.h new file mode 100644 index 0000000..bd200cf --- /dev/null +++ b/opae-libs/include/opae/buffer.h @@ -0,0 +1,142 @@ +// Copyright(c) 2017, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +/** + * @file buffer.h + * @brief Functions for allocating and sharing system memory with an FPGA + * accelerator + * + * To share memory between a software application and an FPGA accelerator, + * these functions set up system components (e.g. an IOMMU) to allow + * accelerator access to a provided memory region. + * + * There are a number of restrictions on what memory can be shared, depending + * on platform capabilities. Usually, FPGA accelerators to not have access to + * virtual address mappings of the CPU, so they can only access physical + * addresses. To support this, the OPAE C library on Linux uses hugepages to + * allocate large, contiguous pages of physical memory that can be shared with + * an accelerator. It also supports sharing memory that has already been + * allocated by an application, as long as that memory satisfies the + * requirements of being physically contigous and page-aligned. + */ + +#ifndef __FPGA_BUFFER_H__ +#define __FPGA_BUFFER_H__ + +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * Prepare a shared memory buffer + * + * Prepares a memory buffer for shared access between an accelerator and the calling + * process. This may either include allocation of physical memory, or + * preparation of already allocated memory for sharing. The latter case is + * indicated by supplying the FPGA_BUF_PREALLOCATED flag. + * + * This function will ask the driver to pin the indicated memory (make it + * non-swappable), and program the IOMMU to allow access from the accelerator. If the + * buffer was not pre-allocated (flag FPGA_BUF_PREALLOCATED), the function + * will also allocate physical memory of the requested size and map the + * memory into the caller's process' virtual address space. It returns in + * 'wsid' an fpga_buffer object that can be used to program address registers + * in the accelerator for shared access to the memory. + * + * When using FPGA_BUF_PREALLOCATED, the input len must be a non-zero multiple + * of the page size, else the function returns FPGA_INVALID_PARAM. When not + * using FPGA_BUF_PREALLOCATED, the input len is rounded up to the nearest + * multiple of page size. + * + * @param[in] handle Handle to previously opened accelerator resource + * @param[in] len Length of the buffer to allocate/prepare in bytes + * @param[inout] buf_addr Virtual address of buffer. Contents may be NULL (OS + * will choose mapping) or non-NULL (OS will take + * contents as a hint for the virtual address). + * @param[out] wsid Handle to the allocated/prepared buffer to be used + * with other functions + * @param[in] flags Flags. FPGA_BUF_PREALLOCATED indicates that memory + * pointed at in '*buf_addr' is already allocated an + * mapped into virtual memory. FPGA_BUF_READ_ONLY + * pins pages with only read access from the FPGA. + * @returns FPGA_OK on success. FPGA_NO_MEMORY if the requested memory could + * not be allocated. FPGA_INVALID_PARAM if invalid parameters were provided, or + * if the parameter combination is not valid. FPGA_EXCEPTION if an internal + * exception occurred while trying to access the handle. + * + * @note As a special case, when FPGA_BUF_PREALLOCATED is present in flags, + * if len == 0 and buf_addr == NULL, then the function returns FPGA_OK if + * pre-allocated buffers are supported. In this case, a return value other + * than FPGA_OK indicates that pre-allocated buffers are not supported. + */ +fpga_result fpgaPrepareBuffer(fpga_handle handle, + uint64_t len, + void **buf_addr, uint64_t *wsid, int flags); + +/** + * Release a shared memory buffer + * + * Releases a previously prepared shared buffer. If the buffer was allocated + * using fpgaPrepareBuffer (FPGA_BUF_PREALLOCATED was not specified), this call + * will deallocate/free that memory. Otherwise, it will only be returned to + * it's previous state (pinned/unpinned, cached/non-cached). + * + * @param[in] handle Handle to previously opened accelerator resource + * @param[in] wsid Handle to the allocated/prepared buffer + * @returns FPGA_OK on success. FPGA_INVALID_PARAM if invalid parameters were + * provided, or if the parameter combination is not valid. FPGA_EXCEPTION if an + * internal exception occurred while trying to access the handle. + */ +fpga_result fpgaReleaseBuffer(fpga_handle handle, uint64_t wsid); + +/** + * Retrieve base IO address for buffer + * + * This function is used to acquire the physical base address (on some platforms + * called IO Virtual Address or IOVA) for a shared buffer identified by wsid. + * + * @note This function will disappear once the APIs for secure sharing of + * buffer addresses is implemented. + * + * @param[in] handle Handle to previously opened accelerator resource + * @param[in] wsid Buffer handle / workspace ID referring to the buffer for + * which the IO address is requested + * @param[out] ioaddr Pointer to memory where the IO address will be returned + * @returns FPGA_OK on success. FPGA_INVALID_PARAM if invalid parameters were + * provided, or if the parameter combination is not valid. FPGA_EXCEPTION if an + * internal exception occurred while trying to access the handle. + * FPGA_NOT_FOUND if `wsid` does not refer to a previously shared buffer. + */ +fpga_result fpgaGetIOAddress(fpga_handle handle, uint64_t wsid, + uint64_t *ioaddr); + +#ifdef __cplusplus +} // extern "C" +#endif // __cplusplus + +#endif // __FPGA_BUFFER_H__ diff --git a/opae-libs/include/opae/cxx/core/errors.h b/opae-libs/include/opae/cxx/core/errors.h new file mode 100644 index 0000000..9f6a799 --- /dev/null +++ b/opae-libs/include/opae/cxx/core/errors.h @@ -0,0 +1,100 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#pragma once + +#include +#include +#include + +namespace opae { +namespace fpga { +namespace types { + +/** + * @brief An error object represents an error register for a resource. + * This is used to read out the raw value in the register. No parsing is + * done by this class. + */ +class error { + public: + typedef std::shared_ptr ptr_t; + + error(const error &e) = delete; + + error &operator=(const error &e) = delete; + + /** + * @brief Factory function for creating an error object. + * + * @param tok The token object representing a resource. + * @param num The index of the error register. This must be lower than the + * num_errors property of the resource. + * + * @return A shared_ptr containing the error object + */ + static error::ptr_t get(token::ptr_t tok, uint32_t num); + + /** + * @brief Get the error register name. + * + * @return A std::string object set to the error name. + */ + std::string name() { return error_info_.name; } + + /** + * @brief Indicates whether an error register can be cleared. + * + * @return A boolean value indicating if the error register can be cleared. + */ + bool can_clear() { return error_info_.can_clear; } + + /** + * @brief Read the raw value contained in the associated error register. + * + * @return A 64-bit value (unparsed) read from the error register + */ + uint64_t read_value(); + + ~error() {} + + /** + * @brief Get the C data structure + * + * @return The fpga_error_info that contains the name and the can_clear + * boolean. + */ + fpga_error_info c_type() const { return error_info_; } + + private: + error(token::ptr_t token, uint32_t num); + token::ptr_t token_; + fpga_error_info error_info_; + uint32_t error_num_; +}; + +} // end of namespace types +} // end of namespace fpga +} // end of namespace opae diff --git a/opae-libs/include/opae/cxx/core/events.h b/opae-libs/include/opae/cxx/core/events.h new file mode 100644 index 0000000..cf73c0b --- /dev/null +++ b/opae-libs/include/opae/cxx/core/events.h @@ -0,0 +1,113 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#pragma once + +#include + +#include + +#include + +namespace opae { +namespace fpga { +namespace types { + +/** + * @brief Wraps fpga event routines in OPAE C + */ +class event { + public: + typedef std::shared_ptr ptr_t; + + /** + * @brief Destroy event and associated resources + */ + virtual ~event(); + + /** + * @brief C++ struct that is interchangeable with fpga_event_type enum + */ + struct type_t { + type_t(fpga_event_type c_type) : type_(c_type) {} + + operator fpga_event_type() { return type_; } + + static constexpr fpga_event_type interrupt = FPGA_EVENT_INTERRUPT; + static constexpr fpga_event_type error = FPGA_EVENT_ERROR; + static constexpr fpga_event_type power_thermal = FPGA_EVENT_POWER_THERMAL; + + private: + fpga_event_type type_; + }; + + /** + * @brief Get the fpga_event_handle contained in this object + * + * @return The fpga_event_handle contained in this object + */ + fpga_event_handle get() { return event_handle_; } + + /** + * @brief Coversion operator for converting to fpga_event_handle objects + * + * @return The fpga_event_handle contained in this object + */ + operator fpga_event_handle(); + + /** + * @brief Factory function to create event objects + * + * @param h A shared ptr of a resource handle + * @param t The resource type + * @param flags Event registration flags passed on to fpgaRegisterEvent + * + * @return A shared ptr to an event object + */ + static event::ptr_t register_event(handle::ptr_t h, event::type_t t, + int flags = 0); + + /** + * @brief Get OS Object from the event object + * + * Get an OS specific object from the event which can be used to subscribe for + * events. On Linux, the object corresponds to a file descriptor that can be + * used with select/poll/epoll calls. + * + * @return An integer object representing the OS object + */ + int os_object() const; + + private: + event(handle::ptr_t h, event::type_t t, fpga_event_handle event_h); + handle::ptr_t handle_; + event::type_t type_; + fpga_event_handle event_handle_; + int os_object_; +}; + +} // end of namespace types +} // end of namespace fpga +} // end of namespace opae diff --git a/opae-libs/include/opae/cxx/core/except.h b/opae-libs/include/opae/cxx/core/except.h new file mode 100644 index 0000000..f2a9c50 --- /dev/null +++ b/opae-libs/include/opae/cxx/core/except.h @@ -0,0 +1,340 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#pragma once +#include +#include + +#include + +namespace opae { +namespace fpga { +namespace types { + +/// Identify a particular line in a source file. +class src_location { + public: + /** src_location constructor + * @param[in] file The source file name, typically __FILE__. + * @param[in] fn The current function, typically __func__. + * @param[in] line The current line number, typically __LINE__. + */ + src_location(const char *file, const char *fn, int line) noexcept; + + src_location(const src_location &other) noexcept; + + src_location &operator=(const src_location &other) noexcept; + + /** Retrieve the file name component of the location. + */ + const char *file() const noexcept; + + /** Retrieve the function name component of the location. + */ + const char *fn() const noexcept { return fn_; } + + /** Retrieve the line number component of the location. + */ + int line() const noexcept { return line_; } + + private: + const char *file_; + const char *fn_; + int line_; +}; + +/// Construct a src_location object for the current source line. +#define OPAECXX_HERE \ + opae::fpga::types::src_location(__FILE__, __func__, __LINE__) + +/** Generic OPAE exception + * + * An except tracks the source line of origin + * and an optional fpga_result. If no fpga_result + * is given, then FPGA_EXCEPTION is used. + */ +class except : public std::exception { + public: + static const std::size_t MAX_EXCEPT = 256; + + /** except constructor + * The fpga_result value is FPGA_EXCEPTION. + * + * @param[in] loc Location where the exception was constructed. + */ + except(src_location loc) noexcept; + + /** except constructor + * + * @param[in] res The fpga_result value associated with this exception. + * @param[in] loc Location where the exception was constructed. + */ + except(fpga_result res, src_location loc) noexcept; + + /** except constructor + * + * @param[in] res The fpga_result value associated with this exception. + * @param[in] msg The error message as a string + * @param[in] loc Location where the exception was constructed. + */ + except(fpga_result res, const char *msg, src_location loc) noexcept; + + /** Convert this except to an informative string. + */ + virtual const char *what() const noexcept override; + + /** Convert this except to its fpga_result. + */ + operator fpga_result() const noexcept { return res_; } + + protected: + fpga_result res_; + const char *msg_; + src_location loc_; + mutable char buf_[MAX_EXCEPT]; +}; + +/** invalid_param exception + * + * invalid_param tracks the source line of origin + * for exceptions thrown when the error code + * FPGA_INVALID_PARAM is returned from a call to + * an OPAE C API function + */ +class invalid_param : public except { + public: + /** invalid_param constructor + * + * @param[in] loc Location where the exception was constructed. + */ + invalid_param(src_location loc) noexcept + : except(FPGA_INVALID_PARAM, "failed with return code FPGA_INVALID_PARAM", + loc) {} +}; + +/** busy exception + * + * busy tracks the source line of origin + * for exceptions thrown when the error code + * FPGA_BUSY is returned from a call to + * an OPAE C API function + */ +class busy : public except { + public: + /** busy constructor + * + * @param[in] loc Location where the exception was constructed. + */ + busy(src_location loc) noexcept + : except(FPGA_BUSY, "failed with return code FPGA_BUSY", loc) {} +}; + +/** exception exception + * + * exception tracks the source line of origin + * for exceptions thrown when the error code + * FPGA_EXCEPTION is returned from a call to + * an OPAE C API function + */ +class exception : public except { + public: + /** exception constructor + * + * @param[in] loc Location where the exception was constructed. + */ + exception(src_location loc) noexcept + : except(FPGA_EXCEPTION, "failed with return code FPGA_EXCEPTION", loc) {} +}; + +/** not_found exception + * + * not_found tracks the source line of origin + * for exceptions thrown when the error code + * FPGA_NOT_FOUND is returned from a call to + * an OPAE C API function + */ +class not_found : public except { + public: + /** not_found constructor + * + * @param[in] loc Location where the exception was constructed. + */ + not_found(src_location loc) noexcept + : except(FPGA_NOT_FOUND, "failed with return code FPGA_NOT_FOUND", loc) {} +}; + +/** no_memory exception + * + * no_memory tracks the source line of origin + * for exceptions thrown when the error code + * FPGA_NO_MEMORY is returned from a call to + * an OPAE C API function + */ +class no_memory : public except { + public: + /** no_memory constructor + * + * @param[in] loc Location where the exception was constructed. + */ + no_memory(src_location loc) noexcept + : except(FPGA_NO_MEMORY, "failed with return code FPGA_NO_MEMORY", loc) {} +}; + +/** not_supported exception + * + * not_supported tracks the source line of origin + * for exceptions thrown when the error code + * FPGA_NOT_SUPPORTED is returned from a call to + * an OPAE C API function + */ +class not_supported : public except { + public: + /** not_supported constructor + * + * @param[in] loc Location where the exception was constructed. + */ + not_supported(src_location loc) noexcept + : except(FPGA_NOT_SUPPORTED, "failed with return code FPGA_NOT_SUPPORTED", + loc) {} +}; + +/** no_driver exception + * + * no_driver tracks the source line of origin + * for exceptions thrown when the error code + * FPGA_NO_DRIVER is returned from a call to + * an OPAE C API function + */ +class no_driver : public except { + public: + /** no_driver constructor + * + * @param[in] loc Location where the exception was constructed. + */ + no_driver(src_location loc) noexcept + : except(FPGA_NO_DRIVER, "failed with return code FPGA_NO_DRIVER", loc) {} +}; + +/** no_daemon exception + * + * no_daemon tracks the source line of origin + * for exceptions thrown when the error code + * FPGA_NO_DAEMON is returned from a call to + * an OPAE C API function + */ +class no_daemon : public except { + public: + /** no_daemon constructor + * + * @param[in] loc Location where the exception was constructed. + */ + no_daemon(src_location loc) noexcept + : except(FPGA_NO_DAEMON, "failed with return code FPGA_NO_DAEMON", loc) {} +}; + +/** no_access exception + * + * no_access tracks the source line of origin + * for exceptions thrown when the error code + * FPGA_NO_ACCESS is returned from a call to + * an OPAE C API function + */ +class no_access : public except { + public: + /** no_access constructor + * + * @param[in] loc Location where the exception was constructed. + */ + no_access(src_location loc) noexcept + : except(FPGA_NO_ACCESS, "failed with return code FPGA_NO_ACCESS", loc) {} +}; + +/** reconf_error exception + * + * reconf_error tracks the source line of origin + * for exceptions thrown when the error code + * FPGA_RECONF_ERROR is returned from a call to + * an OPAE C API function + */ +class reconf_error : public except { + public: + /** reconf_error constructor + * + * @param[in] loc Location where the exception was constructed. + */ + reconf_error(src_location loc) noexcept + : except(FPGA_RECONF_ERROR, "failed with return code FPGA_RECONF_ERROR", + loc) {} +}; + +namespace detail { + +/** typedef function pointer that returns bool if result is FPGA_OK + */ +typedef bool (*exception_fn)(fpga_result, + const opae::fpga::types::src_location &loc); + +/** is_ok is a template function that throws an excpetion of its template + * argument type + * if the result code is not FPGA_OK. Otherwise it returns true. + */ +template +constexpr bool is_ok(fpga_result result, + const opae::fpga::types::src_location &loc) { + return result == FPGA_OK ? true : throw T(loc); +} + +static exception_fn opae_exceptions[12] = { + is_ok, + is_ok, + is_ok, + is_ok, + is_ok, + is_ok, + is_ok, + is_ok, + is_ok, + is_ok}; + +static inline void assert_fpga_ok(fpga_result result, + const opae::fpga::types::src_location &loc) { + if (result > FPGA_OK && result <= FPGA_RECONF_ERROR) + // our exception table above starts at invalid_param with index 0 + // but FPGA_INVALID_PARAM is actually enum 1 - let's account for that + opae_exceptions[result - 1](result, loc); +} + +} // end of namespace detail + +/// Macro to check of result is FPGA_OK +/// If not, throw exception that corresponds +/// to the result code +#define ASSERT_FPGA_OK(r) \ + opae::fpga::types::detail::assert_fpga_ok( \ + r, opae::fpga::types::src_location(__FILE__, __func__, __LINE__)); + +} // end of namespace types +} // end of namespace fpga +} // end of namespace opae diff --git a/opae-libs/include/opae/cxx/core/handle.h b/opae-libs/include/opae/cxx/core/handle.h new file mode 100644 index 0000000..3bc6801 --- /dev/null +++ b/opae-libs/include/opae/cxx/core/handle.h @@ -0,0 +1,193 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#pragma once +#include +#include + +#include +#include +#include + +namespace opae { +namespace fpga { +namespace types { + +/** An allocated accelerator resource + * + * Represents an accelerator resource that has + * been allocated by OPAE. Depending on the type + * of resource, its register space may be + * read/written using a handle object. + */ +class handle { + public: + typedef std::shared_ptr ptr_t; + + handle(const handle &) = delete; + handle &operator=(const handle &) = delete; + + virtual ~handle(); + + /** Retrieve the underlying OPAE handle. + */ + fpga_handle c_type() const { return handle_; } + + /** Retrieve the underlying OPAE handle. + */ + operator fpga_handle() const { return handle_; } + + /** + * @brief Load a bitstream into an FPGA slot + * + * @param slot The slot number to program + * @param bitstream The bitstream binary data + * @param size The size of the bitstream + * @param flags Flags that control behavior of reconfiguration. + * Value of 0 indicates no flags. FPGA_RECONF_FORCE + * indicates that the bitstream is programmed into + * the slot without checking if the resource is + * currently in use. + * + * @throws invalid_param if the handle is not an FPGA device handle + * or if the other parameters are not valid. + * @throws exception if an internal error is encountered. + * @throws busy if the accelerator for the given slot is in use. + * @throws reconf_error if errors are reported by the driver + * (CRC or protocol errors). + */ + void reconfigure(uint32_t slot, const uint8_t *bitstream, size_t size, + int flags); + + /** + * @brief Read 32 bits from a CSR belonging to a resource associated + * with a handle. + * + * @param[in] offset The register offset + * @param[in] csr_space The CSR space to read from. Default is 0. + * + * @return The 32-bit value read from the CSR + */ + uint32_t read_csr32(uint64_t offset, uint32_t csr_space = 0) const; + + /** + * @brief Write 32 bit to a CSR belonging to a resource associated + * with a handle. + * + * @param[in] offset The register offset. + * @param[in] value The 32-bit value to write to the register. + * @param[in] csr_space The CSR space to read from. Default is 0. + * + */ + void write_csr32(uint64_t offset, uint32_t value, uint32_t csr_space = 0); + + /** + * @brief Read 64 bits from a CSR belonging to a resource associated + * with a handle. + * + * @param[in] offset The register offset + * @param[in] csr_space The CSR space to read from. Default is 0. + * + * @return The 64-bit value read from the CSR + */ + uint64_t read_csr64(uint64_t offset, uint32_t csr_space = 0) const; + + /** + * @brief Write 64 bits to a CSR belonging to a resource associated + * with a handle. + * + * @param[in] offset The register offset. + * @param[in] value The 64-bit value to write to the register. + * @param[in] csr_space The CSR space to read from. Default is 0. + * + */ + void write_csr64(uint64_t offset, uint64_t value, uint32_t csr_space = 0); + + /** + * @brief Write 512 bits to a CSR belonging to a resource associated + * with a handle. + * + * @param[in] offset The register offset. + * @param[in] value Pointer to the 512-bit value to write to the register. + * @param[in] csr_space The CSR space to read from. Default is 0. + * + */ + void write_csr512(uint64_t offset, const void *value, uint32_t csr_space = 0); + + /** Retrieve a pointer to the MMIO region. + * @param[in] offset The byte offset to add to MMIO base. + * @param[in] csr_space The desired CSR space. Default is 0. + * @return MMIO base + offset + */ + uint8_t *mmio_ptr(uint64_t offset, uint32_t csr_space = 0) const; + + /** Open an accelerator resource, given a raw fpga_token + * + * @param[in] token A token describing the accelerator + * resource to be allocated. + * + * @param[in] flags The flags parameter to fpgaOpen(). + * + * @return pointer to the mmio base + offset for the given + * csr space + * + */ + static handle::ptr_t open(fpga_token token, int flags); + + /** Open an accelerator resource, given a token object + * + * @param[in] token A token object describing the + * accelerator resource to be allocated. + * + * @param[in] flags The flags parameter to fpgaOpen(). + * + * @return shared ptr to a handle object + */ + static handle::ptr_t open(token::ptr_t token, int flags); + + /** Reset the accelerator identified by this handle + */ + virtual void reset(); + + /** Close an accelerator resource (if opened) + * + * @return fpga_result indication the result of closing the + * handle or FPGA_EXCEPTION if handle is not opened + * + * @note This is available for explicitly closing a handle. + * The destructor for handle will call close. + */ + fpga_result close(); + + private: + handle(fpga_handle h); + + fpga_handle handle_; + fpga_token token_; +}; + +} // end of namespace types +} // end of namespace fpga +} // end of namespace opae diff --git a/opae-libs/include/opae/cxx/core/properties.h b/opae-libs/include/opae/cxx/core/properties.h new file mode 100644 index 0000000..5b11b92 --- /dev/null +++ b/opae-libs/include/opae/cxx/core/properties.h @@ -0,0 +1,140 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#pragma once +#include +#include +#include +#include + +#include +#include + +namespace opae { +namespace fpga { +namespace types { + +class token; +class handle; +/** Wraps an OPAE fpga_properties object. + * + * properties are information describing an + * accelerator resource that is identified by + * its token. The properties are used during + * enumeration to narrow the search for an + * accelerator resource, and after enumeration + * to provide the configuration of that + * resource. + */ +class properties { + public: + typedef std::shared_ptr ptr_t; + + /** An empty vector of properties. + * Useful for enumerating based on a + * "match all" criteria. + */ + const static std::vector none; + + properties(const properties &p) = delete; + + properties &operator=(const properties &p) = delete; + + ~properties(); + + /** Get the underlying fpga_properties object. + */ + fpga_properties c_type() const { return props_; } + + /** Get the underlying fpga_properties object. + */ + operator fpga_properties() const { return props_; } + + /** Create a new properties object. + * @return A properties smart pointer. + */ + static properties::ptr_t get(); + + /** Create a new properties object from a guid. + * @param guid_in A guid to set in the properties + * @return A properties smart pointer with its guid initialized to guid_in + */ + static properties::ptr_t get(fpga_guid guid_in); + + /** Create a new properties object from an fpga_objtype. + * @param objtype An object type to set in the properties + * @return A properties smart pointer with its object type set to objtype. + */ + static properties::ptr_t get(fpga_objtype objtype); + + /** Retrieve the properties for a given token object. + * @param[in] t A token identifying the accelerator resource. + * @return A properties smart pointer for the given token. + */ + static properties::ptr_t get(std::shared_ptr t); + + /** Retrieve the properties for a given fpga_token. + * @param[in] t An fpga_token identifying the accelerator resource. + * @return A properties smart pointer for the given fpga_token. + */ + static properties::ptr_t get(fpga_token t); + + /** Retrieve the properties for a given handle object. + * @param[in] h A handle identifying the accelerator resource. + * @return A properties smart pointer for the given handle. + */ + static properties::ptr_t get(std::shared_ptr h); + + private: + properties(bool alloc_props = true); + fpga_properties props_; + + public: + pvalue type; + pvalue num_errors; + pvalue segment; + pvalue bus; + pvalue device; + pvalue function; + pvalue socket_id; + pvalue num_slots; + pvalue bbs_id; + pvalue bbs_version; + pvalue vendor_id; + pvalue device_id; + pvalue model; + pvalue local_memory_size; + pvalue capabilities; + pvalue num_mmio; + pvalue num_interrupts; + pvalue accelerator_state; + pvalue object_id; + pvalue parent; + guid_t guid; +}; + +} // end of namespace types +} // end of namespace fpga +} // end of namespace opae diff --git a/opae-libs/include/opae/cxx/core/pvalue.h b/opae-libs/include/opae/cxx/core/pvalue.h new file mode 100644 index 0000000..767f9ef --- /dev/null +++ b/opae-libs/include/opae/cxx/core/pvalue.h @@ -0,0 +1,278 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#pragma once +#include +#include +#include +#include +#include +#include +#include +#include +#include + +namespace opae { +namespace fpga { +namespace types { + +/** Representation of the guid member of a properties object. + */ +struct guid_t { + /** Construct the guid_t given its containing fpga_properties. + */ + guid_t(fpga_properties *p) : props_(p), is_set_(false) {} + + /** Update the local cached copy of the guid. + */ + void update() { + fpga_result res = fpgaPropertiesGetGUID( + *props_, reinterpret_cast(data_.data())); + ASSERT_FPGA_OK(res); + is_set_ = true; + } + + /** Return a raw pointer to the guid. + * @retval nullptr if the guid could not be queried. + */ + operator uint8_t *() { + update(); + return data_.data(); + } + + /** Return a raw pointer to the guid. + */ + const uint8_t *c_type() const { return data_.data(); } + + /** Assign from fpga_guid + * Sets the guid field of the associated properties + * object using the OPAE properties API. + * @param[in] g The given fpga_guid. + * @return a reference to this guid_t. + */ + guid_t &operator=(fpga_guid g) { + is_set_ = false; + ASSERT_FPGA_OK(fpgaPropertiesSetGUID(*props_, g)); + is_set_ = true; + uint8_t *begin = &g[0]; + uint8_t *end = begin + sizeof(fpga_guid); + std::copy(begin, end, data_.begin()); + return *this; + } + + /** Compare contents with an fpga_guid. + * @retval The result of memcmp of the two objects. + */ + bool operator==(const fpga_guid &g) { + return is_set() && (0 == std::memcmp(data_.data(), g, sizeof(fpga_guid))); + } + + /** Convert a string representation of a guid to binary. + * @param[in] str The guid string. + */ + void parse(const char *str) { + int u; + is_set_ = false; + if (0 != (u = uuid_parse(str, data_.data()))) { + throw except(OPAECXX_HERE); + } + ASSERT_FPGA_OK(fpgaPropertiesSetGUID(*props_, data_.data())); + is_set_ = true; + } + + /** Send the string representation of the guid_t to the given stream. + */ + friend std::ostream &operator<<(std::ostream &ostr, const guid_t &g) { + fpga_properties props = *g.props_; + fpga_guid guid_value; + fpga_result res; + if ((res = fpgaPropertiesGetGUID(props, &guid_value)) == FPGA_OK) { + char guid_str[84]; + uuid_unparse(guid_value, guid_str); + ostr << guid_str; + } else if (FPGA_NOT_FOUND == res) { + std::cerr << "[guid_t::<<] GUID property not set\n"; + } else { + ASSERT_FPGA_OK(res); + } + return ostr; + } + + /** Tracks whether the cached local copy of the guid is valid. + */ + bool is_set() const { return is_set_; } + + /** Invalidate the cached local copy of the guid. + */ + void invalidate() { is_set_ = false; } + + private: + fpga_properties *props_; + bool is_set_; + std::array data_; +}; + +/** + * @brief Wraps OPAE properties defined in the OPAE C API + * by associating an `fpga_properties` reference + * with the getters and setters defined for a property + * + * @tparam T The type of the property value being wrapped + */ +template +struct pvalue { + /** + * @brief Define getter function as getter_t + * For `char*` types, do not use T* as the second argument + * but instead use T + */ + typedef typename std::conditional< + std::is_same::value, fpga_result (*)(fpga_properties, T), + fpga_result (*)(fpga_properties, T *)>::type getter_t; + + /** + * @brief Define the setter function as setter_t + * + */ + typedef fpga_result (*setter_t)(fpga_properties, T); + + /** + * @brief Define the type of our copy variable + * For `char*` types use std::string as the copy + */ + typedef typename std::conditional::value, + typename std::string, T>::type copy_t; + + pvalue() : props_(0), is_set_(false), get_(nullptr), set_(nullptr) {} + + /** + * @brief pvalue contructor that takes in a reference to fpga_properties + * and corresponding accessor methods for a property + * + * @param p A reference to an fpga_properties + * @param g The getter function + * @param s The setter function + */ + pvalue(fpga_properties *p, getter_t g, setter_t s) + : props_(p), is_set_(false), get_(g), set_(s) {} + + /** + * @brief Overload of `=` operator that calls the wrapped setter + * + * @param v The value to set + * + * @return A reference to itself + */ + pvalue &operator=(const T &v) { + is_set_ = false; + ASSERT_FPGA_OK(set_(*props_, v)); + is_set_ = true; + copy_ = v; + return *this; + } + + /** + * @brief Compare a property for equality with a value + * + * @param other The value being compared to + * + * @return Whether or not the property is equal to the value + */ + bool operator==(const T &other) { return is_set() && (copy_ == other); } + + void update() { + ASSERT_FPGA_OK(get_(*props_, ©_)); + is_set_ = true; + } + + /** + * @brief Implicit converter operator - calls the wrapped getter + * + * @return The property value after calling the getter or a default + * value of the value type + */ + operator copy_t() { + update(); + return copy_; + } + + // TODO: Remove this once all properties are tested + fpga_result get_value(T &value) const { return get_(*props_, &value); } + + /** + * @brief Stream overalod operator + * + * @param ostr The output stream + * @param p A reference to a pvalue object + * + * @return The stream operator after streaming the property value + */ + friend std::ostream &operator<<(std::ostream &ostr, const pvalue &p) { + T value; + fpga_properties props = *p.props_; + fpga_result res; + if ((res = p.get_(props, &value)) == FPGA_OK) { + ostr << +(value); + } else if (FPGA_NOT_FOUND == res) { + std::cerr << "property getter returned (" << res << ") " + << fpgaErrStr(res); + } else { + ASSERT_FPGA_OK(res); + } + return ostr; + } + + /** Tracks whether the cached local copy of the pvalue is valid. + */ + bool is_set() const { return is_set_; } + + /** Invalidate the cached local copy of the pvalue. + */ + void invalidate() { is_set_ = false; } + + private: + fpga_properties *props_; + bool is_set_; + copy_t copy_; + getter_t get_; + setter_t set_; +}; + +/** + * @brief Template specialization of `char*` type property updater + * + * @return The result of the property getter function. + */ +template <> +inline void pvalue::update() { + char buf[256]; + ASSERT_FPGA_OK(get_(*props_, buf)); + copy_.assign(buf); + is_set_ = true; +} + +} // end of namespace types +} // end of namespace fpga +} // end of namespace opae diff --git a/opae-libs/include/opae/cxx/core/shared_buffer.h b/opae-libs/include/opae/cxx/core/shared_buffer.h new file mode 100644 index 0000000..8ce4a06 --- /dev/null +++ b/opae-libs/include/opae/cxx/core/shared_buffer.h @@ -0,0 +1,177 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#pragma once +#include +#include +#include +#include +#include +#include + +#include +#include +#include + +namespace opae { +namespace fpga { +namespace types { + +/** Host/AFU shared memory blocks + * + * shared_buffer abstracts a memory block that may be shared + * between the host cpu and an accelerator. The block may + * be allocated by the shared_buffer class itself (see allocate), + * or it may be allocated elsewhere and then attached to + * a shared_buffer object via attach. + */ +class shared_buffer { + public: + typedef std::size_t size_t; + typedef std::shared_ptr ptr_t; + + shared_buffer(const shared_buffer &) = delete; + shared_buffer &operator=(const shared_buffer &) = delete; + + /** shared_buffer destructor. + */ + virtual ~shared_buffer(); + + /** shared_buffer factory method - allocate a shared_buffer. + * @param[in] handle The handle used to allocate the buffer. + * @param[in] len The length in bytes of the requested buffer. + * @return A valid shared_buffer smart pointer on success, or an + * empty smart pointer on failure. + */ + static shared_buffer::ptr_t allocate(handle::ptr_t handle, size_t len, + bool read_only = false); + + /** Attach a pre-allocated buffer to a shared_buffer object. + * + * @param[in] handle The handle used to attach the buffer. + * @param[in] base The base of the pre-allocated memory. + * @param[in] len The size of the pre-allocated memory, + * which must be a multiple of the page size. + * @return A valid shared_buffer smart pointer on success, or an + * empty smart pointer on failure. + */ + static shared_buffer::ptr_t attach(handle::ptr_t handle, uint8_t *base, + size_t len, bool read_only = false); + + /** + * @brief Disassociate the shared_buffer object from the resource used to + * create it. If the buffer was allocated using the allocate function then + * the buffer is freed. + */ + void release(); + + /** Retrieve the virtual address of the buffer base. + * + * @note Instances of a shared buffer can only be created using either + * 'allocate' or 'attach' static factory function. Because these + * functions return a shared pointer (std::shared_ptr) to the instance, + * references to an instance are counted automatically by design of the + * shared_ptr class. Calling 'c_type()' function is provided to get access + * to the raw data but isn't used in tracking its reference count. + * Assigning this to a variable should be done in limited scopes as this + * variable can be defined in an outer scope and may outlive the + * shared_buffer object. Once the reference count in the shared_ptr reaches + * zero, the shared_buffer object will be released and deallocated, turning + * any variables assigned from a call to 'c_type()' into dangling pointers. + */ + volatile uint8_t *c_type() const { return virt_; } + + /** Retrieve the handle smart pointer associated with + * this buffer. + */ + handle::ptr_t owner() const { return handle_; } + + /** Retrieve the length of the buffer in bytes. + */ + size_t size() const { return len_; } + + /** Retrieve the underlying buffer's workspace id. + */ + uint64_t wsid() const { return wsid_; } + + /** Retrieve the address of the buffer suitable for + * programming into the accelerator device. + */ + uint64_t io_address() const { return io_address_; } + + /** Write c to each byte location in the buffer. + */ + void fill(int c); + + /** Compare this shared_buffer (the first len bytes) + * to that held in other, using memcmp(). + */ + int compare(ptr_t other, size_t len) const; + + /** Read a T-sized block of memory at the given location. + * @param[in] offset The byte offset from the start of the buffer. + * @return A T from buffer base + offset. + */ + template + T read(size_t offset) const { + if ((offset < len_) && (virt_ != nullptr)) { + return *reinterpret_cast(virt_ + offset); + } else if (offset >= len_) { + throw except(OPAECXX_HERE); + } else { + throw except(OPAECXX_HERE); + } + return T(); + } + + /** Write a T-sized block of memory to the given location. + * @param[in] value The value to write. + * @param[in] offset The byte offset from the start of the buffer. + */ + template + void write(const T &value, size_t offset) { + if ((offset < len_) && (virt_ != nullptr)) { + *reinterpret_cast(virt_ + offset) = value; + } else if (offset >= len_) { + throw except(OPAECXX_HERE); + } else { + throw except(OPAECXX_HERE); + } + } + + protected: + shared_buffer(handle::ptr_t handle, size_t len, uint8_t *virt, uint64_t wsid, + uint64_t io_address); + + handle::ptr_t handle_; + size_t len_; + uint8_t *virt_; + uint64_t wsid_; + uint64_t io_address_; +}; + +} // end of namespace types +} // end of namespace fpga +} // end of namespace opae diff --git a/opae-libs/include/opae/cxx/core/sysobject.h b/opae-libs/include/opae/cxx/core/sysobject.h new file mode 100644 index 0000000..e8992f1 --- /dev/null +++ b/opae-libs/include/opae/cxx/core/sysobject.h @@ -0,0 +1,200 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#pragma once +#include +#include + +#include +#include +#include + +namespace opae { +namespace fpga { +namespace types { + +/** Wraps the OPAE fpga_object primitive. + * sysobject's are created from a call to fpgaTokenGetObject, + * fpgaHandleGetObject, or fpgaObjectGetObject + */ +class sysobject { + public: + typedef std::shared_ptr ptr_t; + + sysobject() = delete; + + sysobject(const sysobject &o) = delete; + + sysobject &operator=(const sysobject &o) = delete; + + /** + * @brief Get a sysobject from a token. This will be read-only. + * + * @param[in] t Token object representing a resource. + * @param[in] name An identifier representing an object belonging to a + * resource represented by the token. + * @param[in] flags Control behavior of object identification and creation. + * FPGA_OBJECT_GLOB is used to indicate that the name should be treated as a + * globbing expression. FPGA_OBJECT_RECURSE_ONE indicates that subobjects be + * created for objects one level down from the object identified by name. + * FPGA_OBJECT_RECURSE_ALL indicates that subobjects be created for all + * objects below the current object identified by name. + * + * @return A shared_ptr to a sysobject instance. + */ + static sysobject::ptr_t get(token::ptr_t t, const std::string &name, + int flags = 0); + + /** + * @brief Get a sysobject from a handle. This will be read-write. + * + * @param[in] h Handle object representing an open resource. + * @param[in] name An identifier representing an object belonging to a + * resource represented by the handle. + * @param[in] flags Control behavior of object identification and creation. + * FPGA_OBJECT_GLOB is used to indicate that the name should be treated as a + * globbing expression. FPGA_OBJECT_RECURSE_ONE indicates that subobjects be + * created for objects one level down from the object identified by name. + * FPGA_OBJECT_RECURSE_ALL indicates that subobjects be created for all + * objects below the current object identified by name. + * + * @return A shared_ptr to a sysobject instance. + */ + static sysobject::ptr_t get(handle::ptr_t h, const std::string &name, + int flags = 0); + + /** + * @brief Get a sysobject from an object. This will be read-write if its + * parent was created from a handle.. + * + * @param[in] name An identifier representing an object belonging to this + * object. + * @param[in] flags Control behavior of object identification and creation. + * FPGA_OBJECT_GLOB is used to indicate that the name should be treated as a + * globbing expression. FPGA_OBJECT_RECURSE_ONE indicates that subobjects be + * created for objects one level down from the object identified by name. + * FPGA_OBJECT_RECURSE_ALL indicates that subobjects be created for all + * objects. Flags are defaulted to 0 meaning no flags. + * + * @return A shared_ptr to a sysobject instance. + */ + sysobject::ptr_t get(const std::string &name, int flags = 0); + + /** + * @brief Get a sysobject from a container object. This will be read-write if + * its parent was created from a handle.. + * + * @param[in] index An index number to get. + * + * @return A shared_ptr to a sysobject instance. + */ + sysobject::ptr_t get(int index); + + virtual ~sysobject(); + + /** + * @brief Get the size (in bytes) of the object. + * + * @return The number of bytes that the object occupies in memory. + */ + uint32_t size() const; + + /** + * @brief Read a 64-bit value from an FPGA object. + * The value is assumed to be in string format and will be parsed. See flags + * below for changing that behavior. + * + * @param[in] flags Flags that control how the object is read + * If FPGA_OBJECT_SYNC is used then object will update its buffered copy + * before retrieving the data. If FPGA_OBJECT_RAW is used, then the data + * will be read as raw bytes into the uint64_t pointer variable. Flags + * are defaulted to 0 meaning no flags. + * + * @return A 64-bit value from the object. + */ + uint64_t read64(int flags = 0) const; + + /** + * @brief Write 64-bit value to an FPGA object. + * The value will be converted to string before writing. See flags below for + * changing that behavior. + * + * @param[in] value The value to write to the object. + * @param[in] flags Flags that control how the object is written + * If FPGA_OBJECT_RAW is used, then the value will be written as raw bytes. + * Flags are defaulted to 0 meaning no flags. + * + * @note This operation will force a sync operation to update its cached + * buffer + */ + void write64(uint64_t value, int flags = 0) const; + + /** + * @brief Get all raw bytes from the object. + * + * @param[in] flags Flags that control how object is read + * If FPGA_OBJECT_SYNC is used then object will update its buffered copy + * before retrieving the data. + * + * @return A vector of all bytes in the object. + */ + std::vector bytes(int flags = 0) const; + + /** + * @brief Get a subset of raw bytes from the object. + * + * @param[in] offset The bytes offset for the start of the returned vector. + * @param[in] size The number of bytes for the returned vector. + * @param[in] flags Flags that control how object is read + * If FPGA_OBJECT_SYNC is used then object will update its buffered copy + * before retrieving the data. + * + * @return A vector of size bytes in the object starting at offset. + */ + std::vector bytes(uint32_t offset, uint32_t size, + int flags = 0) const; + + /** Get the object type (attribute or container) + */ + enum fpga_sysobject_type type() const; + + /** Retrieve the underlying fpga_object primitive. + */ + fpga_object c_type() const { return sysobject_; } + + /** Retrieve the underlying fpga_object primitive. + */ + operator fpga_object() const { return sysobject_; } + + private: + sysobject(fpga_object sysobj, token::ptr_t token, handle::ptr_t hnd); + fpga_object sysobject_; + token::ptr_t token_; + handle::ptr_t handle_; +}; + +} // end of namespace types +} // end of namespace fpga +} // end of namespace opae diff --git a/opae-libs/include/opae/cxx/core/token.h b/opae-libs/include/opae/cxx/core/token.h new file mode 100644 index 0000000..81c143e --- /dev/null +++ b/opae-libs/include/opae/cxx/core/token.h @@ -0,0 +1,74 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#pragma once +#include +#include + +#include +#include +#include +#include + +namespace opae { +namespace fpga { +namespace types { + +/** Wraps the OPAE fpga_token primitive. + * token's are created from an enumeration operation + * that uses properties describing an accelerator resource + * as search criteria. + */ +class token { + public: + typedef std::shared_ptr ptr_t; + + /** Obtain a vector of token smart pointers + * for given search criteria. + * @param[in] props The search criteria. + * @return A set of known tokens that match the search. + */ + static std::vector enumerate( + const std::vector& props); + + ~token(); + + /** Retrieve the underlying fpga_token primitive. + */ + fpga_token c_type() const { return token_; } + + /** Retrieve the underlying fpga_token primitive. + */ + operator fpga_token() const { return token_; } + + private: + token(fpga_token tok); + + fpga_token token_; +}; + +} // end of namespace types +} // end of namespace fpga +} // end of namespace opae diff --git a/opae-libs/include/opae/cxx/core/version.h b/opae-libs/include/opae/cxx/core/version.h new file mode 100644 index 0000000..12526e0 --- /dev/null +++ b/opae-libs/include/opae/cxx/core/version.h @@ -0,0 +1,55 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#pragma once +#include + +#include + +namespace opae { +namespace fpga { +namespace types { + +class version { + public: + /// @brief Get the package version information as a struct + /// + /// @return The package version as an `fpga_version` struct + static fpga_version as_struct(); + + /// @brief Get the package version information as a string + /// + /// @return The package version as an `std::string` object + static std::string as_string(); + + /// @brief Get the package build information as a string + /// + /// @return The package build as an `std::string` object + static std::string build(); +}; + +} // end of namespace types +} // end of namespace fpga +} // end of namespace opae diff --git a/opae-libs/include/opae/enum.h b/opae-libs/include/opae/enum.h new file mode 100644 index 0000000..fd3426f --- /dev/null +++ b/opae-libs/include/opae/enum.h @@ -0,0 +1,140 @@ +// Copyright(c) 2017, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +/** + * @file enum.h + * @brief APIs for resource enumeration and managing tokens + * + * These APIs are the first step for any application using OPAE to discover + * resources that are present on the system. They allow selective enumeration + * (i.e. getting a list of resources that match a given list of criteria) and + * methods to manage the lifecycle of tokens generated by fpgaEnumerate(). + */ + +#ifndef __FPGA_ENUM_H__ +#define __FPGA_ENUM_H__ + +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * Enumerate FPGA resources present in the system + * + * This call allows the user to query the system for FPGA resources that match + * a certain set of criteria, e.g. all accelerators that are assigned to a host + * interface and available, all FPGAs of a specific type, etc. + * + * fpgaEnumerate() will create a number of `fpga_token`s to represent the + * matching resources and populate the array `tokens` with these tokens. The + * `max_tokens` argument can be used to limit the number of tokens + * allocated/returned by fpgaEnumerate(); i.e., the number of tokens in the + * returned `tokens` array will be either `max_tokens` or `num_matches` (the + * number of resources matching the filter), whichever is smaller. Use + * fpgaDestroyToken() to destroy tokens that are no longer needed. + * + * To query the number of matches for a particular set of filters (e.g. to + * allocate a `tokens` array of the appropriate size), call fpgaEnumerate() + * with the parameter `tokens` set to NULL; this will only return the number of + * matches in `num_matches`. + * + * @note fpgaEnumerate() will allocate memory for the created tokens returned + * in `tokens`. It is the responsibility of the using application to free this + * memory after use by calling fpgaDestroyToken() for each of the returned + * tokens. + * + * @param[in] filters Array of `fpga_properties` objects describing the + * properties of the objects that should be returned. A + * resource is considered matching if its properties + * match any one of the supplied filters. To match all + * FPGA resources, pass an empty filters object (one + * without any filter criteria set) or pass a NULL + * filters parameter with num_filters set to 0. + * @param[in] num_filters Number of entries in the `filters` array, or 0 to + * match all FPGA resources when `filters` is NULL. + * @param[out] tokens Pointer to an array of fpga_token variables to be + * populated. If NULL is supplied, fpgaEnumerate() will + * not create any tokens, but it will return the + * number of possible matches in `num_match`. + * @param[in] max_tokens Maximum number of tokens that fpgaEnumerate() shall + * return (length of `tokens` array). There may be more + * or fewer matches than this number; `num_matches` is + * set to the number of actual matches. + * @param[out] num_matches Number of resources matching the `filter` criteria. + * This number can be higher than the number of tokens + * returned in the `tokens` array (depending on the + * value of `max_tokens`). + * @returns FPGA_OK on success. + * FPGA_INVALID_PARAM if invalid pointers or objects + * are passed into the function. + * FPGA_NO_DRIVER if OPAE can't find the respective + * enumeration data structures usually provided by the + * driver. + * FPGA_NO_MEMORY if there was not enough memory to + * create tokens. + */ +fpga_result fpgaEnumerate(const fpga_properties *filters, + uint32_t num_filters, fpga_token *tokens, + uint32_t max_tokens, uint32_t *num_matches); + +/** + * Clone a fpga_token object + * + * Creates a copy of an fpga_token object. + * + * @note This call creates a new token object and allocates memory for it. It + * is the responsibility of the using application to free this memory after use + * by calling fpgaDestroyToken() for the cloned token. + * + * @param[in] src fpga_token object to copy + * @param[out] dst New fpga_token object cloned from 'src' + * @returns FPGA_OK on success + */ +fpga_result fpgaCloneToken(fpga_token src, fpga_token *dst); + +/** + * Destroy a Token + * + * This function destroys a token created by fpgaEnumerate() and frees the + * associated memory. + * + * @note fpgaDestroyToken() requires the address of an fpga_token as + * previously created by fpgaEnumerate() or fpgaCloneToken(). Passing + * any other value results in undefined behavior. + * + * @param[in] token fpga_token to destroy + * @returns FPGA_OK on success + */ +fpga_result fpgaDestroyToken(fpga_token *token); + +#ifdef __cplusplus +} // extern "C" +#endif // __cplusplus + +#endif // __FPGA_ENUM_H__ + diff --git a/opae-libs/include/opae/error.h b/opae-libs/include/opae/error.h new file mode 100644 index 0000000..7802a57 --- /dev/null +++ b/opae-libs/include/opae/error.h @@ -0,0 +1,113 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +/** + * @file error.h + * @brief Functions for reading and clearing errors in resources + * + * Many FPGA resources have the ability to track the occurrence of errors. + * This file provides functions to retrieve information about errors within + * resources. + */ + +#ifndef __FPGA_ERROR_H__ +#define __FPGA_ERROR_H__ + +#include + +#ifdef __cplusplus +extern "C" { +#endif + + +/** + * Read error value + * + * This function will read the value of error register `error_num` of + * the resource referenced by `token` into the memory location pointed to + * by `value`. + * + * @param[in] token Token to accelerator resource to query + * @param[in] error_num Number of error register to read + * @param[out] value Pointer to memory to store error value into (64 bit) + * @returns FPGA_OK on success. FPGA_INVALID_PARAM if any of the supplied + * parameters is invalid. FPGA_EXCEPTION if an internal exception occurred + * while trying to access the token. + */ +fpga_result fpgaReadError(fpga_token token, uint32_t error_num, uint64_t *value); + +/** + * Clear error register + * + * This function will clear the error register `error_num` of the resource + * referenced by `token`. + * + * @param[in] token Token to accelerator resource to query + * @param[in] error_num Number of error register to clear + * @returns FPGA_OK on success. FPGA_INVALID_PARAM if any of the supplied + * parameters is invalid. FPGA_EXCEPTION if an internal exception occurred + * while trying to access the token, and FPGA_BUSY if error could not be + * cleared. + */ +fpga_result fpgaClearError(fpga_token token, uint32_t error_num); + +/** + * Clear all error registers of a particular resource + * + * This function will clear all error registers of the resource referenced by + * `token`, observing the necessary order of clearing errors, if any. + * + * @param[in] token Token to accelerator resource to query + * @returns FPGA_OK on success. FPGA_INVALID_PARAM if any of the supplied + * parameters is invalid. FPGA_EXCEPTION if an internal exception occurred + * while trying to access the token, and FPGA_BUSY if error could not be + * cleared. + */ +fpga_result fpgaClearAllErrors(fpga_token token); + +/** + * Get information about a particular error register + * + * This function will populate a `fpga_error_info` struct with information + * about error number `error_num` of the resource referenced by `token`. + * + * @param[in] token Token to accelerator resource to query + * @param[in] error_num Error register to retrieve information about + * @param[out] error_info Pointer to memory to store information into + * @returns FPGA_OK on success. FPGA_INVALID_PARAM if any of the supplied + * parameters is invalid. FPGA_EXCEPTION if an internal exception occurred + * while trying to access the token. + */ +fpga_result fpgaGetErrorInfo(fpga_token token, + uint32_t error_num, + struct fpga_error_info *error_info); + + +#ifdef __cplusplus +} // extern "C" +#endif // __cplusplus + +#endif // __FPGA_ERROR_H__ diff --git a/opae-libs/include/opae/event.h b/opae-libs/include/opae/event.h new file mode 100644 index 0000000..f755c61 --- /dev/null +++ b/opae-libs/include/opae/event.h @@ -0,0 +1,160 @@ +// Copyright(c) 2017, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +/** + * @file event.h + * @brief Functions for registering events and managing the lifecycle for + * `fpga_event_handle`s. + * + * OPAE provides an interface to asynchronous events that can be generated by + * different FPGA resources. The event API provides functions to register for + * these events; associated with every event a process has registered for is an + * fpga_event_handle, which encapsulates the OS-specific data structure for + * event objects. On Linux, an fpga_event_handle can be used as a file + * descriptor and passed to select(), poll(), epoll() and similar functions to + * wait for asynchronous events. + */ + +#ifndef __FPGA_EVENT_H__ +#define __FPGA_EVENT_H__ + +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * Initialize an event_handle + * + * Platform independent way to initialize an event_handle used for + * notifications from the driver to application. For Linux, this function + * creates an eventfd and returns the eventfd file descriptor in + * `*event_handle`. + * + * @param[out] event_handle Pointer to event handle variable. + * + * @returns FPGA_OK on success. FPGA_INVALID_PARAM if `event_handle` is NULL. + * FPGA_NOT_SUPPORTED if platform does not support events. + */ +fpga_result fpgaCreateEventHandle(fpga_event_handle *event_handle); + +/** + * Destroy an event_handle + * + * Destroy handle and free resources. On Linux this corresponds + * to closing the file descriptor pointed to by handle + * + * @note fpgaDestroyEventHandle() requires the address of an + * event_handle as created by fpgaCreateEventHandle(). Passing + * any other value results in undefined behavior. + * + * @param[in] event_handle Pointer to handle to be destroyed + * + * @returns FPGA_OK on success. FPGA_INVALID_PARAM if `event_handle` is NULL. + */ +fpga_result fpgaDestroyEventHandle(fpga_event_handle *event_handle); + + +/** + * Get OS object from event handle + * + * Check validity of event handle, and get the OS object used to + * subscribe and unsubscribe to events. On Linux, the object corresponds + * to a file descriptor. + * + * @param[in] eh Event handle to get the descriptor value from + * @param[out] fd integer to store the descriptor value + * + * @returns FPGA_OK on success. FPGA_INVALID_PARAM if `event_handle` is invalid. + */ +fpga_result fpgaGetOSObjectFromEventHandle(const fpga_event_handle eh, int *fd); + +/** + * Register an FPGA event + * + * This function tells the driver that the caller is interested in notification + * for the event specified by the type and flags pair. + * + * The event_handle points to an OS specific mechanism for event notification. + * An event_handle is associated with only a single event. + * + * In case of user interrupts, the flags parameter will be used to specify + * the vector ID. The value of the flags parameter indicates the vector ID, + * no bit encoding is used. + * + * @todo define if calling fpgaRegisterEvent multiple times with the + * same event_handle is an error condition or if it is silently ignored. + * + * @param[in] handle Handle to previously opened FPGA resource. + * @param[in] event_type Type of event + * @param[in] event_handle Handle to previously opened resource for event + * notification. + * @param[in] flags Optional argument for specifying additional + * information about event. For example irq number + * for interrupt events. + * @returns FPGA_OK on success. FPGA_INVALID_PARAM if handle does not refer to + * a resource supporting the requested event, or if event_handle is not valid. + * FPGA_EXCEPTION if an internal exception occurred while accessing the handle + * or the event_handle. On Linux: FPGA_NO_DAEMON if the driver does not support + * the requested event and there is no FPGA Daemon (fpgad) running to proxy it. + */ +fpga_result fpgaRegisterEvent(fpga_handle handle, + fpga_event_type event_type, + fpga_event_handle event_handle, + uint32_t flags); + +/** + * Unregister an FPGA event + * + * This function tells the driver that the caller is no longer interested in + * notification for the event associated with the event_handle + * + * The event_handle points to an OS specific mechanism for event notification. + * An event_handle is associated with only a single event. + * + * @todo define if calling fpgaUnregisterEvent multiple times with the + * same event_handle is an error condition or if it is silently ignored. + * + * @param[in] handle Handle to previously opened FPGA resource. + * @param[in] event_type Type of event to unregister. + * @param[in] event_handle Handle to previously registered resource for event + * notification. + * @returns FPGA_OK on success. FPGA_INVALID_PARAM if handle does + * not refer to a resource supporting the requested event, + * or if event_handle is not valid. FPGA_EXCEPTION if an + * internal error occurred accessing the handle or the + * event_handle. + */ +fpga_result fpgaUnregisterEvent(fpga_handle handle, + fpga_event_type event_type, + fpga_event_handle event_handle); + +#ifdef __cplusplus +} // extern "C" +#endif // __cplusplus + +#endif // __FPGA_EVENT_H__ diff --git a/opae-libs/include/opae/fpga.h b/opae-libs/include/opae/fpga.h new file mode 100644 index 0000000..2b02032 --- /dev/null +++ b/opae-libs/include/opae/fpga.h @@ -0,0 +1,57 @@ +// Copyright(c) 2017, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +/** + * \file fpga.h + * \brief FPGA API + * + * This conveniently includes all APIs that a part of the OPAE release (base and + * extensions). + */ + +#ifndef __FPGA_FPGA_H__ +#define __FPGA_FPGA_H__ + +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#endif // __FPGA_FPGA_H__ + diff --git a/opae-libs/include/opae/init.h b/opae-libs/include/opae/init.h new file mode 100644 index 0000000..9f45d05 --- /dev/null +++ b/opae-libs/include/opae/init.h @@ -0,0 +1,64 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +/** + * \file init.h + * \brief Initialization routine. + */ + +#ifndef __FPGA_INIT_H__ +#define __FPGA_INIT_H__ + +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * Initialize the OPAE library. + * + * Initialize OPAE using the given configuration file path, or + * perform default initialization if config_file is NULL. + * + * @param[in] config_file Path to OPAE configuration file. + * @returns Whether OPAE initialized successfully. + */ +fpga_result fpgaInitialize(const char *config_file); + +/** + * Finalize the OPAE library. + * + * @returns Whether OPAE finalized successfully. + */ +fpga_result fpgaFinalize(void); + +#ifdef __cplusplus +} // extern "C" +#endif // __cplusplus + +#endif // __FPGA_INIT_H__ + diff --git a/opae-libs/include/opae/log.h b/opae-libs/include/opae/log.h new file mode 100644 index 0000000..f913b48 --- /dev/null +++ b/opae-libs/include/opae/log.h @@ -0,0 +1,121 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifndef __OPAE_LOG_H__ +#define __OPAE_LOG_H__ + +#include +#include +#include +#include + +#include + +/* +* Convenience macros for printing messages and errors. +*/ +#ifdef __SHORT_FILE__ +#undef __SHORT_FILE__ +#endif // __SHORT_FILE__ +#define __SHORT_FILE__ \ + ({ \ + const char *file = __FILE__; \ + const char *p = file; \ +while (*p) \ + ++p; \ +while ((p > file) && ('/' != *p) && ('\\' != *p)) \ + --p; \ +if (p > file) \ + ++p; \ + p; \ +}) + +#ifdef OPAE_MSG +#undef OPAE_MSG +#endif // OPAE_MSG +#define OPAE_MSG(format, ...) \ + opae_print(OPAE_LOG_MESSAGE, "%s:%u:%s() : " format "\n", \ + __SHORT_FILE__, __LINE__, __func__, ##__VA_ARGS__) + +#ifdef OPAE_ERR +#undef OPAE_ERR +#endif // OPAE_ERR +#define OPAE_ERR(format, ...) \ + opae_print(OPAE_LOG_ERROR, \ + "%s:%u:%s() **ERROR** : " format "\n", \ + __SHORT_FILE__, __LINE__, __func__, ##__VA_ARGS__) + +#ifdef OPAE_DBG +#undef OPAE_DBG +#endif // OPAE_DBG +#ifdef LIBOPAE_DEBUG +#define OPAE_DBG(format, ...) \ + opae_print(OPAE_LOG_DEBUG, \ + "%s:%u:%s() *DEBUG* : " format "\n", \ + __SHORT_FILE__, __LINE__, __func__, ##__VA_ARGS__) +#else +#define OPAE_DBG(format, ...) \ +{ } +#endif // LIBOPAE_DEBUG + +#ifndef FPGA_MSG +#define FPGA_MSG OPAE_MSG +#endif // FPGA_MSG + +#ifndef FPGA_ERR +#define FPGA_ERR OPAE_ERR +#endif // FPGA_ERR + +#ifndef FPGA_DBG +#define FPGA_DBG OPAE_DBG +#endif // FPGA_DBG + +/* +* Logging functions +*/ +enum opae_loglevel { + OPAE_LOG_ERROR = 0, /* critical errors (always print) */ + OPAE_LOG_MESSAGE, /* information (i.e. explain return code */ + OPAE_LOG_DEBUG /* debugging (also needs #define DEBUG 1) */ +}; + +#define OPAE_DEFAULT_LOGLEVEL OPAE_LOG_ERROR + +#ifndef FPGA_DEFAULT_LOGLEVEL +#define FPGA_DEFAULT_LOGLEVEL OPAE_DEFAULT_LOGLEVEL +#endif // FPGA_DEFAULT_LOGLEVEL + +#ifdef __cplusplus +extern "C" { +#endif // __cplusplus + +void opae_print(int loglevel, const char *fmt, ...); + +#ifdef __cplusplus +} +#endif // __cplusplus + +#endif // __OPAE_LOG_H__ diff --git a/opae-libs/include/opae/manage.h b/opae-libs/include/opae/manage.h new file mode 100644 index 0000000..12ae211 --- /dev/null +++ b/opae-libs/include/opae/manage.h @@ -0,0 +1,148 @@ +// Copyright(c) 2017, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +/** + * @file manage.h + * @brief Functions for managing FPGA configurations + * + * FPGA accelerators can be reprogrammed at run time by providing new partial + * bitstreams ("green bitstreams"). This file defines API functions for + * programming green bitstreams as well as for assigning accelerators to host + * interfaces for more complex deployment setups, such as virtualized systems. + */ + +#ifndef __FPGA_MANAGE_H__ +#define __FPGA_MANAGE_H__ + +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/** +* Assign Port to a host interface. +* +* This function assign Port to a host interface for subsequent use. Only +* Port that have been assigned to a host interface can be opened by +* fpgaOpen(). +* +* @param[in] fpga Handle to an FPGA object previously opened that +* both the host interface and the slot belong to +* @param[in] interface_num Host interface number +* @param[in] slot_num Slot number +* @param[in] flags Flags (to be defined) +* @returns FPGA_OK on success +* FPGA_INVALID_PARAM if input parameter combination +* is not valid. +* FPGA_EXCEPTION if an exception occcurred accessing +* the `fpga` handle. +* FPGA_NOT_SUPPORTED if driver does not support +* assignment. +*/ +fpga_result fpgaAssignPortToInterface(fpga_handle fpga, + uint32_t interface_num, + uint32_t slot_num, + int flags); + +/** + * Assign an accelerator to a host interface + * + * This function assigns an accelerator to a host interface for subsequent use. Only + * accelerators that have been assigned to a host interface can be opened by + * fpgaOpen(). + * + * @note This function is currently not supported. + * + * @param[in] fpga Handle to an FPGA object previously opened that + * both the host interface and the accelerator belong to + * @param[in] accelerator accelerator to assign + * @param[in] host_interface Host interface to assign accelerator to + * @param[in] flags Flags (to be defined) + * @returns FPGA_OK on success + */ +fpga_result fpgaAssignToInterface(fpga_handle fpga, + fpga_token accelerator, + uint32_t host_interface, + int flags); + +/** + * Unassign a previously assigned accelerator + * + * This function removes the assignment of an accelerator to an host interface (e.g. to + * be later assigned to a different host interface). As a consequence, the accelerator + * referred to by token 'accelerator' will be reset during the course of this function. + * + * @note This function is currently not supported. + * + * @param[in] fpga Handle to an FPGA object previously opened that + * both the host interface and the accelerator belong to + * @param[in] accelerator accelerator to unassign/release + * @returns FPGA_OK on success + */ +fpga_result fpgaReleaseFromInterface(fpga_handle fpga, + fpga_token accelerator); + +/** + * Reconfigure a slot + * + * Sends a green bitstream file to an FPGA to reconfigure a specific slot. This + * call, if successful, will overwrite the currently programmed AFU in that + * slot with the AFU in the provided bitstream. + * + * As part of the reconfiguration flow, all accelerators associated with this slot will + * be unassigned and reset. + * + * @param[in] fpga Handle to an FPGA object previously opened + * @param[in] slot Token identifying the slot to reconfigure + * @param[in] bitstream Pointer to memory holding the bitstream + * @param[in] bitstream_len Length of the bitstream in bytes + * @param[in] flags Flags that control behavior of reconfiguration. + * Value of 0 indicates no flags. FPGA_RECONF_FORCE + * indicates that the bitstream is programmed into + * the slot without checking if the resource is + * currently in use. + * @returns FPGA_OK on success. FPGA_INVALID_PARAM if the provided parameters + * are not valid. FPGA_EXCEPTION if an internal error occurred accessing the + * handle or while sending the bitstream data to the driver. FPGA_BUSY if the + * accelerator for the given slot is in use. FPGA_RECONF_ERROR on errors + * reported by the driver (such as CRC or protocol errors). + * + * @note By default, fpgaReconfigureSlot will not allow reconfiguring a slot + * with an accelerator in use. Add the flag FPGA_RECONF_FORCE to force + * reconfiguration without checking for accelerators in use. + */ +fpga_result fpgaReconfigureSlot(fpga_handle fpga, + uint32_t slot, + const uint8_t *bitstream, + size_t bitstream_len, int flags); + +#ifdef __cplusplus +} // extern "C" +#endif // __cplusplus + +#endif // __FPGA_MANAGE_H__ + diff --git a/opae-libs/include/opae/metrics.h b/opae-libs/include/opae/metrics.h new file mode 100644 index 0000000..c83d327 --- /dev/null +++ b/opae-libs/include/opae/metrics.h @@ -0,0 +1,139 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +/** +* @file metrics.h +* @brief Functions for Discover/ Enumerates metrics and retrieves values +* +* +* +* +*/ + +#ifndef __FPGA_METRICS_H__ +#define __FPGA_METRICS_H__ + +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * Enumerates number of metrics + * + * @param[in] handle Handle to previously opened fpga resource + * @param[inout] num_metrics Number of metrics are discovered in + * fpga resource + * + * @returns FPGA_OK on success. FPGA_NOT_FOUND if the Metrics are not + * discovered + * + */ +fpga_result fpgaGetNumMetrics(fpga_handle handle, + uint64_t *num_metrics); + +/** + * Retrieve metrics information + * + * @param[in] handle Handle to previously opened fpga resource + * @param[inout] metric_info Pointer to array of metric info struct + * user allocates metrics info array + * + * @param[inout] num_metrics Size of metric info array + * + * @returns FPGA_OK on success. FPGA_NOT_FOUND if the Metrics are not + * found. FPGA_NO_MEMORY if there was not enough memory to enumerates + * metrics. + * + */ +fpga_result fpgaGetMetricsInfo(fpga_handle handle, + fpga_metric_info *metric_info, + uint64_t *num_metrics); + +/** + * Retrieve metrics values by index + * + * @param[in] handle Handle to previously opened fpga resource + * @param[inout] metric_num Pointer to array of metric index + * user allocates metric array + * @param[inout] num_metric_indexes Size of metric array + * @param[inout] metrics pointer to array of metric struct + * + * @returns FPGA_OK on success. FPGA_NOT_FOUND if the Metrics are not + * found. FPGA_NO_MEMORY if there was not enough memory to enumerates + * metrics. + * + */ +fpga_result fpgaGetMetricsByIndex(fpga_handle handle, + uint64_t *metric_num, + uint64_t num_metric_indexes, + fpga_metric *metrics); + +/** + * Retrieve metric values by names + * + * @param[in] handle Handle to previously opened fpga resource + * @param[inout] metrics_names Pointer to array of metrics name + * user allocates metrics name array + * @param[inout] num_metric_names Size of metric name array + * @param[inout] metrics Pointer to array of metric struct + * + * @returns FPGA_OK on success. FPGA_NOT_FOUND if the Metrics are not + * found + * + */ +fpga_result fpgaGetMetricsByName(fpga_handle handle, + char **metrics_names, + uint64_t num_metric_names, + fpga_metric *metrics); + + +/** + * Retrieve metrics / sendor threshold information and values + * + * @param[in] handle Handle to previously opened fpga resource + * @param[inout] metrics_threshold pointer to array of metric thresholds + * user allocates threshold array memory + * Number of thresholds returns enumerated thresholds if user pass + * NULL metrics_thresholds + * @param[inout] num_thresholds number of thresholds + * + * + * @returns FPGA_OK on success. FPGA_NOT_FOUND if the Metrics are not + * found. FPGA_NO_MEMORY if there was not enough memory to enumerates + * metrics. + * + */ +fpga_result fpgaGetMetricsThresholdInfo(fpga_handle handle, + struct metric_threshold *metric_thresholds, + uint32_t *num_thresholds); + +#ifdef __cplusplus +} // extern "C" +#endif // __cplusplus + +#endif // __FPGA_METRICS_H__ diff --git a/opae-libs/include/opae/mmio.h b/opae-libs/include/opae/mmio.h new file mode 100644 index 0000000..fc45da9 --- /dev/null +++ b/opae-libs/include/opae/mmio.h @@ -0,0 +1,207 @@ +// Copyright(c) 2017, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +/** + * @file mmio.h + * @brief Functions for mapping and accessing MMIO space + * + * Most FPGA accelerators provide access to control registers through + * memory-mappable address spaces, commonly referred to as "MMIO spaces". This + * file provides functions to map, unmap, read, and write MMIO spaces. + * + * Note that an accelerator may have multiple MMIO spaces, denoted by the + * `mmio_num` argument of the APIs below. The meaning and properties of each + * MMIO space are up to the accelerator designer. + */ + +#ifndef __FPGA_MMIO_H__ +#define __FPGA_MMIO_H__ + +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * Write 64 bit value to MMIO space + * + * This function will write to MMIO space of the target object at a specified + * offset. + * + * @param[in] handle Handle to previously opened accelerator resource + * @param[in] mmio_num Number of MMIO space to access + * @param[in] offset Byte offset into MMIO space + * @param[in] value Value to write (64 bit) + * @returns FPGA_OK on success. FPGA_INVALID_PARAM if any of the supplied + * parameters is invalid. FPGA_EXCEPTION if an internal exception occurred + * while trying to access the handle. + */ +fpga_result fpgaWriteMMIO64(fpga_handle handle, + uint32_t mmio_num, uint64_t offset, + uint64_t value); + +/** + * Read 64 bit value from MMIO space + * + * This function will read from MMIO space of the target object at a specified + * offset. + * + * @param[in] handle Handle to previously opened accelerator resource + * @param[in] mmio_num Number of MMIO space to access + * @param[in] offset Byte offset into MMIO space + * @param[out] value Pointer to memory where read value is returned (64 bit) + * @returns FPGA_OK on success. FPGA_INVALID_PARAM if any of the supplied + * parameters is invalid. FPGA_EXCEPTION if an internal exception occurred + * while trying to access the handle. + */ +fpga_result fpgaReadMMIO64(fpga_handle handle, + uint32_t mmio_num, + uint64_t offset, uint64_t *value); + +/** + * Write 32 bit value to MMIO space + * + * This function will write to MMIO space of the target object at a specified + * offset. + * + * @param[in] handle Handle to previously opened accelerator resource + * @param[in] mmio_num Number of MMIO space to access + * @param[in] offset Byte offset into MMIO space + * @param[in] value Value to write (32 bit) + * @returns FPGA_OK on success. FPGA_INVALID_PARAM if any of the supplied + * parameters is invalid. FPGA_EXCEPTION if an internal exception occurred + * while trying to access the handle. + */ +fpga_result fpgaWriteMMIO32(fpga_handle handle, + uint32_t mmio_num, uint64_t offset, + uint32_t value); + +/** + * Read 32 bit value from MMIO space + * + * This function will read from MMIO space of the target object at a specified + * offset. + * + * @param[in] handle Handle to previously opened accelerator resource + * @param[in] mmio_num Number of MMIO space to access + * @param[in] offset Byte offset into MMIO space + * @param[out] value Pointer to memory where read value is returned (32 bit) + * @returns FPGA_OK on success. FPGA_INVALID_PARAM if any of the supplied + * parameters is invalid. FPGA_EXCEPTION if an internal exception occurred + * while trying to access the handle. + */ +fpga_result fpgaReadMMIO32(fpga_handle handle, + uint32_t mmio_num, + uint64_t offset, uint32_t *value); + +/** + * Write 512 bit value to MMIO space + * + * 512 bit MMIO writes may not be supported on all platforms. + * + * This function will write to MMIO space of the target object at a specified + * offset. + * + * @param[in] handle Handle to previously opened accelerator resource + * @param[in] mmio_num Number of MMIO space to access + * @param[in] offset Byte offset into MMIO space + * @param[in] value Pointer to memory holding value to write (512 bits) + * @returns FPGA_OK on success. FPGA_INVALID_PARAM if any of the supplied + * parameters is invalid. FPGA_EXCEPTION if an internal exception occurred + * while trying to access the handle. + */ +fpga_result fpgaWriteMMIO512(fpga_handle handle, + uint32_t mmio_num, uint64_t offset, + const void *value); + +/** + * Map MMIO space + * + * This function will return a pointer to the specified MMIO space of the + * target object in process virtual memory, if supported by the target. Some + * MMIO spaces may be restricted to privileged processes, depending on the used + * handle and type. + * + * After mapping the respective MMIO space, you can access it through direct + * pointer operations (observing supported access sizes and alignments of the + * target platform and accelerator). + * + * @note Some targets (such as the ASE simulator) do not support memory-mapping + * of IO register spaces and will not return a pointer to an actually mapped + * space. Instead, they will return `FPGA_NOT_SUPPORTED`. Usually, these + * platforms still allow the application to issue MMIO operations using + * fpgaReadMMIO32(), fpgaWriteMMIO32(), fpgeReadMMIO64(), and + * fpgaWriteMMIO64(). + * + * If the caller passes in NULL for mmio_ptr, no mapping will be performed, and + * no virtual address will be returned, though the call will return `FPGA_OK`. + * This implies that all accesses will be performed through fpgaReadMMIO32(), + * fpgaWriteMMIO32(), fpgeReadMMIO64(), and fpgaWriteMMIO64(). This is the only + * supported case for ASE. + * + * The number of available MMIO spaces can be retrieved through the num_mmio + * property (fpgaPropertyGetNumMMIO()). + * + * @param[in] handle Handle to previously opened resource + * @param[in] mmio_num Number of MMIO space to access + * @param[out] mmio_ptr Pointer to memory where a pointer to the MMIO space + * will be returned. May be NULL, in which case no pointer + * is returned. Returned address may be NULL if underlying + * platform does not support memory mapping for register + * access. + * @returns FPGA_OK on success. FPGA_INVALID_PARAM if any of the supplied + * parameters is invalid. FPGA_EXCEPTION if an internal exception occurred + * while trying to access the handle. FPGA_NO_ACCESS if the process' + * permissions are not sufficient to map the requested MMIO space. + * FPGA_NOT_SUPPORTED if platform does not support memory mapped IO. + */ +fpga_result fpgaMapMMIO(fpga_handle handle, + uint32_t mmio_num, uint64_t **mmio_ptr); + +/** + * Unmap MMIO space + * + * This function will unmap a previously mapped MMIO space of the target object, + * rendering any pointers to it invalid. + * + * @note This call is only supported by hardware targets, not by ASE + * simulation. + * + * @param[in] handle Handle to previously opened resource + * @param[in] mmio_num Number of MMIO space to access + * @returns FPGA_OK on success. FPGA_INVALID_PARAM if any of the supplied + * parameters is invalid. FPGA_EXCEPTION if an internal exception occurred + * while trying to access the handle. + */ +fpga_result fpgaUnmapMMIO(fpga_handle handle, + uint32_t mmio_num); + +#ifdef __cplusplus +} // extern "C" +#endif // __cplusplus + +#endif // __FPGA_MMIO_H__ diff --git a/opae-libs/include/opae/properties.h b/opae-libs/include/opae/properties.h new file mode 100644 index 0000000..049796c --- /dev/null +++ b/opae-libs/include/opae/properties.h @@ -0,0 +1,750 @@ +// Copyright(c) 2017-2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +/** + * @file opae/properties.h + * @brief Functions for examining and manipulating `fpga_properties` objects + * + * In OPAE, `fpga_properties` objects are used both for obtaining information + * about resources and for selectively enumerating resources based on their + * properties. This file provides accessor functions (get/set) to allow reading + * and writing individual items of an `fpga_properties` object. Generally, not + * all object types supported by OPAE carry all properties. If you call a + * property accessor method on a `fpga_properties` object that does not support + * this particular property, it will return FPGA_INVALID_PARAM. + * + * # Accessor Return Values + * In addition to the return values specified in the documentation below, all + * accessor functions return FPGA_OK on success, FPGA_INVALID_PARAM if you pass + * NULL or invalid parameters (i.e. non-initialized properties objects), + * FPGA_EXCEPTION if an internal exception occurred trying to access the + * properties object, FPGA_NOT_FOUND if the requested property is not part of + * the supplied properties object. + */ + +#ifndef __FPGA_PROPERTIES_H__ +#define __FPGA_PROPERTIES_H__ + +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * Create a fpga_properties object + * + * Initializes the memory pointed at by `prop` to represent a properties + * object, and populates it with the properties of the resource referred to by + * `handle`. Individual properties can then be queried using fpgaPropertiesGet*() + * accessor functions. + * + * @note fpgaGetPropertiesFromHandle() will allocate memory for the created properties + * object returned in `prop`. It is the responsibility of the caller + * to free this memory after use by calling fpgaDestroyProperties(). + * + * @param[in] handle Open handle to get properties for. + * @param[out] prop Pointer to a variable of type fpga_properties + * @returns FPGA_OK on success. FPGA_NO_MEMORY if no memory could be allocated + * to create the `fpga_properties` object. FPGA_EXCEPTION if an exception + * happend while initializing the `fpga_properties` object. + */ +fpga_result fpgaGetPropertiesFromHandle(fpga_handle handle, fpga_properties *prop); + +/** + * Create a fpga_properties object + * + * Initializes the memory pointed at by `prop` to represent a properties + * object, and populates it with the properties of the resource referred to by + * `token`. Individual properties can then be queried using fpgaPropertiesGet*() + * accessor functions. + * + * If `token` is NULL, an "empty" properties object is created to be used as a + * filter for fpgaEnumerate(). All individual fields are set to `don`t care`, + * which implies that the fpga_properties object would match all FPGA resources + * if used for an fpgaEnumerate() query. The matching criteria can be further + * refined by using fpgaSet* functions on the properties object, or the + * object can be populated with the actual properties of a resource by using + * fpgaUpdateProperties(). + * + * @note fpgaGetProperties() will allocate memory for the created properties + * object returned in `prop`. It is the responsibility of the caller + * to free this memory after use by calling fpgaDestroyProperties(). + * + * @param[in] token Token to get properties for. Can be NULL, which will + * create an empty properties object to be used as a + * filter for fpgaEnumerate(). + * @param[out] prop Pointer to a variable of type fpga_properties + * @returns FPGA_OK on success. FPGA_NO_MEMORY if no memory could be allocated + * to create the `fpga_properties` object. FPGA_EXCEPTION if an exception + * happend while initializing the `fpga_properties` object. + */ +fpga_result fpgaGetProperties(fpga_token token, fpga_properties *prop); + +/** + * Update a fpga_properties object + * + * Populates the properties object 'prop' with properties of the resource + * referred to by 'token'. Unlike fpgaGetProperties(), this call will not create + * a new properties object or allocate memory for it, but use a previously + * created properties object. + * + * @param[in] token Token to retrieve properties for + * @param[in] prop fpga_properties object to update + * @returns FPGA_OK on success. FPGA_INVALID_PARAM if `token` or `prop` are not + * valid objects. FPGA_NOT_FOUND if the resource referred to by `token` was + * not found. FPGA_NO_DRIVER if not driver is loaded. FPGA_EXCEPTION if an + * internal exception occured when trying to update `prop`. + */ +fpga_result fpgaUpdateProperties(fpga_token token, fpga_properties prop); + +/** + * Clear a fpga_properties object + * + * Sets all fields of the properties object pointed at by 'prop' to 'don't + * care', which implies that the fpga_properties object would match all FPGA + * resources if used for an fpgaEnumerate() query. The matching criteria can be + * further refined by using fpgaSet* functions on the properties object. + * + * Instead of creating a new fpga_properties object every time, this function + * can be used to re-use fpga_properties objects from previous queries. + * + * @param[in] prop fpga_properties object to clear + * @returns FPGA_OK on success. FPGA_INVALID_PARAM if `prop` is not a valid + * object. FPGA_EXCEPTION if an * internal exception occured when trying to + * access `prop`. + */ +fpga_result fpgaClearProperties(fpga_properties prop); + +/** + * Clone a fpga_properties object + * + * Creates a copy of an fpga_properties object. + * + * @note This call creates a new properties object and allocates memory for it. + * Both the 'src' and the newly created 'dst' objects will eventually need to be + * destroyed using fpgaDestroyProperties(). + * + * @param[in] src fpga_properties object to copy + * @param[out] dst New fpga_properties object cloned from 'src' + * @returns FPGA_OK on success. FPGA_INVALID_PARAM if `src` is not a valid + * object, or if `dst` is NULL. FPGA_NO_MEMORY if there was not enough memory + * to allocate an `fpga_properties` object for `dst`. FPGA_EXCEPTION if an + * internal exception occurred either accessing `src` or updating `dst`. + */ +fpga_result fpgaCloneProperties(fpga_properties src, fpga_properties *dst); + +/** + * Destroy a fpga_properties object + * + * Destroys an existing fpga_properties object that the caller has previously + * created using fpgaGetProperties() or fpgaCloneProperties(). + * + * @note fpgaDestroyProperties() requires the address of an fpga_properties + * object, similar to fpgaGetPropertiesFromHandle(), fpgaGetProperties(), + * and fpgaCloneProperties(). Passing any other value results in undefined + * behavior. + * + * @param[inout] prop Pointer to the fpga_properties object to destroy + * @returns FPGA_OK on success. FPGA_INVALID_PARAM is `prop` is not a valid + * object. FPGA_EXCEPTION if an internal exception occurrred while trying to + * access `prop`. + */ +fpga_result fpgaDestroyProperties(fpga_properties *prop); + +/** + * Get the token of the parent object + * + * Returns the token of the parent of the queried resource in '*parent'. + * + * @param[in] prop Properties object to query + * @param[out] parent Pointer to a token variable of the resource 'prop' is + * associated with + * @returns FPGA_NOT_FOUND if resource does not have a + * parent (e.g. an FPGA_DEVICE resource does not have parents). Also see + * "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesGetParent(const fpga_properties prop, + fpga_token *parent); + +/** + * Set the token of the parent object + * + * @param[in] prop Properties object to modify + * @param[out] parent Pointer to a token variable of the resource 'prop' is + * associated with + * @returns See "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesSetParent(fpga_properties prop, + fpga_token parent); +/** + * Get the object type of a resource + * + * Returns the object type of the queried resource. + * + * @param[in] prop Properties object to query + * @param[out] objtype Pointer to an object type variable of the resource + * 'prop' is associated with + * @returns See "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesGetObjectType(const fpga_properties prop, + fpga_objtype *objtype); + +/** + * Set the object type of a resource + * + * Sets the object type of the resource. * Currently supported object types are + * FPGA_DEVICE and FPGA_ACCELERATOR. + * + * @param[in] prop Properties object to modify + * @param[out] objtype Object type of the resource 'prop' is associated with + * @returns See "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesSetObjectType(fpga_properties prop, + fpga_objtype objtype); +/** + * Get the PCI segment number of a resource + * + * Returns the segment number of the queried resource. + * + * @param[in] prop Properties object to query + * @param[out] segment Pointer to a PCI segment variable of the resource 'prop' + * is associated with + * @returns See "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesGetSegment(const fpga_properties prop, uint16_t *segment); + +/** + * Set the PCI segment number of a resource + * + * @param[in] prop Properties object to modify + * @param[in] segment PCI segment number of the resource 'prop' is associated with + * @returns See "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesSetSegment(fpga_properties prop, uint16_t segment); + +/** + * Get the PCI bus number of a resource + * + * Returns the bus number the queried resource. + * + * @param[in] prop Properties object to query + * @param[out] bus Pointer to a PCI bus variable of the resource 'prop' + * is associated with + * @returns See "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesGetBus(const fpga_properties prop, uint8_t *bus); + +/** + * Set the PCI bus number of a resource + * + * @param[in] prop Properties object to modify + * @param[in] bus PCI bus number of the resource 'prop' is associated with + * @returns See "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesSetBus(fpga_properties prop, uint8_t bus); + +/** + * Get the PCI device number of a resource + * + * Returns the device number the queried resource. + * + * @param[in] prop Properties object to query + * @param[out] device Pointer to a PCI device variable of the resource 'prop' + * is associated with + * @returns See "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesGetDevice(const fpga_properties prop, + uint8_t *device); + +/** + * Set the PCI device number of a resource + * + * Enforces the limitation on the number of devices as specified in the + * PCI spec. + * + * @param[in] prop Properties object to modify + * @param[in] device PCI device number of the resource 'prop' is associated + * with + * @returns See "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesSetDevice(fpga_properties prop, + uint8_t device); + +/** + * Get the PCI function number of a resource + * + * Returns the function number the queried resource. + * + * @param[in] prop Properties object to query + * @param[out] function Pointer to PCI function variable of the + * resource 'prop' is associated with + * @returns See "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesGetFunction(const fpga_properties prop, + uint8_t *function); + +/** + * Set the PCI function number of a resource + * + * Enforces the limitation on the number of functions as specified in the + * PCI spec. + * + * @param[in] prop Properties object to modify + * @param[in] function PCI function number of the resource 'prop' is + * associated with + * @returns See "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesSetFunction(fpga_properties prop, + uint8_t function); + +/** + * Get the socket id of a resource + * + * Returns the socket id of the queried resource. + * + * @param[in] prop Properties object to query + * @param[out] socket_id Pointer to a socket id variable of the + * resource 'prop' + * is associated with + * @returns See "Accessor Return Values" in [properties.h](#properties-h). + * See also "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesGetSocketID(const fpga_properties prop, + uint8_t *socket_id); + +/** + * Set the socket id of the resource + * + * @param[in] prop Properties object to modify + * @param[in] socket_id Socket id of the resource 'prop' is + * associated with + * @returns See "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesSetSocketID(fpga_properties prop, + uint8_t socket_id); + +/** + * Get the device id of the resource + * + * @param[in] prop Properties object to query + * @param[out] device_id Pointer to a device id variable of the + * resource 'prop' is associated with + * @returns See "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesGetDeviceID(const fpga_properties prop, + uint16_t *device_id); + +/** + * Set the device id of the resource + * + * @param[in] prop Properties object to modify + * @param[in] device_id Device id of the resource 'prop' is associated with + * @returns See "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesSetDeviceID(fpga_properties prop, + uint16_t device_id); + +/** + * Get the number of slots of an FPGA resource property + * + * Returns the number of slots present in an FPGA. + * + * @param[in] prop Properties object to query - must be of type FPGA_DEVICE + * @param[out] num_slots Pointer to number of slots variable of the FPGA + * @returns FPGA_INVALID_PARAM if object type is not FPGA_DEVICE. See also + * "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesGetNumSlots(const fpga_properties prop, + uint32_t *num_slots); + +/** + * Set the number of slots of an FPGA resource property + * + * @param[in] prop Properties object to modify - must be of type + * FPGA_DEVICE + * @param[in] num_slots Number of slots of the FPGA + * @returns FPGA_INVALID_PARAM if object type is not FPGA_DEVICE. See also + * "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesSetNumSlots(fpga_properties prop, + uint32_t num_slots); + +/** + * Get the BBS ID of an FPGA resource property + * + * Returns the blue bitstream id of an FPGA. + * + * @param[in] prop Properties object to query - must be of type FPGA_DEVICE + * @param[out] bbs_id Pointer to a bbs id variable of the FPGA + * @returns FPGA_INVALID_PARAM if object type is not FPGA_DEVICE. See also + * "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesGetBBSID(const fpga_properties prop, + uint64_t *bbs_id); + + +/** + * Set the BBS ID of an FPGA resource property + * + * @param[in] prop Properties object to modify - must be of type + * FPGA_DEVICE + * @param[in] bbs_id Blue bitstream id of the FPGA resource + * @returns FPGA_INVALID_PARAM if object type is not FPGA_DEVICE. See also + * "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesSetBBSID(fpga_properties prop, + uint64_t bbs_id); + + +/** + * Get the BBS Version of an FPGA resource property + * + * Returns the blue bitstream version of an FPGA. + * + * @param[in] prop Properties object to query - must be of type + * FPGA_DEVICE + * @param[out] bbs_version Pointer to a bbs version variable of the FPGA + * @returns FPGA_INVALID_PARAM if object type is not FPGA_DEVICE. See also + * "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesGetBBSVersion(const fpga_properties prop, + fpga_version *bbs_version); + +/** + * Set the BBS Version of an FPGA resource property + * + * @param[in] prop Properties object to modify - must be of type + * FPGA_DEVICE + * @param[in] version Blue bitstream version of the FPGA resource + * @returns FPGA_INVALID_PARAM if object type is not FPGA_DEVICE. See also + * "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesSetBBSVersion(fpga_properties prop, + fpga_version version); + + +/** + * Get the vendor id of an FPGA resource property + * + * Returns the vendor id of an FPGA. + * + * @param[in] prop Properties object to query - must be of type FPGA_DEVICE + * @param[out] vendor_id Pointer to a vendor id variable of the FPGA + * @returns FPGA_INVALID_PARAM if object type is not FPGA_DEVICE. See also + * "Accessor Return Values" in [properties.h](#properties-h). + * + * @note This API is not currently supported. + */ +fpga_result fpgaPropertiesGetVendorID(const fpga_properties prop, + uint16_t *vendor_id); + + +/** + * Set the vendor id of an FPGA resource property + * + * @param[in] prop Properties object to modify - must be of type FPGA_DEVICE + * @param[in] vendor_id Vendor id of the FPGA resource + * @returns FPGA_INVALID_PARAM if object type is not FPGA_DEVICE. See also + * "Accessor Return Values" in [properties.h](#properties-h). + * + * @note This API is not currently supported. + */ +fpga_result fpgaPropertiesSetVendorID(fpga_properties prop, + uint16_t vendor_id); + +/** + * Get the model of an FPGA resource property + * + * Returns the model of an FPGA. + * + * @param[in] prop Properties object to query - must be of type FPGA_DEVICE + * @param[in] model Model of the FPGA resource (string of minimum + * FPGA_MODEL_LENGTH length + * @returns FPGA_INVALID_PARAM if object type is not FPGA_DEVICE. See also + * "Accessor Return Values" in [properties.h](#properties-h). + * + * @note This API is not currently supported. + */ +fpga_result fpgaPropertiesGetModel(const fpga_properties prop, + char *model); + + +/** + * Set the model of an FPGA resource property + * + * @param[in] prop Properties object to modify - must be of type FPGA_DEVICE + * @param[in] model Model of the FPGA resource (string of maximum + * FPGA_MODEL_LENGTH length + * @returns FPGA_INVALID_PARAM if object type is not FPGA_DEVICE. See also + * "Accessor Return Values" in [properties.h](#properties-h). + * + * @note This API is not currently supported. + */ +fpga_result fpgaPropertiesSetModel(fpga_properties prop, + char *model); + + +/** + * Get the local memory size of an FPGA resource property + * + * Returns the local memory size of an FPGA. + * + * @param[in] prop Properties object to query - must be of type FPGA_DEVICE + * @param[out] lms Pointer to a memory size variable of the FPGA + * @returns FPGA_INVALID_PARAM if object type is not FPGA_DEVICE. See also + * "Accessor Return Values" in [properties.h](#properties-h). + * + * @note This API is not currently supported. + */ +fpga_result fpgaPropertiesGetLocalMemorySize(const fpga_properties prop, + uint64_t *lms); + + +/** + * Set the local memory size of an FPGA resource property + * + * @param[in] prop Properties object to modify - must be of type FPGA_DEVICE + * @param[in] lms Local memory size of the FPGA resource + * @returns FPGA_INVALID_PARAM if object type is not FPGA_DEVICE. See also + * "Accessor Return Values" in [properties.h](#properties-h). + * + * @note This API is not currently supported. + */ +fpga_result fpgaPropertiesSetLocalMemorySize(fpga_properties prop, + uint64_t lms); + +/** + * Get the capabilities FPGA resource property + * + * Returns the capabilities of an FPGA. + * Capabilities is a bitfield value + * + * @param[in] prop Properties object to query - must be of type + * FPGA_DEVICE + * @param[out] capabilities Pointer to a capabilities variable of the FPGA + * @returns FPGA_INVALID_PARAM if object type is not FPGA_DEVICE. See also + * "Accessor Return Values" in [properties.h](#properties-h). + * + * @note This API is not currently supported. + */ +fpga_result fpgaPropertiesGetCapabilities(const fpga_properties prop, + uint64_t *capabilities); + + +/** + * Set the capabilities of an FPGA resource property + * + * Capabilities is a bitfield value + * + * @param[in] prop Properties object to modify - must be of type + * FPGA_DEVICE + * @param[in] capabilities Capabilities of the FPGA resource + * @returns FPGA_INVALID_PARAM if object type is not FPGA_DEVICE. See also + * "Accessor Return Values" in [properties.h](#properties-h). + * + * @note This API is not currently supported. + */ +fpga_result fpgaPropertiesSetCapabilities(fpga_properties prop, + uint64_t capabilities); + +/** + * Get the GUID of a resource + * + * Returns the GUID of an FPGA or accelerator object. + * + * For an accelerator, the GUID uniquely identifies a specific accelerator context type, + * i.e. different accelerators will have different GUIDs. For an FPGA, the GUID + * is used to identify a certain instance of an FPGA, e.g. to determine whether + * a given bitstream would be compatible. + * + * @param[in] prop Properties object to query + * @param[out] guid Pointer to a GUID of the slot variable + * @returns See "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesGetGUID(const fpga_properties prop, + fpga_guid *guid); + +/** + * Set the GUID of a resource + * + * Sets the GUID of an FPGA or accelerator object. + * + * For an accelerator, the GUID uniquely identifies a specific accelerator context type, + * i.e. different accelerators will have different GUIDs. For an FPGA, the GUID + * is used to identify a certain instance of an FPGA, e.g. to determine whether + * a given bitstream would be compatible. + * + * @param[in] prop Properties object to modify + * @param[out] guid Pointer to a GUID of the slot variable + * @returns See "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesSetGUID(fpga_properties prop, fpga_guid guid); + +/** + * Get the number of mmio spaces + * + * Returns the number of mmio spaces of an AFU properties structure. + * + * @param[in] prop Properties object to query - must be of type FPGA_ACCELERATOR + * @param[out] mmio_spaces Pointer to a variable for number of mmio spaces + * @returns FPGA_INVALID_PARAM if object type is not FPGA_ACCELERATOR. See also + * "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesGetNumMMIO(const fpga_properties prop, + uint32_t *mmio_spaces); + +/** + * Set the number of mmio spaces + * + * Sets the number of mmio spaces of an AFU properties structure. + * + * @param[in] prop Properties object to modify - must be of type FPGA_ACCELERATOR + * @param[in] mmio_spaces Number of MMIO spaces of the accelerator + * @returns FPGA_INVALID_PARAM if object type is not FPGA_ACCELERATOR. See also + * "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesSetNumMMIO(fpga_properties prop, + uint32_t mmio_spaces); + +/** + * Get the number of interrupts + * + * Returns the number of interrupts of an accelerator properties structure. + * + * @param[in] prop Properties object to query - must be of type + * FPGA_ACCELERATOR + * @param[out] num_interrupts Pointer to a variable for number of interrupts + * @returns FPGA_INVALID_PARAM if object type is not FPGA_ACCELERATOR. See also + * "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesGetNumInterrupts(const fpga_properties prop, + uint32_t *num_interrupts); + +/** + * Set the number of interrupts + * + * Sets the number of interrupts of an accelerator properties structure. + * + * @param[in] prop Properties object to modify - must be of type + * FPGA_ACCELERATOR + * @param[in] num_interrupts Number of interrupts of the accelerator + * @returns FPGA_INVALID_PARAM if object type is not FPGA_ACCELERATOR. See also + * "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesSetNumInterrupts(fpga_properties prop, + uint32_t num_interrupts); + +/** + * Get the state of a accelerator resource property + * + * Returns the accelerator state of a accelerator. + * + * @param[in] prop Properties object to query - must be of type FPGA_ACCELERATOR + * @param[out] state Pointer to a accelerator state variable of the accelerator + * @returns FPGA_INVALID_PARAM if object type is not FPGA_ACCELERATOR. See also + * "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesGetAcceleratorState(const fpga_properties prop, + fpga_accelerator_state *state); + + +/** + * Set the state of an accelerator resource property + * + * @param[in] prop Properties object to modify - must be of type FPGA_ACCELERATOR + * @param[in] state accelerator state of the accelerator resource + * @returns FPGA_INVALID_PARAM if object type is not FPGA_ACCELERATOR. See also + * "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesSetAcceleratorState(fpga_properties prop, + fpga_accelerator_state state); + +/** + * Get the object ID of a resource + * + * Returns the object ID of a resource. The object ID is a 64 bit identifier + * that is unique within a single node or system. It represents a similar + * concept as the token, but can be used across processes (e.g. passed on the + * command line). + * + * @param[in] prop Properties object to query + * @param[out] object_id Pointer to a 64bit memory location to store the object + * ID in + * @returns See "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesGetObjectID(const fpga_properties prop, + uint64_t *object_id); + + +/** + * Set the object ID of a resource + * + * Sets the object ID of a resource. The object ID is a 64 bit identifier + * that is unique within a single node or system. It represents a similar + * concept as the token, but can be used across processes (e.g. passed on the + * command line). + * + * @param[in] prop Properties object to query + * @param[in] object_id A 64bit value to use as the object ID + * @returns See "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesSetObjectID(const fpga_properties prop, + uint64_t object_id); + + +/** + * Get the number of errors that can be reported by a resource + * + * Returns the number of error registers understood by a resource. + * + * @param[in] prop Properties object to query + * @param[out] num_errors Pointer to a 32 bit memory location to store the + * number of supported errors in + * @returns See "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesGetNumErrors(const fpga_properties prop, + uint32_t *num_errors); + + +/** + * Set the number of error registers + * + * Set the number of error registers understood by a resource to enumerate. + * + * @param[in] prop Properties object to query + * @param[in] num_errors Number of errors + * @returns See "Accessor Return Values" in [properties.h](#properties-h). + */ +fpga_result fpgaPropertiesSetNumErrors(const fpga_properties prop, + uint32_t num_errors); + +#ifdef __cplusplus +} // extern "C" +#endif // __cplusplus + +#endif // __FPGA_PROPERTIES_H__ + diff --git a/opae-libs/include/opae/sysobject.h b/opae-libs/include/opae/sysobject.h new file mode 100644 index 0000000..b087218 --- /dev/null +++ b/opae-libs/include/opae/sysobject.h @@ -0,0 +1,242 @@ +// Copyright(c) 2017-2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +/** + * @file opae/sysobject.h + * @brief Functions to read/write from system objects. + * On Linux systems with the OPAE kernel driver, this is used to access sysfs + * nodes created by the driver. + */ +#ifndef __FPGA_SYSOBJECT_H__ +#define __FPGA_SYSOBJECT_H__ + +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * @brief Create an `fpga_object` data structures. An `fpga_object` + * is a handle to an FPGA resource which can be an attribute, register or + * a container. This object is read-only. + * + * @param[in] token Token identifying a resource (accelerator or device) + * @param[in] name A key identifying an object belonging to a resource. + * @param[out] object Pointer to memory to store the object in + * @param[in] flags Control behavior of object identification and creation. + * FPGA_OBJECT_GLOB is used to indicate that the name should be treated as a + * globbing expression. FPGA_OBJECT_RECURSE_ONE indicates that subobjects be + * created for objects one level down from the object identified by name. + * FPGA_OBJECT_RECURSE_ALL indicates that subobjects be created for all objects + * below the current object identified by name. + * + * @return FPGA_OK on success. FPGA_INVALID_PARAM if any of the supplied + * parameters is invalid. FPGA_NOT_FOUND if an object cannot be found with the + * given key. FPGA_NOT_SUPPORTED if this function is not supported by the + * current implementation of this API. + * + * @note Names that begin with '.' or '/' or contain '..' are not allowed and + * result in FPGA_INVALID_PARAM being returned + * + */ +fpga_result fpgaTokenGetObject(fpga_token token, const char *name, + fpga_object *object, int flags); + +/** + * @brief Create an `fpga_object` data structure from a handle. + * An `fpga_object` is a handle to an FPGA resource which can be an attribute, + * register, or container. This object has read/write access.. + * + * @param[in] handle Handle identifying a resource (accelerator or device) + * @param[in] name A key identifying an object belonging to a resource. + * @param[out] object Pointer to memory to store the object in + * @param[in] flags Control behavior of object identification and creation + * FPGA_OBJECT_GLOB is used to indicate that the name should be treated as a + * globbing expression. FPGA_OBJECT_RECURSE_ONE indicates that subobjects be + * created for objects one level down from the object identified by name. + * FPGA_OBJECT_RECURSE_ALL indicates that subobjects be created for all objects + * below the current object identified by name. + * + * @return FPGA_OK on success. FPGA_INVALID_PARAM if any of the supplied + * parameters is invalid. FPGA_NOT_FOUND if an object cannot be found with the + * given key. FPGA_NOT_SUPPORTED if this function is not supported by the + * current implementation of this API. + * + * @note Names that begin with '.' or '/' or contain '..' are not allowed and + * result in FPGA_INVALID_PARAM being returned + * + */ +fpga_result fpgaHandleGetObject(fpga_handle handle, const char *name, + fpga_object *object, int flags); + +/** + * @brief Create an `fpga_object` data structure from a parent object. An + * `fpga_object` is a handle to an FPGA resource which can be an attribute, + * register, or container. If the parent object was created with a handle, + * then the new object will inherit the handle allowing it to have read-write + * access to the object data. + * + * @param[in] parent A parent container `fpga_object`. + * @param[in] name A key identifying a sub-object of the parent container. + * @param[out] object Pointer to memory to store the object in. + * @param[in] flags Control behavior of object identification and creation. + * FPGA_OBJECT_GLOB is used to indicate that the name should be treated as a + * globbing expression. FPGA_OBJECT_RECURSE_ONE indicates that subobjects be + * created for objects one level down from the object identified by name. + * FPGA_OBJECT_RECURSE_ALL indicates that subobjects be created for all objects + * below the current object identified by name. + * + * @return FPGA_OK on success. FPGA_INVALID_PARAM if any of the supplied + * parameters is invalid - this includes a parent object that is not a + * container object. FPGA_NOT_FOUND if an object cannot be found with the given + * key. FPGA_NOT_SUPPORTED if this function is not supported by the current + * implementation of this API. + * + * @note Names that begin with '.' or '/' or contain '..' are not allowed and + * result in FPGA_INVALID_PARAM being returned + * + */ +fpga_result fpgaObjectGetObject(fpga_object parent, const char *name, + fpga_object *object, int flags); + +/** + * @brief Create an `fpga_object` data structure from a parent object using a + * given index. An `fpga_object` is a handle to an FPGA resource which can be + * an attribute, register, or container. If the parent object was created with + * a handle, then the new object will inherit the handle allowing it to have + * read-write access to the object data. + * + * @param[in] parent A parent container 'fpga_object' + * @param[in] idx A positive index less than the size reported by the parent. + * @param[out] object Pointer to memory to store the object in. + * + * @return FPGA_OK on success. FPGA_INVALID_PARAM if any of the supplied + * parameters is invalid - this includes a parent object that is not a + * container object. FPGA_NOT_FOUND if an object cannot be found with the given + * key. FPGA_NOT_SUPPORTED if this function is not supported by the current + * implementation of this API. + */ +fpga_result fpgaObjectGetObjectAt(fpga_object parent, size_t idx, + fpga_object *object); +/** + * @brief Get the sysobject type (container or attribute) + * + * @param[in] obj An fpga_object instance + * @param[out] type The type of object (FPGA_OBJECT_CONTAINER or + * FPGA_OBJECT_ATTRIBUTE) + * + * @return FPGA_OK on success, FPGA_INVALID_PARAM if any of the supplied + * parameters are null or invalid + */ +fpga_result fpgaObjectGetType(fpga_object obj, enum fpga_sysobject_type *type); + +/** + * @brief Free memory used for the fpga_object data structure + * + * @note fpgaDestroyObject() requires the address of an fpga_object + * as created by fpgaTokenGetObject(), fpgaHandleGetObject(), + * or fpgaObjectGetObject(). Passing any other value results in + * undefind behavior. + * + * @param obj Pointer to the fpga_object instance to destroy + * + * @return FPGA_OK on success, FPGA_INVALID_PARAM if the object is NULL, + * FPGA_EXCEPTION if an internal error is encountered. + */ +fpga_result fpgaDestroyObject(fpga_object *obj); + +/** + * @brief Retrieve the size of the object. + * + * @param[in] obj An fpga_object instance. + * @param[out] value Pointer to variable to store size in. + * @param[in] flags Flags that control how the object is read + * If FPGA_OBJECT_SYNC is used then object will update its buffered copy before + * retrieving the size. + * + * @return FPGA_OK on success. FPGA_INVALID_PARAM if any of supplied parameters + * is invalid. FPGA_EXCEPTION if error occurred. + */ +fpga_result fpgaObjectGetSize(fpga_object obj, uint32_t *value, int flags); + +/** + * @brief Read bytes from an FPGA object + * + * @param[in] obj An fpga_object instance. + * @param[out] buffer Pointer to a buffer to read bytes into. + * @param[in] offset Byte offset relative to objects internal buffer where to + * begin reading bytes from. + * @param[in] len The length, in bytes, to read from the object. + * @param[in] flags Flags that control how object is read + * If FPGA_OBJECT_SYNC is used then object will update its buffered copy before + * retrieving the data. + * + * @return FPGA_OK on success, FPGA_INVALID_PARAM if any of the supplied + * parameters is invalid + */ +fpga_result fpgaObjectRead(fpga_object obj, uint8_t *buffer, size_t offset, + size_t len, int flags); + +/** + * @brief Read a 64-bit value from an FPGA object. + * The value is assumed to be in string format and will be parsed. See flags + * below for changing that behavior. + * + * @param[in] obj An fpga_object instance + * @param[out] value Pointer to a 64-bit variable to store the value in + * @param[in] flags Flags that control how the object is read + * If FPGA_OBJECT_SYNC is used then object will update its buffered copy before + * retrieving the data. If FPGA_OBJECT_RAW is used, then the data will be read + * as raw bytes into the uint64_t pointer variable. + * + * @return FPGA_OK on success, FPGA_INVALID_PARAM if any of the supplied + * parameters is invalid + */ +fpga_result fpgaObjectRead64(fpga_object obj, uint64_t *value, int flags); + +/** + * @brief Write 64-bit value to an FPGA object. + * The value will be converted to string before writing. See flags below for + * changing that behavior. + * + * @param[in] obj An fpga_object instance. + * @param[in] value The value to write to the object + * @param[in] flags Flags that control how the object is written + * If FPGA_OBJECT_RAW is used, then the value will be written as raw bytes. + * + * @return FPGA_OK on success, FPGA_INVALID_PARAM if any of the supplied + * parameters is invalid + * + * @note The object must have been created using a handle to a resource. + */ +fpga_result fpgaObjectWrite64(fpga_object obj, uint64_t value, int flags); + +#ifdef __cplusplus +} // extern "C" +#endif // __cplusplus + +#endif /* !__FPGA_SYSOBJECT_H__ */ diff --git a/opae-libs/include/opae/types.h b/opae-libs/include/opae/types.h new file mode 100644 index 0000000..8eef1ac --- /dev/null +++ b/opae-libs/include/opae/types.h @@ -0,0 +1,255 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +/** + * @file types.h + * @brief Type definitions for FPGA API + * + * OPAE uses the three opaque types fpga_properties, fpga_token, and + * fpga_handle to create a hierarchy of objects that can be used to enumerate, + * reference, acquire, and query FPGA resources. This object model is designed + * to be extensible to account for different FPGA architectures and platforms. + * + * Initialization + * -------------- + * OPAEs management of the opaque types `fpga_properties`, + * `fpga_token`, and `fpga_handle` relies on the proper initialization of + * variables of these types. In other words, before doing anything with a + * variable of one of these opaque types, you need to first initialize them. + * + * The respective functions that initialize opaque types are: + * + * * fpgaGetProperties() and fpgaCloneProperties() for `fpga_properties` + * * fpgaEnumerate() and fpgaCloneToken() for `fpga_token` + * * fpgaOpen() for `fpga_handle` + * + * This should intuitively make sense - fpgaGetProperties() creates + * `fpga_properties` objects, fpgaEnumerate() creates `fpga_token` objects, + * fpgaOpen() creates `fpga_handle` objects, and fpgaCloneProperties() and + * fpgaCloneToken() clone (create) `fpga_properties` and `fpga_token` objects, + * respectively. + * + * Since these opaque types are interpreted as pointers (they are typedef'd to + * a `void *`), passing an uninitialized opaque type into any function except + * the respective initailzation function will result in undefined behaviour, + * because OPAE will try to follow an invalid pointer. Undefined behaviour in + * this case may include an unexpected error code, or an application crash. + * + */ + +#ifndef __FPGA_TYPES_H__ +#define __FPGA_TYPES_H__ + +#include +#include +#include +#include + +/** + * Object for expressing FPGA resource properties + * + * `fpga_properties` objects encapsulate all enumerable information about an + * FPGA resources. They can be used for two purposes: selective enumeration + * (discovery) and querying information about existing resources. + * + * For selective enumeration, usually an empty `fpga_properties` object is + * created (using fpgaGetProperties()) and then populated with the desired + * criteria for enumeration. An array of `fpga_properties` can then be passed + * to fpgaEnumerate(), which will return a list of `fpga_token` objects + * matching these criteria. + * + * For querying properties of existing FPGA resources, fpgaGetProperties() can + * also take an `fpga_token` and will return an `fpga_properties` object + * populated with information about the resource referenced by that token. + * + * After use, `fpga_properties` objects should be destroyed using + * fpga_destroyProperties() to free backing memory used by the + * `fpga_properties` object. + */ +typedef void *fpga_properties; + +/** + * Token for referencing FPGA resources + * + * An `fpga_token` serves as a reference to a specific FPGA resource present in + * the system. Holding an `fpga_token` does not constitute ownership of the + * FPGA resource - it merely allows the user to query further information about + * a resource, or to use fpgaOpen() to acquire ownership. + * + * `fpga_token`s are usually returned by fpgaEnumerate() or + * fpgaPropertiesGetParent(), and used by fpgaOpen() to acquire ownership and + * yield a handle to the resource. Some API calls also take `fpga_token`s as + * arguments if they don't require ownership of the resource in question. + */ +typedef void *fpga_token; + +/** + * Handle to an FPGA resource + * + * A valid `fpga_handle` object, as populated by fpgaOpen(), denotes ownership + * of an FPGA resource. Note that ownership can be exclusive or shared, + * depending on the flags used in fpgaOpen(). Ownership can be released by + * calling fpgaClose(), which will render the underlying handle invalid. + * + * Many OPAE C API functions require a valid token (which is synonymous with + * ownership of the resource). + */ +typedef void *fpga_handle; + +/** + * Globally unique identifier (GUID) + * + * GUIDs are used widely within OPAE for helping identify FPGA resources. For + * example, every FPGA resource has a `guid` property, which can be (and in the + * case of FPGA_ACCELERATOR resource primarily is) used for enumerating a resource of a + * specific type. + * + * `fpga_guid` is compatible with libuuid's uuid_t, so users can use libuuid + * functions like uuid_parse() to create and work with GUIDs. + */ +typedef uint8_t fpga_guid[16]; + +/** + * Semantic version + * + * Data structure for expressing version identifiers following the semantic + * versioning scheme. Used in various properties for tracking component + * versions. + */ +typedef struct { + uint8_t major; /**< Major version */ + uint8_t minor; /**< Minor version */ + uint16_t patch; /**< Revision or patchlevel */ +} fpga_version; + +/** Handle to an event object + * + * OPAE provides an interface to asynchronous events that can be generated by + * different FPGA resources. The event API provides functions to register for + * these events; associated with every event a process has registered for is an + * `fpga_event_handle`, which encapsulates the OS-specific data structure for + * event objects. + * + * After use, `fpga_event_handle` objects should be destroyed using + * fpgaDestroyEventHandle() to free backing memory used by the + * `fpga_event_handle` object. + */ +typedef void *fpga_event_handle; + +/** Information about an error register + * + * This data structure captures information about an error register exposed by + * an accelerator resource. The error API provides functions to retrieve these + * information structures from a particular resource. + */ +#define FPGA_ERROR_NAME_MAX 64 +struct fpga_error_info { + char name[FPGA_ERROR_NAME_MAX]; /** name of the error */ + bool can_clear; /** whether error can be cleared */ +}; + +/** Object pertaining to an FPGA resource as identified by a unique name + * + * An `fpga_object` represents either a device attribute or a container of + * attributes. Similar to filesystems, a '/' may be used to seperate objects in + * an object hierarchy. Once on object is acquired, it may be used to read or + * write data in a resource attribute or to query sub-objects if the object is + * a container object. The data in an object is buffered and will be kept + * around until the object is destroyed. Additionally, the data in an attribute + * can by synchronized from the owning resource using the FPGA_OBJECT_SYNC flag + * during read operations. The name identifying the object is unique with + * respect to the resource that owns it. A parent resource may be identified by + * an `fpga_token` object, by an `fpga_handle` object, or another `fpga_object` + * object. If a handle object is used when opening the object, then the object + * is opened with read-write access. Otherwise, the object is read-only. + */ +typedef void *fpga_object; + +/** FPGA Metric string size + * + * + */ +#define FPGA_METRIC_STR_SIZE 256 +/** Metric value union + * + * + */ +typedef union { + uint64_t ivalue; // Metric integer value + double dvalue; // Metric double value + float fvalue; // Metric float value + bool bvalue; // Metric bool value +} metric_value; + + +/** Metric info struct + * + * + */ +typedef struct fpga_metric_info { + uint64_t metric_num; // Metric index num + fpga_guid metric_guid; // Metric guid + char qualifier_name[FPGA_METRIC_STR_SIZE]; // Metric full name + char group_name[FPGA_METRIC_STR_SIZE]; // Metric group name + char metric_name[FPGA_METRIC_STR_SIZE]; // Metric name + char metric_units[FPGA_METRIC_STR_SIZE]; // Metric units + enum fpga_metric_datatype metric_datatype; // Metric data type + enum fpga_metric_type metric_type; // Metric group type +} fpga_metric_info; + +/** Metric struct + * + * + */ +typedef struct fpga_metric { + uint64_t metric_num; // Metric index num + metric_value value; // Metric value + bool isvalid; // Metric value is valid +} fpga_metric; + + +/** Threshold struct + * + * + */ +typedef struct threshold { + char threshold_name[FPGA_METRIC_STR_SIZE]; // Threshold name + uint32_t is_valid; // Threshold is valid + double value; // Threshold value +} threshold; + +typedef struct metric_threshold { + char metric_name[FPGA_METRIC_STR_SIZE]; // Metric Threshold name + threshold upper_nr_threshold; // Upper Non-Recoverable Threshold + threshold upper_c_threshold; // Upper Critical Threshold + threshold upper_nc_threshold; // Upper Non-Critical Threshold + threshold lower_nr_threshold; // Lower Non-Recoverable Threshold + threshold lower_c_threshold; // Lower Critical Threshold + threshold lower_nc_threshold; // Lower Non-Critical Threshold + threshold hysteresis; // Hysteresis +} metric_threshold; + +#endif // __FPGA_TYPES_H__ diff --git a/opae-libs/include/opae/types_enum.h b/opae-libs/include/opae/types_enum.h new file mode 100644 index 0000000..91370a2 --- /dev/null +++ b/opae-libs/include/opae/types_enum.h @@ -0,0 +1,193 @@ +// Copyright(c) 2017, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +/** + * @file types_enum.h + * @brief Definitions of enumerated types for the OPAE C API + * + * This file defines return and error codes, event and object types, states, + * and flags as used or reported by OPAE C API functions. + */ + +#ifndef __FPGA_TYPES_ENUM_H__ +#define __FPGA_TYPES_ENUM_H__ + +/** + * OPAE C API function return codes + * + * Every public API function exported by the OPAE C library will return one of + * these codes. Usually, FPGA_OK denotes successful completion of the requested + * operation, while any return code *other* than FPGA_OK indicates an error or + * other deviation from the expected behavior. Users of the OPAE C API should + * always check the return codes of the APIs they call, and not use output + * parameters of functions that did not execute successfully. + + * The fpgaErrStr() function converts error codes into printable messages. + * + * OPAE also has a logging mechanism that allows a developer to get more + * information about why a particular call failed with a specific message. If + * enabled, any function that returns an error code different from FPGA_OK will + * also print out a message with further details. This mechanism can be enabled + * by setting the environment variable `LIBOPAE_LOG` to 1 before running the + * respective application. + */ +typedef enum { + FPGA_OK = 0, /**< Operation completed successfully */ + FPGA_INVALID_PARAM, /**< Invalid parameter supplied */ + FPGA_BUSY, /**< Resource is busy */ + FPGA_EXCEPTION, /**< An exception occurred */ + FPGA_NOT_FOUND, /**< A required resource was not found */ + FPGA_NO_MEMORY, /**< Not enough memory to complete operation */ + FPGA_NOT_SUPPORTED, /**< Requested operation is not supported */ + FPGA_NO_DRIVER, /**< Driver is not loaded */ + FPGA_NO_DAEMON, /**< FPGA Daemon (fpgad) is not running */ + FPGA_NO_ACCESS, /**< Insufficient privileges or permissions */ + FPGA_RECONF_ERROR /**< Error while reconfiguring FPGA */ +} fpga_result; + +/** + * FPGA events + * + * OPAE currently defines the following event types that applications can + * register for. Note that not all FPGA resources and target platforms may + * support all event types. + */ +typedef enum { + FPGA_EVENT_INTERRUPT = 0, /**< Interrupt generated by an accelerator */ + FPGA_EVENT_ERROR, /**< Infrastructure error event */ + FPGA_EVENT_POWER_THERMAL /**< Infrastructure thermal event */ +} fpga_event_type; + +/* TODO: consider adding lifecycle events in the future + * to help with orchestration. Need a complete specification + * before including them in the API. Proposed events: + * FPGA_EVENT_APPEAR + * FPGA_EVENT_DISAPPEAR + * FPGA_EVENT_CHANGE + */ + +/** accelerator state */ +typedef enum { + /** accelerator is opened exclusively by another process */ + FPGA_ACCELERATOR_ASSIGNED = 0, + /** accelerator is free to be opened */ + FPGA_ACCELERATOR_UNASSIGNED +} fpga_accelerator_state; + +/** + * OPAE FPGA resources (objects) + * + * These are the FPGA resources currently supported by the OPAE object model. + */ +typedef enum { + /** FPGA_DEVICE objects represent FPGA devices and their management functionality. + * These objects can be opened (typically requires a certain privilege level or + * access permissions) and used for management functions like fpgaReconfigreSlot(). */ + FPGA_DEVICE = 0, + /** FPGA_ACCELERATOR objects represent allocatable units for accessing + * accelerated functions on the FPGA. They are frequently opened for + * interacting via control registers (MMIO), shared memory, or other, + * possibly platform-specific functions. */ + FPGA_ACCELERATOR +} fpga_objtype; + +/** + * Buffer flags + * + * These flags can be passed to the fpgaPrepareBuffer() function. + */ +enum fpga_buffer_flags { + FPGA_BUF_PREALLOCATED = (1u << 0), /**< Use existing buffer */ + FPGA_BUF_QUIET = (1u << 1), /**< Suppress error messages */ + FPGA_BUF_READ_ONLY = (1u << 2) /**< Buffer is read-only */ +}; + +/** + * Open flags + * + * These flags can be passed to the fpgaOpen() function. + */ +enum fpga_open_flags { + /** Open FPGA resource for shared access */ + FPGA_OPEN_SHARED = (1u << 0) +}; + +/** + * Reconfiguration flags + * + * These flags can be passed to the fpgaReconfigureSlot() function. + */ +enum fpga_reconf_flags { + /** Reconfigure the slot without checking if it is in use */ + FPGA_RECONF_FORCE = (1u << 0) +}; + +enum fpga_sysobject_flags { + FPGA_OBJECT_SYNC = (1u << 0), /**< Synchronize data from driver */ + FPGA_OBJECT_GLOB = (1u << 1), /**< Treat names as glob expressions */ + FPGA_OBJECT_RAW = + (1u << 2), /**< Read or write object data as raw bytes */ + FPGA_OBJECT_RECURSE_ONE = + (1u + << 3), /**< Create subobjects one level down from containers */ + FPGA_OBJECT_RECURSE_ALL = + (1u + << 4) /**< Create subobjects all levels from from containers */ +}; + +enum fpga_sysobject_type { + FPGA_OBJECT_CONTAINER = + (1u << 0), /**< Represents a group of objects */ + FPGA_OBJECT_ATTRIBUTE = + (1u << 1) /**< An object with an attribute value that can be + read/written */ +}; + +/** fpga metrics types +* opae defines power,thermal, performance counter +* and afu metric types +*/ +enum fpga_metric_type { + FPGA_METRIC_TYPE_POWER, // Metric power + FPGA_METRIC_TYPE_THERMAL, // Metric Thermal + FPGA_METRIC_TYPE_PERFORMANCE_CTR, // Metric Performance counter + FPGA_METRIC_TYPE_AFU, // Metric AFU + FPGA_METRIC_TYPE_UNKNOWN // Unknown +}; + +/** Metrics data type +* +* +*/ +enum fpga_metric_datatype { + FPGA_METRIC_DATATYPE_INT, // Metric datatype integer + FPGA_METRIC_DATATYPE_FLOAT, // Metric datatype float + FPGA_METRIC_DATATYPE_DOUBLE, // Metric datatype double + FPGA_METRIC_DATATYPE_BOOL, // Metric datatype bool + FPGA_METRIC_DATATYPE_UNKNOWN // Metric datatype unknown +}; + +#endif // __FPGA_TYPES_ENUM_H__ diff --git a/opae-libs/include/opae/umsg.h b/opae-libs/include/opae/umsg.h new file mode 100644 index 0000000..043d8f5 --- /dev/null +++ b/opae-libs/include/opae/umsg.h @@ -0,0 +1,114 @@ +// Copyright(c) 2017, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +/** + * \file umsg.h + * \brief FPGA UMsg API + */ + +#ifndef __FPGA_UMSG_H__ +#define __FPGA_UMSG_H__ + +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * Get number of Umsgs + * + * Retuns number of umsg supported by AFU. + * + * + * @param[in] handle Handle to previously opened accelerator resource + * @param[out] value Returns number of UMsgs + * @returns FPGA_OK on success. + * FPGA_INVALID_PARAM if input parameter combination + * is not valid. + * FPGA_EXCEPTION if input parameter fpga handle is not + * valid. + */ +fpga_result fpgaGetNumUmsg(fpga_handle handle, uint64_t *value); + +/** + * Sets Umsg hint + * + * Writes usmg hint bit. + * + * + * @param[in] handle Handle to previously opened accelerator resource + * @param[in] value Value to use for UMsg hint, Umsg hit is N wide bitvector + * where N = number of Umsgs. + * @returns FPGA_OK on success. + * FPGA_INVALID_PARAM if input parameter combination + * is not valid. + * FPGA_EXCEPTION if input parameter fpga handle is not + * valid. + */ +fpga_result fpgaSetUmsgAttributes(fpga_handle handle, + uint64_t value); + +/** + * Trigger Umsg + * + * Writes a 64-bit value to trigger low-latency accelerator notification mechanism + * (UMsgs). + * + * @param[in] handle Handle to previously opened accelerator resource + * @param[in] value Value to use for UMsg + * @returns FPGA_OK on success. + * FPGA_INVALID_PARAM if input parameter combination + * is not valid. + * FPGA_EXCEPTION if input parameter fpga handle is not + * valid. + */ +fpga_result fpgaTriggerUmsg(fpga_handle handle, uint64_t value); + +/** + * Access UMsg memory directly + * + * This function will return a pointer to the memory allocated for low latency + * accelerator notifications (UMsgs). + * + * @param[in] handle Handle to previously opened accelerator resource + * @param[out] umsg_ptr Pointer to memory where a pointer to the virtual + * address space will be returned + * @returns FPGA_OK on success. + * FPGA_INVALID_PARAM if input parameter combination + * is not valid. + * FPGA_EXCEPTION if input parameter fpga handle is not + * valid. + * FPGA_NO_MEMORY if memory allocation fails or system + * doesn't configure huge pages. + */ +fpga_result fpgaGetUmsgPtr(fpga_handle handle, uint64_t **umsg_ptr); + +#ifdef __cplusplus +} // extern "C" +#endif // __cplusplus + +#endif // __FPGA_UMSG_H__ diff --git a/opae-libs/include/opae/userclk.h b/opae-libs/include/opae/userclk.h new file mode 100644 index 0000000..3a18797 --- /dev/null +++ b/opae-libs/include/opae/userclk.h @@ -0,0 +1,73 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +/** + * @file userclk.h + * @brief Functions for setting and get afu user clock +*/ + +#ifndef __FPGA_AFU_USER_CLOCK_H__ +#define __FPGA_AFU_USER_CLOCK_H__ + +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * set afu user clock high and low + * @param[in] handle Handle to previously opened accelerator resource. + * @param[in] high_clk AFU High user clock frequency in MHz. + * @param[in] low_clk AFU Low user clock frequency in MHz. + * @param[in] flags Flags Reserved. + * +.*@returns FPGA_OK on success. FPGA_INVALID_PARAM if invalid parameters were provided, or + * if the parameter combination is not valid. FPGA_EXCEPTION if an internal + * exception occurred while trying to access the handle. + */ +fpga_result fpgaSetUserClock(fpga_handle handle, + uint64_t high_clk, uint64_t low_clk, int flags); + +/** + * Get afu user clock high and low + * @param[in] handle Handle to previously opened accelerator resource. + * @param[out] high_clk AFU High user clock frequency in MHz. + * @param[out] low_clk AFU Low user clock frequency in MHz. + * @param[in] flags Flags Reserved. + * + .*@returns FPGA_OK on success. FPGA_INVALID_PARAM if invalid parameters were provided, or + * if the parameter combination is not valid. FPGA_EXCEPTION if an internal + * exception occurred while trying to access the handle. + */ +fpga_result fpgaGetUserClock(fpga_handle handle, + uint64_t *high_clk, uint64_t *low_clk, int flags); + +#ifdef __cplusplus +} // extern "C" +#endif // __cplusplus + +#endif // __FPGA_AFU_USER_CLOCK_H__ diff --git a/opae-libs/include/opae/utils.h b/opae-libs/include/opae/utils.h new file mode 100644 index 0000000..2675233 --- /dev/null +++ b/opae-libs/include/opae/utils.h @@ -0,0 +1,58 @@ +// Copyright(c) 2017, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +/** + * \file utils.h + * \brief Utility functions and macros for the FPGA API + */ + +#ifndef __FPGA_UTILS_H__ +#define __FPGA_UTILS_H__ + +#include +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * Return human-readable error message + * + * Returns a pointer to a human-readable error message corresponding to the + * provided fpga_error error code. + * + * @param[in] e Error code (as returned by another FPGA API function + * @returns Pointer to a descriptive error message string + */ +const char *fpgaErrStr(fpga_result e); + +#ifdef __cplusplus +} // extern "C" +#endif // __cplusplus + +#endif // __FPGA_UTILS_H__ + diff --git a/opae-libs/include/opae/version.h b/opae-libs/include/opae/version.h new file mode 100644 index 0000000..5f96ecc --- /dev/null +++ b/opae-libs/include/opae/version.h @@ -0,0 +1,79 @@ +// Copyright(c) 2017, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifndef __FPGA_VERSION_H__ +#define __FPGA_VERSION_H__ + +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * Get version information about the OPAE library + * + * Retrieve major version, minor version, and revision information about the + * OPAE library. + * + * @param[out] version FPGA version + * @returns FPGA_INVALID_PARAM if any of the output parameters is NULL, FPGA_OK + * otherwise. + */ +fpga_result fpgaGetOPAECVersion(fpga_version *version); + +/** + * Get version information about the OPAE library as a string + * + * Retrieve major version, minor version, and revision information about the + * OPAE library, encoded in a human-readable string (e.g. "1.0.0"). + * + * @param[out] version_str String to copy version information into + * @param[in] len Length of `version_str` + * @returns FPGA_INVALID_PARAM if `version_str` is NULL, FPGA_EXCEPTION if the + * version string cannot be copied into `version_str`, FPGA_OK otherwise. + */ +fpga_result fpgaGetOPAECVersionString(char *version_str, size_t len); +#define FPGA_VERSION_STR_MAX 10 + +/** + * Get build information about the OPAE library as a string + * + * Retrieve the build identifier of the OPAE library. + * + * @param[out] build_str String to copy build information into + * @param[in] len Length of `build_str` + * @returns FPGA_INVALID_PARAM if `build_str` is NULL, FPGA_EXCEPTION if the + * version string cannot be copied into `build_str`, FPGA_OK otherwise. + */ +fpga_result fpgaGetOPAECBuildString(char *build_str, size_t len); +#define FPGA_BUILD_STR_MAX 41 + +#ifdef __cplusplus +} // extern "C" +#endif // __cplusplus + +#endif // __FPGA_VERSION_H__ diff --git a/opae-libs/libbitstream/CMakeLists.txt b/opae-libs/libbitstream/CMakeLists.txt new file mode 100644 index 0000000..d77f823 --- /dev/null +++ b/opae-libs/libbitstream/CMakeLists.txt @@ -0,0 +1,38 @@ +## Copyright(c) 2019-2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +opae_add_shared_library(TARGET bitstream + SOURCE + bitstream.c + bits_utils.c + metadatav1.c + LIBS + ${CMAKE_THREAD_LIBS_INIT} + opae-c + VERSION ${OPAE_VERSION} + SOVERSION ${OPAE_VERSION_MAJOR} + COMPONENT opaeclib +) diff --git a/opae-libs/libbitstream/bits_utils.c b/opae-libs/libbitstream/bits_utils.c new file mode 100644 index 0000000..ac0f65c --- /dev/null +++ b/opae-libs/libbitstream/bits_utils.c @@ -0,0 +1,278 @@ +// Copyright(c) 2019-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#define _GNU_SOURCE +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include +#include +#include +#include +#include +#include +#include +#include + +#include "bits_utils.h" + +fpga_result opae_bitstream_get_json_string(json_object *parent, + const char *name, + char **value) +{ + json_object *obj = NULL; + const char *s; + size_t len; + char *p; + + if (!json_object_object_get_ex(parent, + name, + &obj)) { + return FPGA_EXCEPTION; + } + + if (!json_object_is_type(obj, json_type_string)) { + OPAE_ERR("metadata: \"%s\" key not string", name); + return FPGA_EXCEPTION; + } + + s = json_object_get_string(obj); + + len = strlen(s); + + *value = malloc(len + 1); + if (!*value) { + OPAE_ERR("malloc failed"); + return FPGA_NO_MEMORY; + } + + memcpy(*value, s, len); + p = *value; + p[len] = '\0'; + + return FPGA_OK; +} + +fpga_result opae_bitstream_get_json_int(json_object *parent, + const char *name, + int *value) +{ + json_object *obj = NULL; + + if (!json_object_object_get_ex(parent, + name, + &obj)) { + return FPGA_EXCEPTION; + } + + if (!json_object_is_type(obj, json_type_int)) { + return FPGA_EXCEPTION; + } + + *value = json_object_get_int(obj); + return FPGA_OK; +} + +fpga_result opae_bitstream_get_json_double(json_object *parent, + const char *name, + double *value) +{ + json_object *obj = NULL; + + if (!json_object_object_get_ex(parent, + name, + &obj)) { + return FPGA_EXCEPTION; + } + + if (!json_object_is_type(obj, json_type_double)) { + return FPGA_EXCEPTION; + } + + *value = json_object_get_double(obj); + return FPGA_OK; +} + +STATIC bool opae_bitstream_path_invalid_chars(const char *path, + size_t len) +{ + while (*path) { + int ch = *path; + + // check for non-printable chars + if (!isprint(ch)) + return true; + + // check for URL encoding + if ((ch == '%') && + (len >= 3) && + (isxdigit(*(path+1)) && isxdigit(*(path+2)))) + return true; + + ++path; + --len; + } + + return false; +} + +STATIC bool opae_bitstream_path_not_file(const char *path) +{ + struct stat sb; + + if (stat(path, &sb) < 0) + return true; // can't determine + + if (!S_ISREG(sb.st_mode)) + return true; + + return false; +} + +STATIC bool opae_bitstream_path_contains_dotdot(const char *path, + size_t len) +{ + if (len >= 3) { + // check for ../ at the start of the path. + if ((*path == '.') && + (*(path + 1) == '.') && + (*(path + 2) == '/')) + return true; + } else if (len == 2) { + // check for ".." + if ((*path == '.') && + (*(path + 1) == '.')) + return true; + } + + while (*path) { + + if (len >= 4) { + // check for /../ + if ((*path == '/') && + (*(path + 1) == '.') && + (*(path + 2) == '.') && + (*(path + 3) == '/')) + return true; + } else if (len == 3) { + // check for /.. at the end + if ((*path == '/') && + (*(path + 1) == '.') && + (*(path + 2) == '.')) + return true; + } + + ++path; + --len; + } + + return false; +} + +STATIC bool opae_bitstream_path_contains_symlink(const char *path, + size_t len) +{ + char component[PATH_MAX] = { 0, }; + struct stat stat_buf; + char *pslash; + + memcpy(component, path, len); + component[len] = '\0'; + + if (component[0] == '/') { + // absolute path + + pslash = realpath(path, component); + + // If the result of conversion through realpath() is different + // than the original path, then the original must have + // contained a symlink. + if (strcmp(component, path)) { + return true; + } + + } else { + // relative path + + pslash = strrchr(component, '/'); + + while (pslash) { + + if (fstatat(AT_FDCWD, component, + &stat_buf, AT_SYMLINK_NOFOLLOW)) { + OPAE_ERR("fstatat failed."); + return true; + } + + if (S_ISLNK(stat_buf.st_mode)) + return true; + + *pslash = '\0'; + pslash = strrchr(component, '/'); + } + + if (fstatat(AT_FDCWD, component, + &stat_buf, AT_SYMLINK_NOFOLLOW)) { + OPAE_ERR("fstatat failed."); + return true; + } + + if (S_ISLNK(stat_buf.st_mode)) + return true; + + } + + return false; +} + +bool opae_bitstream_path_is_valid(const char *path, + uint32_t flags) +{ + size_t len; + + // check for NULL / empty string + if (!path || (*path == '\0')) + return false; + + len = strlen(path); + + if (opae_bitstream_path_invalid_chars(path, len)) + return false; + + if (opae_bitstream_path_not_file(path)) + return false; + + if ((flags & OPAE_BITSTREAM_PATH_NO_PARENT) && + opae_bitstream_path_contains_dotdot(path, len)) + return false; + + if ((flags & OPAE_BITSTREAM_PATH_NO_SYMLINK) && + opae_bitstream_path_contains_symlink(path, len)) + return false; + + return true; +} diff --git a/opae-libs/libbitstream/bits_utils.h b/opae-libs/libbitstream/bits_utils.h new file mode 100644 index 0000000..b957b2a --- /dev/null +++ b/opae-libs/libbitstream/bits_utils.h @@ -0,0 +1,122 @@ +// Copyright(c) 2019-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +/** + * @file bits_utils.h + * @brief Utility functions for GBS metadata parsing. + * + * These functions extract basic types (strings, + * integers, doubles) + * from the given JSON object. + * + */ + +#ifndef __OPAE_BITS_UTILS_H__ +#define __OPAE_BITS_UTILS_H__ + +#include +#include +#include +#include + +#ifdef __cplusplus +extern "C" { +#endif /* __cplusplus */ + +/** + * Allocate and populate string value from JSON object. + * + * @param[in] parent The JSON object in which to search for `name`. + * @param[in] name The search key. + * @param[out] value Receives the allocated buffer. + * + * @returns FPGA_OK on success. FPGA_EXCEPTION if `name` + * is not found or if `name` is not a key of type string. + * FPGA_NO_MEMORY if memory allocation fails. + * + * @note Allocates memory. The buffer saved at `*value` + * on success must be tracked and freed when no longer + * needed. + */ +fpga_result opae_bitstream_get_json_string(json_object *parent, + const char *name, + char **value); + +/** + * Populate integer value from JSON object. + * + * @param[in] parent The JSON object in which to search for `name`. + * @param[in] name The search key. + * @param[out] value Receives the integer value. + * + * @returns FPGA_OK on success. FPGA_EXCEPTION if `name` + * is not found or if `name` is not a key of type integer. + */ +fpga_result opae_bitstream_get_json_int(json_object *parent, + const char *name, + int *value); + +/** + * Populate double value from JSON object. + * + * @param[in] parent The JSON object in which to search for `name`. + * @param[in] name The search key. + * @param[out] value Receives the double value. + * + * @returns FPGA_OK on success. FPGA_EXCEPTION if `name` + * is not found or if `name` is not a key of type double. + */ +fpga_result opae_bitstream_get_json_double(json_object *parent, + const char *name, + double *value); + +#define OPAE_BITSTREAM_PATH_NO_PARENT 0x00000001 +#define OPAE_BITSTREAM_PATH_NO_SYMLINK 0x00000002 +/** + * Verify the given file path. + * + * Basic verification involves searching for invalid characters + * and character sequences. See `flags` for additional options. + * + * @param[in] path The path in question. + * @param[in] flags Require additional checks (bit mask). + * If `flags` contains `OPAE_BITSTREAM_PATH_NO_PARENT`, + * then `path` is rejected if it contains `..`. If `flags` + * contains `OPAE_BITSTREAM_PATH_NO_SYMLINK`, then `path` + * is rejected if a component of the path is a symbolic + * link. + * + * @returns true if `path` is accepted, or false if `path` + * is rejected, based on the requested checks. + */ +bool opae_bitstream_path_is_valid(const char *path, + uint32_t flags); + +#ifdef __cplusplus +} +#endif /* __cplusplus */ + +#endif /* __OPAE_BITS_UTILS_H__ */ diff --git a/opae-libs/libbitstream/bitstream.c b/opae-libs/libbitstream/bitstream.c new file mode 100644 index 0000000..947c2db --- /dev/null +++ b/opae-libs/libbitstream/bitstream.c @@ -0,0 +1,320 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include +#include +#include +#include +#include + +#include +#include +#include "bitstream.h" +#include "bits_utils.h" +#include "metadatav1.h" + +#include +#include +#include + +STATIC fpga_result opae_bitstream_read_file(const char *file, + uint8_t **buf, + size_t *len) +{ + FILE *fp; + fpga_result res = FPGA_EXCEPTION; + long pos; + size_t sz; + + fp = fopen(file, "rb"); + if (!fp) { + OPAE_ERR("fopen failed"); + return FPGA_EXCEPTION; + } + + if (fseek(fp, 0, SEEK_END) < 0) { + OPAE_ERR("fseek failed"); + goto out_close; + } + + pos = ftell(fp); + if (pos < 0) { + OPAE_ERR("ftell failed"); + goto out_close; + } + + *len = (size_t)pos; + + *buf = (uint8_t *)malloc(*len); + if (!*buf) { + OPAE_ERR("malloc failed"); + res = FPGA_NO_MEMORY; + *len = 0; + goto out_close; + } + + if (fseek(fp, 0, SEEK_SET) < 0) { + OPAE_ERR("fseek failed"); + goto out_free; + } + + sz = fread(*buf, 1, *len, fp); + if (ferror(fp)) { + OPAE_ERR("ferror after read"); + goto out_free; + } + + if (sz != *len) { + OPAE_ERR("file size and number " + "of bytes read mismatch"); + goto out_free; + } + + fclose(fp); + return FPGA_OK; + +out_free: + free(*buf); + *buf = NULL; + *len = 0; +out_close: + fclose(fp); + return res; +} + +bool opae_is_legacy_bitstream(opae_bitstream_info *info) +{ + opae_legacy_bitstream_header *hdr; + + if (info->data_len < sizeof(opae_legacy_bitstream_header)) + return false; + + hdr = (opae_legacy_bitstream_header *)info->data; + if (hdr->legacy_magic == OPAE_LEGACY_BITSTREAM_MAGIC) + return true; + + return false; +} + +STATIC void opae_resolve_legacy_bitstream(opae_bitstream_info *info) +{ + opae_legacy_bitstream_header *hdr = + (opae_legacy_bitstream_header *)info->data; + uint8_t *p = &hdr->legacy_pr_ifc_id[15]; + int i = 0; + + // The guid is encoded backwards. + // Reverse it. + while (p >= hdr->legacy_pr_ifc_id) { + info->pr_interface_id[i++] = *p--; + } + + info->rbf_data = info->data + sizeof(opae_legacy_bitstream_header); + info->rbf_len = info->data_len - sizeof(opae_legacy_bitstream_header); +} + +STATIC void *opae_bitstream_parse_metadata(const char *metadata, + fpga_guid pr_interface_id, + int *version) +{ + json_object *root = NULL; + json_object *j_version = NULL; + enum json_tokener_error j_err = json_tokener_success; + void *parsed = NULL; + + root = json_tokener_parse_verbose(metadata, &j_err); + if (!root) { + OPAE_ERR("invalid JSON metadata: %s", + json_tokener_error_desc(j_err)); + return NULL; + } + + if (!json_object_object_get_ex(root, + "version", + &j_version)) { + OPAE_ERR("metadata: failed to find \"version\" key"); + goto out_put; + } + + if (!json_object_is_type(j_version, json_type_int)) { + OPAE_ERR("metadata: \"version\" key not integer"); + goto out_put; + } + + *version = json_object_get_int(j_version); + + switch (*version) { + + // Some invalid GBS's around the BBS 6.4.0 and + // BBS 6.5.0 eras incorrectly set the metadata + // version to 640/650 respectively. + // Allow 640/650 to serve as an alias for 1. + case 650: + case 640: + *version = 1; /* FALLTHROUGH */ + case 1: + parsed = opae_bitstream_parse_metadata_v1(root, + pr_interface_id); + break; + + default: + OPAE_ERR("metadata: unsupported version: %d", *version); + } + +out_put: + json_object_put(root); + + return parsed; +} + +STATIC fpga_guid valid_GBS_guid = { +0x58, 0x65, 0x6f, 0x6e, +0x46, 0x50, +0x47, 0x41, +0xb7, 0x47, +0x42, 0x53, 0x76, 0x30, 0x30, 0x31 +}; +STATIC fpga_result opae_resolve_bitstream(opae_bitstream_info *info) +{ + opae_bitstream_header *hdr; + size_t sz; + char *buf; + + if (info->data_len < sizeof(opae_bitstream_header)) { + OPAE_ERR("file length smaller than bitstream header: " + "\"%s\"", info->filename); + return FPGA_INVALID_PARAM; + } + + hdr = (opae_bitstream_header *)info->data; + + if (uuid_compare(hdr->valid_gbs_guid, valid_GBS_guid) != 0) { + OPAE_ERR("GBS guid is invalid: \"%s\"", info->filename); + return FPGA_INVALID_PARAM; + } + + // Check that metadata_length makes sense + // given that we know the total file size. + + sz = sizeof(fpga_guid) + sizeof(uint32_t); + sz += (size_t)hdr->metadata_length; + + if (sz > info->data_len) { + OPAE_ERR("invalid metadata length in \"%s\"", info->filename); + return FPGA_INVALID_PARAM; + } + + info->rbf_data = info->data + sz; + info->rbf_len = info->data_len - sz; + + buf = (char *)malloc(hdr->metadata_length + 1); + if (!buf) { + OPAE_ERR("malloc failed"); + return FPGA_NO_MEMORY; + } + + memcpy(buf, hdr->metadata, hdr->metadata_length); + buf[hdr->metadata_length] = '\0'; + + info->parsed_metadata = + opae_bitstream_parse_metadata(buf, + info->pr_interface_id, + &info->metadata_version); + + free(buf); + + return info->parsed_metadata ? FPGA_OK : FPGA_EXCEPTION; +} + +fpga_result opae_load_bitstream(const char *file, opae_bitstream_info *info) +{ + fpga_result res; + + if (!file || !info) + return FPGA_INVALID_PARAM; + + if (!opae_bitstream_path_is_valid(file, + OPAE_BITSTREAM_PATH_NO_SYMLINK)) { + OPAE_ERR("invalid bitstream path \"%s\"", file); + return FPGA_INVALID_PARAM; + } + + memset(info, 0, sizeof(opae_bitstream_info)); + + res = opae_bitstream_read_file(file, &info->data, &info->data_len); + if (res != FPGA_OK) { + OPAE_ERR("error loading \"%s\"", file); + return res; + } + + info->filename = file; + + if (opae_is_legacy_bitstream(info)) { + opae_resolve_legacy_bitstream(info); + OPAE_MSG("Legacy bitstream (GBS) format detected."); + OPAE_MSG("Legacy GBS support is deprecated " + "and will be removed in a future release."); + return FPGA_OK; + } + + return opae_resolve_bitstream(info); +} + +fpga_result opae_unload_bitstream(opae_bitstream_info *info) +{ + fpga_result res = FPGA_OK; + + if (!info) + return FPGA_INVALID_PARAM; + + if (info->data) + free(info->data); + + if (info->parsed_metadata) { + + switch (info->metadata_version) { + + case 1: + opae_bitstream_release_metadata_v1( + (opae_bitstream_metadata_v1 *)info->parsed_metadata); + break; + + default: + OPAE_ERR("metadata: unsupported version: %d", + info->metadata_version); + res = FPGA_EXCEPTION; + } + + } + + memset(info, 0, sizeof(opae_bitstream_info)); + + return res; +} diff --git a/opae-libs/libbitstream/bitstream.h b/opae-libs/libbitstream/bitstream.h new file mode 100644 index 0000000..fcf00d3 --- /dev/null +++ b/opae-libs/libbitstream/bitstream.h @@ -0,0 +1,136 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +/** + * @file bitstream.h + * @brief API for manipulating Green Bitstreams (GBS) + * + * GBS files store the AFU logic as well as versioned metadata. + * These routines parse a disk-resident GBS file, expanding its + * metadata and loading the GBS logic into memory. + * + */ + +#ifndef __OPAE_BITSTREAM_H__ +#define __OPAE_BITSTREAM_H__ + +#include +#include +#include + +#define OPAE_LEGACY_BITSTREAM_MAGIC 0x1d1f8680 + +#pragma pack(push, 1) + +/** + * @deprecated Legacy (pre-6.4.0 BBS) format support. + */ +typedef struct _opae_legacy_bitstream_header { + uint32_t legacy_magic; + fpga_guid legacy_pr_ifc_id; +} opae_legacy_bitstream_header; + +#pragma pack(pop) + +#pragma pack(push, 1) + +/** + * Format of the GBS header. + */ +typedef struct _opae_bitstream_header { + fpga_guid valid_gbs_guid; /**< indentifies a GBS file */ + uint32_t metadata_length; /**< length of metadata in bytes */ + char metadata[1]; /**< GBS metadata (JSON) */ +} opae_bitstream_header; + +#pragma pack(pop) + +/** + * Memory-resident GBS format. + * + * `metadata_version` begins at 1 and increments upward. + * `parsed_metadata` is the expanded metadata structure. + * + * If `metadata_version` is 1, then `parsed_metadata` + * can be safely typecasted to an `opae_bitstream_metadata_v1 *`. + */ +typedef struct _opae_bitstream_info { + const char *filename; /**< location of the file on disk */ + uint8_t *data; /**< entire GBS file contents */ + size_t data_len; /**< length in bytes of data */ + uint8_t *rbf_data; /**< start of AFU logic in data */ + size_t rbf_len; /**< length of AFU logic in bytes */ + fpga_guid pr_interface_id; /**< identifies GBS compatibility */ + int metadata_version; /**< identifies metadata format */ + void *parsed_metadata; /**< the expanded metadata */ +} opae_bitstream_info; + +#define OPAE_BITSTREAM_INFO_INITIALIZER \ +{ NULL, NULL, 0, NULL, 0, { 0, }, 0, NULL } + +#ifdef __cplusplus +extern "C" { +#endif /* __cplusplus */ + +/** + * Load a GBS file from disk into memory + * + * Used to validate and load a GBS file into its memory-resident format. + * + * @param[in] file Location of the GBS file on disk. + * @param[out] info Storage for the loaded GBS file contents + * and its expanded metadata. + * + * @returns FPGA_OK on success. FPGA_INVALID_PARAM if the bitstream + * format is invalid. FPGA_NO_MEMORY if memory allocation fails. + * FPGA_EXCEPTION if a metadata parsing error was encountered. + */ +fpga_result opae_load_bitstream(const char *file, opae_bitstream_info *info); + +/** + * @deprecated Determine whether a loaded GBS is in legacy format. + * + * Legacy GBS files have no metadata. + */ +bool opae_is_legacy_bitstream(opae_bitstream_info *info); + +/** + * Unload a memory-resident GBS + * + * Used to free the resources allocated by `opae_load_bitstream`. + * + * @param[in] info The loaded GBS info to be released. + * + * @returns FPGA_OK on success. FPGA_INVALID_PARAM if info is NULL. + * FPGA_EXCEPTION if the metadata version is not supported. + */ +fpga_result opae_unload_bitstream(opae_bitstream_info *info); + +#ifdef __cplusplus +} +#endif /* __cplusplus */ + +#endif /* __OPAE_BITSTREAM_H__ */ diff --git a/opae-libs/libbitstream/metadatav1.c b/opae-libs/libbitstream/metadatav1.c new file mode 100644 index 0000000..225036d --- /dev/null +++ b/opae-libs/libbitstream/metadatav1.c @@ -0,0 +1,289 @@ +// Copyright(c) 2019-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include +#include + +#include "bitstream.h" +#include "metadatav1.h" +#include "bits_utils.h" + +STATIC fpga_result +opae_bitstream_parse_accelerator_cluster_v1(json_object *j_cluster, + opae_metadata_accelerator_cluster_v1 *cluster) +{ + fpga_result res; + + res = opae_bitstream_get_json_int(j_cluster, + "total-contexts", + &cluster->total_contexts); + if (res != FPGA_OK) + return res; + + res = opae_bitstream_get_json_string(j_cluster, + "name", + &cluster->name); + if (res != FPGA_OK) + goto out_free; + + res = opae_bitstream_get_json_string(j_cluster, + "accelerator-type-uuid", + &cluster->accelerator_type_uuid); + if (res != FPGA_OK) + goto out_free; + + return FPGA_OK; + +out_free: + if (cluster->name) { + free(cluster->name); + cluster->name = NULL; + } + return res; +} + +STATIC fpga_result opae_bitstream_parse_afu_image_v1(json_object *j_afu_image, + opae_metadata_afu_image_v1 *img, + fpga_guid pr_interface_id) +{ + fpga_result res; + json_object *j_accelerator_clusters = NULL; + int i = 0; + int ival; + + res = opae_bitstream_get_json_double(j_afu_image, + "clock-frequency-high", + &img->clock_frequency_high); + if (res != FPGA_OK) { + ival = 0; + res = opae_bitstream_get_json_int(j_afu_image, + "clock-frequency-high", + &ival); + img->clock_frequency_high = (double)ival; + if (res != FPGA_OK) { + // Some errant bitstreams omit + // the "clock-frequency-high" key. + // Allow it to be optional for now. + OPAE_MSG("metadata: missing " + "\"clock-frequency-high\" key"); + } + } + + res = opae_bitstream_get_json_double(j_afu_image, + "clock-frequency-low", + &img->clock_frequency_low); + if (res != FPGA_OK) { + ival = 0; + res = opae_bitstream_get_json_int(j_afu_image, + "clock-frequency-low", + &ival); + img->clock_frequency_low = (double)ival; + if (res != FPGA_OK) { + // Some errant bitstreams omit + // the "clock-frequency-low" key. + // Allow it to be optional for now. + OPAE_MSG("metadata: missing " + "\"clock-frequency-low\" key"); + } + } + + res = opae_bitstream_get_json_double(j_afu_image, + "power", + &img->power); + if (res != FPGA_OK) { + ival = 0; + res = opae_bitstream_get_json_int(j_afu_image, + "power", + &ival); + img->power = (double)ival; + if (res != FPGA_OK) { + // Some errant bitstreams + // omit the "power" key. + // Allow it to be optional for now. + OPAE_MSG("metadata: missing \"power\" key"); + } + } + + res = opae_bitstream_get_json_int(j_afu_image, + "magic-no", + &img->magic_no); + if (res != FPGA_OK) + return res; + + if (img->magic_no != + OPAE_LEGACY_BITSTREAM_MAGIC) { + OPAE_ERR("metadata: invalid GBS magic: %d", + img->magic_no); + res = FPGA_EXCEPTION; + goto out_free; + } + + res = opae_bitstream_get_json_string(j_afu_image, + "interface-uuid", + &img->interface_uuid); + if (res != FPGA_OK) + goto out_free; + + if (uuid_parse(img->interface_uuid, pr_interface_id)) { + OPAE_ERR("metadata: uuid_parse failed"); + res = FPGA_EXCEPTION; + goto out_free; + } + + if (!json_object_object_get_ex(j_afu_image, + "accelerator-clusters", + &j_accelerator_clusters)) { + OPAE_ERR("metadata: failed to find " + "\"accelerator-clusters\" key"); + res = FPGA_EXCEPTION; + goto out_free; + } + + if (!json_object_is_type(j_accelerator_clusters, json_type_array)) { + OPAE_ERR("metadata: \"accelerator-clusters\" key not array"); + res = FPGA_EXCEPTION; + goto out_free; + } + + img->num_clusters = json_object_array_length(j_accelerator_clusters); + + img->accelerator_clusters = + calloc(img->num_clusters, + sizeof(opae_metadata_accelerator_cluster_v1)); + if (!img->accelerator_clusters) { + OPAE_ERR("calloc failed"); + res = FPGA_NO_MEMORY; + goto out_free; + } + + for (i = 0 ; i < img->num_clusters ; ++i) { + json_object *j_cluster = + json_object_array_get_idx(j_accelerator_clusters, i); + + res = opae_bitstream_parse_accelerator_cluster_v1(j_cluster, + &img->accelerator_clusters[i]); + if (res != FPGA_OK) + goto out_free; + } + + return FPGA_OK; + +out_free: + if (img->interface_uuid) { + free(img->interface_uuid); + img->interface_uuid = NULL; + } + if (img->accelerator_clusters) { + int j; + for (j = 0 ; j < i ; ++j) { + free(img->accelerator_clusters[j].name); + free(img->accelerator_clusters[j].accelerator_type_uuid); + } + + free(img->accelerator_clusters); + img->accelerator_clusters = NULL; + } + return res; +} + +opae_bitstream_metadata_v1 * +opae_bitstream_parse_metadata_v1(json_object *root, + fpga_guid pr_interface_id) +{ + opae_bitstream_metadata_v1 *md; + fpga_result res; + json_object *j_afu_image = NULL; + + md = calloc(1, sizeof(opae_bitstream_metadata_v1)); + if (!md) { + OPAE_ERR("calloc failed"); + return NULL; + } + + md->version = 1; + + res = opae_bitstream_get_json_string(root, + "platform-name", + &md->platform_name); + if (res != FPGA_OK) { + // Some errant bitstreams omit the "platform-name" key. + // Allow it to be optional for now. + OPAE_MSG("metadata: missing \"platform-name\" key"); + } + + if (!json_object_object_get_ex(root, + "afu-image", + &j_afu_image)) { + OPAE_ERR("metadata: failed to find \"afu-image\" key"); + goto out_free; + } + + res = opae_bitstream_parse_afu_image_v1(j_afu_image, + &md->afu_image, + pr_interface_id); + if (res != FPGA_OK) + goto out_free; + + return md; + +out_free: + if (md->platform_name) + free(md->platform_name); + free(md); + return NULL; +} + +void opae_bitstream_release_metadata_v1(opae_bitstream_metadata_v1 *md) +{ + int i; + + if (md->afu_image.accelerator_clusters) { + + for (i = 0 ; i < md->afu_image.num_clusters ; ++i) { + opae_metadata_accelerator_cluster_v1 *c = + &md->afu_image.accelerator_clusters[i]; + + if (c->name) + free(c->name); + if (c->accelerator_type_uuid) + free(c->accelerator_type_uuid); + } + + free(md->afu_image.accelerator_clusters); + } + + if (md->afu_image.interface_uuid) + free(md->afu_image.interface_uuid); + + if (md->platform_name) + free(md->platform_name); + + free(md); +} diff --git a/opae-libs/libbitstream/metadatav1.h b/opae-libs/libbitstream/metadatav1.h new file mode 100644 index 0000000..ca1689c --- /dev/null +++ b/opae-libs/libbitstream/metadatav1.h @@ -0,0 +1,110 @@ +// Copyright(c) 2019-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +/** + * @file metadatav1.h + * @brief GBS metadata version 1 + * + * Defines the data types, parse function, and release function + * for version 1 of the GBS metadata. + * + */ + +#ifndef __OPAE_METADATAV1_H__ +#define __OPAE_METADATAV1_H__ + +#include +#include + +/** + * Defines an AFU by its name and GUID. + */ +typedef struct _opae_metadata_accelerator_cluster_v1 { + int total_contexts; + char *name; + char *accelerator_type_uuid; +} opae_metadata_accelerator_cluster_v1; + +/** + * Details of required clock frequencies, power threshold, + * and Partial Reconfiguration interface. + */ +typedef struct _opae_metadata_afu_image_v1 { + double clock_frequency_high; + double clock_frequency_low; + double power; + char *interface_uuid; + int magic_no; + int num_clusters; + opae_metadata_accelerator_cluster_v1 *accelerator_clusters; +} opae_metadata_afu_image_v1; + +/** + * Metadata version (1), image details, and platform name. + * + */ +typedef struct _opae_bitstream_metadata_v1 { + int version; + opae_metadata_afu_image_v1 afu_image; + char *platform_name; +} opae_bitstream_metadata_v1; + +#ifdef __cplusplus +extern "C" { +#endif /* __cplusplus */ + +/** + * Parse a version 1 GBS metadata from its JSON object. + * + * @param[in] root The root of the JSON object as produced by + * libjson. + * @param[out] pr_interface_id The GUID for the Partial + * Reconfiguration interface required by the GBS. + * + * @returns An allocated and populated version 1 metadata + * object. NULL on failed memory allocation or invalid + * metadata format. + * + * @note Allocates memory that must be tracked and + * subsequently released by calling + * `opae_bitstream_release_metadata_v1`. + */ +opae_bitstream_metadata_v1 * +opae_bitstream_parse_metadata_v1(json_object *root, + fpga_guid pr_interface_id); + +/** + * Release a parsed metadata version 1 object. + * + * @param[in] md The metadata object to be released. + */ +void opae_bitstream_release_metadata_v1(opae_bitstream_metadata_v1 *md); + +#ifdef __cplusplus +} +#endif /* __cplusplus */ + +#endif /* __OPAE_METADATAV1_H__ */ diff --git a/opae-libs/libopae-c/CMakeLists.txt b/opae-libs/libopae-c/CMakeLists.txt new file mode 100644 index 0000000..450fe1d --- /dev/null +++ b/opae-libs/libopae-c/CMakeLists.txt @@ -0,0 +1,64 @@ +## Copyright(c) 2018-2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +set(SRC + pluginmgr.c + api-shell.c + init.c + props.c +) + +opae_add_shared_library(TARGET opae-c + SOURCE ${SRC} + LIBS + dl + ${CMAKE_THREAD_LIBS_INIT} + ${libjson-c_LIBRARIES} + ${libuuid_LIBRARIES} + VERSION ${OPAE_VERSION} + SOVERSION ${OPAE_VERSION_MAJOR} + COMPONENT opaeclib +) + +set(SRC_ASE + pluginmgr.c + api-shell.c + init.c + init_ase.c + props.c +) + +opae_add_shared_library(TARGET opae-c-ase + SOURCE ${SRC_ASE} + LIBS + dl + ${CMAKE_THREAD_LIBS_INIT} + ${libjson-c_LIBRARIES} + ${libuuid_LIBRARIES} + VERSION ${OPAE_VERSION} + SOVERSION ${OPAE_VERSION_MAJOR} + COMPONENT opaecsimlib +) diff --git a/opae-libs/libopae-c/adapter.h b/opae-libs/libopae-c/adapter.h new file mode 100644 index 0000000..cd959c8 --- /dev/null +++ b/opae-libs/libopae-c/adapter.h @@ -0,0 +1,226 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifndef __OPAE_ADAPTER_H__ +#define __OPAE_ADAPTER_H__ +#include + +#include + +typedef struct _opae_plugin { + char *path; // location on file system + void *dl_handle; // handle to the loaded library instance +} opae_plugin; + +typedef struct _opae_api_adapter_table { + + struct _opae_api_adapter_table *next; + opae_plugin plugin; + + fpga_result (*fpgaOpen)(fpga_token token, fpga_handle *handle, + int flags); + + fpga_result (*fpgaClose)(fpga_handle handle); + + fpga_result (*fpgaReset)(fpga_handle handle); + + fpga_result (*fpgaGetPropertiesFromHandle)(fpga_handle handle, + fpga_properties *prop); + + fpga_result (*fpgaGetProperties)(fpga_token token, + fpga_properties *prop); + + fpga_result (*fpgaUpdateProperties)(fpga_token token, + fpga_properties prop); + + fpga_result (*fpgaWriteMMIO64)(fpga_handle handle, uint32_t mmio_num, + uint64_t offset, uint64_t value); + + fpga_result (*fpgaReadMMIO64)(fpga_handle handle, uint32_t mmio_num, + uint64_t offset, uint64_t *value); + + fpga_result (*fpgaWriteMMIO32)(fpga_handle handle, uint32_t mmio_num, + uint64_t offset, uint32_t value); + + fpga_result (*fpgaReadMMIO32)(fpga_handle handle, uint32_t mmio_num, + uint64_t offset, uint32_t *value); + + fpga_result (*fpgaWriteMMIO512)(fpga_handle handle, uint32_t mmio_num, + uint64_t offset, void *value); + + fpga_result (*fpgaMapMMIO)(fpga_handle handle, uint32_t mmio_num, + uint64_t **mmio_ptr); + + fpga_result (*fpgaUnmapMMIO)(fpga_handle handle, uint32_t mmio_num); + + fpga_result (*fpgaEnumerate)(const fpga_properties *filters, + uint32_t num_filters, fpga_token *tokens, + uint32_t max_tokens, + uint32_t *num_matches); + + fpga_result (*fpgaCloneToken)(fpga_token src, fpga_token *dst); + + fpga_result (*fpgaDestroyToken)(fpga_token *token); + + fpga_result (*fpgaGetNumUmsg)(fpga_handle handle, uint64_t *value); + + fpga_result (*fpgaSetUmsgAttributes)(fpga_handle handle, + uint64_t value); + + fpga_result (*fpgaTriggerUmsg)(fpga_handle handle, uint64_t value); + + fpga_result (*fpgaGetUmsgPtr)(fpga_handle handle, uint64_t **umsg_ptr); + + fpga_result (*fpgaPrepareBuffer)(fpga_handle handle, uint64_t len, + void **buf_addr, uint64_t *wsid, + int flags); + + fpga_result (*fpgaReleaseBuffer)(fpga_handle handle, uint64_t wsid); + + fpga_result (*fpgaGetIOAddress)(fpga_handle handle, uint64_t wsid, + uint64_t *ioaddr); + /* + ** fpga_result (*fpgaGetOPAECVersion)(fpga_version *version); + ** + ** fpga_result (*fpgaGetOPAECVersionString)(char *version_str, + ** size_t len); + ** + ** fpga_result (*fpgaGetOPAECBuildString)(char *build_str, size_t + ** len); + */ + + fpga_result (*fpgaReadError)(fpga_token token, uint32_t error_num, + uint64_t *value); + + fpga_result (*fpgaClearError)(fpga_token token, uint32_t error_num); + + fpga_result (*fpgaClearAllErrors)(fpga_token token); + + fpga_result (*fpgaGetErrorInfo)(fpga_token token, uint32_t error_num, + struct fpga_error_info *error_info); + + /* + ** const char *(*fpgaErrStr)(fpga_result e); + */ + + fpga_result (*fpgaCreateEventHandle)(fpga_event_handle *event_handle); + + fpga_result (*fpgaDestroyEventHandle)(fpga_event_handle *event_handle); + + fpga_result (*fpgaGetOSObjectFromEventHandle)( + const fpga_event_handle eh, int *fd); + + fpga_result (*fpgaRegisterEvent)(fpga_handle handle, + fpga_event_type event_type, + fpga_event_handle event_handle, + uint32_t flags); + + fpga_result (*fpgaUnregisterEvent)(fpga_handle handle, + fpga_event_type event_type, + fpga_event_handle event_handle); + + fpga_result (*fpgaAssignPortToInterface)(fpga_handle fpga, + uint32_t interface_num, + uint32_t slot_num, int flags); + + fpga_result (*fpgaAssignToInterface)(fpga_handle fpga, + fpga_token accelerator, + uint32_t host_interface, + int flags); + + fpga_result (*fpgaReleaseFromInterface)(fpga_handle fpga, + fpga_token accelerator); + + fpga_result (*fpgaReconfigureSlot)(fpga_handle fpga, uint32_t slot, + const uint8_t *bitstream, + size_t bitstream_len, int flags); + + fpga_result (*fpgaTokenGetObject)(fpga_token token, const char *name, + fpga_object *object, int flags); + + fpga_result (*fpgaHandleGetObject)(fpga_handle handle, const char *name, + fpga_object *object, int flags); + + fpga_result (*fpgaObjectGetObject)(fpga_object parent, const char *name, + fpga_object *object, int flags); + + fpga_result (*fpgaObjectGetObjectAt)(fpga_object parent, size_t index, + fpga_object *object); + + fpga_result (*fpgaDestroyObject)(fpga_object *obj); + + fpga_result (*fpgaObjectRead)(fpga_object obj, uint8_t *buffer, + size_t offset, size_t len, int flags); + + fpga_result (*fpgaObjectRead64)(fpga_object obj, uint64_t *value, + int flags); + + fpga_result (*fpgaObjectGetSize)(fpga_object obj, uint64_t *value, + int flags); + + fpga_result (*fpgaObjectGetType)(fpga_object obj, + enum fpga_sysobject_type *type); + + fpga_result (*fpgaObjectWrite64)(fpga_object obj, uint64_t value, + int flags); + + fpga_result (*fpgaSetUserClock)(fpga_handle handle, uint64_t high_clk, + uint64_t low_clk, int flags); + + fpga_result (*fpgaGetUserClock)(fpga_handle handle, uint64_t *high_clk, + uint64_t *low_clk, int flags); + + fpga_result (*fpgaGetNumMetrics)(fpga_handle handle, uint64_t *num_metrics); + + fpga_result (*fpgaGetMetricsInfo)(fpga_handle handle, + fpga_metric_info *metric_info, + uint64_t *num_metrics); + + fpga_result (*fpgaGetMetricsByIndex)(fpga_handle handle, + uint64_t *metric_num, + uint64_t num_metric_indexes, + fpga_metric *metrics); + + fpga_result(*fpgaGetMetricsByName)(fpga_handle handle, + char **metrics_names, + uint64_t num_metric_names, + fpga_metric *metrics); + + fpga_result(*fpgaGetMetricsThresholdInfo)(fpga_handle handle, + metric_threshold *metric_thresholds, + uint32_t *num_thresholds); + + // configuration functions + int (*initialize)(void); + int (*finalize)(void); + + // first-level query + bool (*supports_device)(const char *device_type); + bool (*supports_host)(const char *hostname); + +} opae_api_adapter_table; + +#endif /* __OPAE_ADAPTER_H__ */ diff --git a/opae-libs/libopae-c/api-shell.c b/opae-libs/libopae-c/api-shell.c new file mode 100644 index 0000000..416d86b --- /dev/null +++ b/opae-libs/libopae-c/api-shell.c @@ -0,0 +1,1634 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#ifndef _GNU_SOURCE +#define _GNU_SOURCE +#endif // _GNU_SOURCE + +#include + +#include +#include + +#include "pluginmgr.h" +#include "opae_int.h" +#include "props.h" + + +opae_wrapped_token * +opae_allocate_wrapped_token(fpga_token token, + const opae_api_adapter_table *adapter) +{ + opae_wrapped_token *wtok = + (opae_wrapped_token *)malloc(sizeof(opae_wrapped_token)); + + if (wtok) { + wtok->magic = OPAE_WRAPPED_TOKEN_MAGIC; + wtok->opae_token = token; + wtok->adapter_table = (opae_api_adapter_table *)adapter; + } + + return wtok; +} + +opae_wrapped_handle * +opae_allocate_wrapped_handle(opae_wrapped_token *wt, fpga_handle opae_handle, + opae_api_adapter_table *adapter) +{ + opae_wrapped_handle *whan = + (opae_wrapped_handle *)malloc(sizeof(opae_wrapped_handle)); + + if (whan) { + whan->magic = OPAE_WRAPPED_HANDLE_MAGIC; + whan->wrapped_token = wt; + whan->opae_handle = opae_handle; + whan->adapter_table = adapter; + } + + return whan; +} + +opae_wrapped_event_handle * +opae_allocate_wrapped_event_handle(fpga_event_handle opae_event_handle, + opae_api_adapter_table *adapter) +{ + pthread_mutexattr_t mattr; + opae_wrapped_event_handle *wevent = (opae_wrapped_event_handle *)malloc( + sizeof(opae_wrapped_event_handle)); + + if (wevent) { + if (pthread_mutexattr_init(&mattr)) { + OPAE_ERR("pthread_mutexattr_init() failed"); + goto out_free; + } + if (pthread_mutexattr_settype(&mattr, + PTHREAD_MUTEX_RECURSIVE)) { + OPAE_ERR("pthread_mutexattr_settype() failed"); + goto out_destroy; + } + if (pthread_mutex_init(&wevent->lock, &mattr)) { + OPAE_ERR("pthread_mutex_init() failed"); + goto out_destroy; + } + + pthread_mutexattr_destroy(&mattr); + + wevent->magic = OPAE_WRAPPED_EVENT_HANDLE_MAGIC; + wevent->flags = 0; + wevent->opae_event_handle = opae_event_handle; + wevent->adapter_table = adapter; + } + + return wevent; + +out_destroy: + pthread_mutexattr_destroy(&mattr); +out_free: + free(wevent); + return NULL; +} + +opae_wrapped_object * +opae_allocate_wrapped_object(fpga_object opae_object, + opae_api_adapter_table *adapter) +{ + opae_wrapped_object *wobj = + (opae_wrapped_object *)malloc(sizeof(opae_wrapped_object)); + + if (wobj) { + wobj->magic = OPAE_WRAPPED_OBJECT_MAGIC; + wobj->opae_object = opae_object; + wobj->adapter_table = adapter; + } + + return wobj; +} + +fpga_result __OPAE_API__ fpgaInitialize(const char *config_file) +{ + return opae_plugin_mgr_initialize(config_file) ? FPGA_EXCEPTION + : FPGA_OK; +} + +fpga_result __OPAE_API__ fpgaFinalize(void) +{ + return opae_plugin_mgr_finalize_all() ? FPGA_EXCEPTION + : FPGA_OK; +} + +fpga_result __OPAE_API__ fpgaOpen(fpga_token token, fpga_handle *handle, + int flags) +{ + fpga_result res; + fpga_result cres = FPGA_OK; + opae_wrapped_token *wrapped_token; + fpga_handle opae_handle = NULL; + opae_wrapped_handle *wrapped_handle; + + wrapped_token = opae_validate_wrapped_token(token); + + ASSERT_NOT_NULL(wrapped_token); + ASSERT_NOT_NULL(handle); + ASSERT_NOT_NULL_RESULT(wrapped_token->adapter_table->fpgaOpen, + FPGA_NOT_SUPPORTED); + ASSERT_NOT_NULL_RESULT(wrapped_token->adapter_table->fpgaClose, + FPGA_NOT_SUPPORTED); + + res = wrapped_token->adapter_table->fpgaOpen(wrapped_token->opae_token, + &opae_handle, flags); + + ASSERT_RESULT(res); + + wrapped_handle = opae_allocate_wrapped_handle( + wrapped_token, opae_handle, wrapped_token->adapter_table); + + if (!wrapped_handle) { + OPAE_ERR("malloc failed"); + res = FPGA_NO_MEMORY; + cres = wrapped_token->adapter_table->fpgaClose(opae_handle); + } + + *handle = wrapped_handle; + + return res != FPGA_OK ? res : cres; +} + +fpga_result __OPAE_API__ fpgaClose(fpga_handle handle) +{ + fpga_result res; + opae_wrapped_handle *wrapped_handle = + opae_validate_wrapped_handle(handle); + + ASSERT_NOT_NULL(wrapped_handle); + ASSERT_NOT_NULL_RESULT(wrapped_handle->adapter_table->fpgaClose, + FPGA_NOT_SUPPORTED); + + res = wrapped_handle->adapter_table->fpgaClose( + wrapped_handle->opae_handle); + + opae_destroy_wrapped_handle(wrapped_handle); + + return res; +} + +fpga_result __OPAE_API__ fpgaReset(fpga_handle handle) +{ + opae_wrapped_handle *wrapped_handle = + opae_validate_wrapped_handle(handle); + + ASSERT_NOT_NULL(wrapped_handle); + ASSERT_NOT_NULL_RESULT(wrapped_handle->adapter_table->fpgaReset, + FPGA_NOT_SUPPORTED); + + return wrapped_handle->adapter_table->fpgaReset( + wrapped_handle->opae_handle); +} + +fpga_result __OPAE_API__ fpgaGetPropertiesFromHandle(fpga_handle handle, + fpga_properties *prop) +{ + fpga_result res; + opae_wrapped_handle *wrapped_handle = + opae_validate_wrapped_handle(handle); + struct _fpga_properties *p; + int err; + + ASSERT_NOT_NULL(wrapped_handle); + ASSERT_NOT_NULL(prop); + ASSERT_NOT_NULL_RESULT( + wrapped_handle->adapter_table->fpgaGetPropertiesFromHandle, + FPGA_NOT_SUPPORTED); + + res = wrapped_handle->adapter_table->fpgaGetPropertiesFromHandle( + wrapped_handle->opae_handle, prop); + + ASSERT_RESULT(res); + + // If the output properties has a parent token set, + // then it will be a raw token. We need to wrap it. + + p = opae_validate_and_lock_properties(*prop); + + ASSERT_NOT_NULL(p); + + if (FIELD_VALID(p, FPGA_PROPERTY_PARENT)) { + opae_wrapped_token *wrapped_parent = + opae_allocate_wrapped_token( + p->parent, wrapped_handle->adapter_table); + + if (wrapped_parent) { + p->parent = wrapped_parent; + p->flags |= OPAE_PROPERTIES_FLAG_PARENT_ALLOC; + } else { + OPAE_ERR("malloc failed"); + res = FPGA_NO_MEMORY; + } + } + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaGetProperties(fpga_token token, + fpga_properties *prop) +{ + fpga_result res = FPGA_OK; + opae_wrapped_token *wrapped_token = opae_validate_wrapped_token(token); + + ASSERT_NOT_NULL(prop); + + if (!token) { + fpga_properties pr; + + pr = opae_properties_create(); + + if (!pr) { + OPAE_ERR("malloc failed"); + return FPGA_NO_MEMORY; + } + + *prop = pr; + + } else { + struct _fpga_properties *p; + int err; + + ASSERT_NOT_NULL(wrapped_token); + + ASSERT_NOT_NULL_RESULT( + wrapped_token->adapter_table->fpgaGetProperties, + FPGA_NOT_SUPPORTED); + + res = wrapped_token->adapter_table->fpgaGetProperties( + wrapped_token->opae_token, prop); + + ASSERT_RESULT(res); + + // If the output properties has a parent token set, + // then it will be a raw token. We need to wrap it. + + p = opae_validate_and_lock_properties(*prop); + + ASSERT_NOT_NULL(p); + + if (FIELD_VALID(p, FPGA_PROPERTY_PARENT)) { + opae_wrapped_token *wrapped_parent = + opae_allocate_wrapped_token( + p->parent, + wrapped_token->adapter_table); + + if (wrapped_parent) { + p->parent = wrapped_parent; + p->flags |= OPAE_PROPERTIES_FLAG_PARENT_ALLOC; + } else { + OPAE_ERR("malloc failed"); + res = FPGA_NO_MEMORY; + } + } + + opae_mutex_unlock(err, &p->lock); + } + + return res; +} + +fpga_result __OPAE_API__ fpgaUpdateProperties(fpga_token token, + fpga_properties prop) +{ + fpga_result res; + struct _fpga_properties *p; + int err; + opae_wrapped_token *wrapped_token = opae_validate_wrapped_token(token); + opae_wrapped_token *wrapped_parent = NULL; + + ASSERT_NOT_NULL(wrapped_token); + ASSERT_NOT_NULL_RESULT( + wrapped_token->adapter_table->fpgaUpdateProperties, + FPGA_NOT_SUPPORTED); + + // If the input properties already has a parent token + // set, then it will be wrapped. If we allocated the wrapper, + // Save the wrapper, and reuse it below. + + p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + if (FIELD_VALID(p, FPGA_PROPERTY_PARENT) + && (p->flags & OPAE_PROPERTIES_FLAG_PARENT_ALLOC)) { + wrapped_parent = opae_validate_wrapped_token(p->parent); + if (wrapped_parent) + p->parent = wrapped_parent->opae_token; + } + + res = wrapped_token->adapter_table->fpgaUpdateProperties( + wrapped_token->opae_token, prop); + + if (res != FPGA_OK) { + opae_mutex_unlock(err, &p->lock); + return res; + } + + // If the output properties has a parent token set, + // then it will be a raw token. We need to wrap it. + + if (FIELD_VALID(p, FPGA_PROPERTY_PARENT)) { + if (!wrapped_parent) { + // We need to allocate a wrapper. + wrapped_parent = opae_allocate_wrapped_token( + p->parent, wrapped_token->adapter_table); + + if (wrapped_parent) { + p->parent = wrapped_parent; + p->flags |= OPAE_PROPERTIES_FLAG_PARENT_ALLOC; + } else { + OPAE_ERR("malloc failed"); + res = FPGA_NO_MEMORY; + } + } else { + // We are re-using the wrapper from above. + wrapped_parent->opae_token = p->parent; + wrapped_parent->adapter_table = + wrapped_token->adapter_table; + p->parent = wrapped_parent; + p->flags |= OPAE_PROPERTIES_FLAG_PARENT_ALLOC; + } + } else if (wrapped_parent) + opae_destroy_wrapped_token(wrapped_parent); + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaWriteMMIO64(fpga_handle handle, uint32_t mmio_num, + uint64_t offset, uint64_t value) +{ + opae_wrapped_handle *wrapped_handle = + opae_validate_wrapped_handle(handle); + + ASSERT_NOT_NULL(wrapped_handle); + ASSERT_NOT_NULL_RESULT(wrapped_handle->adapter_table->fpgaWriteMMIO64, + FPGA_NOT_SUPPORTED); + + return wrapped_handle->adapter_table->fpgaWriteMMIO64( + wrapped_handle->opae_handle, mmio_num, offset, value); +} + +fpga_result __OPAE_API__ fpgaReadMMIO64(fpga_handle handle, uint32_t mmio_num, + uint64_t offset, uint64_t *value) +{ + opae_wrapped_handle *wrapped_handle = + opae_validate_wrapped_handle(handle); + + ASSERT_NOT_NULL(wrapped_handle); + ASSERT_NOT_NULL_RESULT(wrapped_handle->adapter_table->fpgaReadMMIO64, + FPGA_NOT_SUPPORTED); + + return wrapped_handle->adapter_table->fpgaReadMMIO64( + wrapped_handle->opae_handle, mmio_num, offset, value); +} + +fpga_result __OPAE_API__ fpgaWriteMMIO32(fpga_handle handle, uint32_t mmio_num, + uint64_t offset, uint32_t value) +{ + opae_wrapped_handle *wrapped_handle = + opae_validate_wrapped_handle(handle); + + ASSERT_NOT_NULL(wrapped_handle); + ASSERT_NOT_NULL_RESULT(wrapped_handle->adapter_table->fpgaWriteMMIO32, + FPGA_NOT_SUPPORTED); + + return wrapped_handle->adapter_table->fpgaWriteMMIO32( + wrapped_handle->opae_handle, mmio_num, offset, value); +} + +fpga_result __OPAE_API__ fpgaReadMMIO32(fpga_handle handle, uint32_t mmio_num, + uint64_t offset, uint32_t *value) +{ + opae_wrapped_handle *wrapped_handle = + opae_validate_wrapped_handle(handle); + + ASSERT_NOT_NULL(wrapped_handle); + ASSERT_NOT_NULL_RESULT(wrapped_handle->adapter_table->fpgaReadMMIO32, + FPGA_NOT_SUPPORTED); + + return wrapped_handle->adapter_table->fpgaReadMMIO32( + wrapped_handle->opae_handle, mmio_num, offset, value); +} + +fpga_result __OPAE_API__ fpgaWriteMMIO512(fpga_handle handle, + uint32_t mmio_num, uint64_t offset, void *value) +{ + opae_wrapped_handle *wrapped_handle = + opae_validate_wrapped_handle(handle); + + ASSERT_NOT_NULL(wrapped_handle); + ASSERT_NOT_NULL_RESULT(wrapped_handle->adapter_table->fpgaWriteMMIO512, + FPGA_NOT_SUPPORTED); + + return wrapped_handle->adapter_table->fpgaWriteMMIO512( + wrapped_handle->opae_handle, mmio_num, offset, value); +} + +fpga_result __OPAE_API__ fpgaMapMMIO(fpga_handle handle, uint32_t mmio_num, + uint64_t **mmio_ptr) +{ + opae_wrapped_handle *wrapped_handle = + opae_validate_wrapped_handle(handle); + + ASSERT_NOT_NULL(wrapped_handle); + ASSERT_NOT_NULL_RESULT(wrapped_handle->adapter_table->fpgaMapMMIO, + FPGA_NOT_SUPPORTED); + + return wrapped_handle->adapter_table->fpgaMapMMIO( + wrapped_handle->opae_handle, mmio_num, mmio_ptr); +} + +fpga_result __OPAE_API__ fpgaUnmapMMIO(fpga_handle handle, uint32_t mmio_num) +{ + opae_wrapped_handle *wrapped_handle = + opae_validate_wrapped_handle(handle); + + ASSERT_NOT_NULL(wrapped_handle); + ASSERT_NOT_NULL_RESULT(wrapped_handle->adapter_table->fpgaUnmapMMIO, + FPGA_NOT_SUPPORTED); + + return wrapped_handle->adapter_table->fpgaUnmapMMIO( + wrapped_handle->opae_handle, mmio_num); +} + +typedef struct _opae_enumeration_context { + // + const fpga_properties *filters; + uint32_t num_filters; + fpga_token *wrapped_tokens; + uint32_t max_wrapped_tokens; + uint32_t *num_matches; + // + + fpga_token *adapter_tokens; + uint32_t num_wrapped_tokens; + uint32_t errors; +} opae_enumeration_context; + +static int opae_enumerate(const opae_api_adapter_table *adapter, void *context) +{ + opae_enumeration_context *ctx = (opae_enumeration_context *)context; + fpga_result res; + uint32_t num_matches = 0; + uint32_t i; + uint32_t space_remaining; + + // TODO: accept/reject this adapter, based on device support + if (adapter->supports_device) { + } + + // TODO: accept/reject this adapter, based on host support + if (adapter->supports_host) { + } + + space_remaining = ctx->max_wrapped_tokens - ctx->num_wrapped_tokens; + + if (ctx->wrapped_tokens && !space_remaining) + return OPAE_ENUM_STOP; + + if (!adapter->fpgaEnumerate) { + OPAE_MSG("NULL fpgaEnumerate in adapter \"%s\"", + adapter->plugin.path); + return OPAE_ENUM_CONTINUE; + } + + res = adapter->fpgaEnumerate(ctx->filters, ctx->num_filters, + ctx->adapter_tokens, space_remaining, + &num_matches); + + if (res != FPGA_OK) { + OPAE_ERR("fpgaEnumerate() failed for \"%s\"", + adapter->plugin.path); + ++ctx->errors; + return OPAE_ENUM_CONTINUE; + } + + *ctx->num_matches += num_matches; + + if (!ctx->adapter_tokens) { + // requesting token count, only. + return OPAE_ENUM_CONTINUE; + } + + if (space_remaining > num_matches) + space_remaining = num_matches; + + for (i = 0; i < space_remaining; ++i) { + opae_wrapped_token *wt = opae_allocate_wrapped_token( + ctx->adapter_tokens[i], adapter); + if (!wt) { + ++ctx->errors; + return OPAE_ENUM_STOP; + } + + if (ctx->wrapped_tokens) { + ctx->wrapped_tokens[ctx->num_wrapped_tokens++] = wt; + } else { + opae_destroy_wrapped_token(wt); + } + } + + return ctx->num_wrapped_tokens == ctx->max_wrapped_tokens + ? OPAE_ENUM_STOP + : OPAE_ENUM_CONTINUE; +} + +fpga_result __OPAE_API__ fpgaEnumerate(const fpga_properties *filters, + uint32_t num_filters, fpga_token *tokens, uint32_t max_tokens, + uint32_t *num_matches) +{ + fpga_result res = FPGA_EXCEPTION; + fpga_token *adapter_tokens = NULL; + + opae_enumeration_context enum_context; + + typedef struct _parent_token_fixup { + struct _parent_token_fixup *next; + fpga_properties prop; + opae_wrapped_token *wrapped_token; + } parent_token_fixup; + + parent_token_fixup *ptf_list = NULL; + uint32_t i; + + ASSERT_NOT_NULL(num_matches); + + if ((max_tokens > 0) && !tokens) { + OPAE_ERR("max_tokens > 0 with NULL tokens"); + return FPGA_INVALID_PARAM; + } + + if ((num_filters > 0) && !filters) { + OPAE_ERR("num_filters > 0 with NULL filters"); + return FPGA_INVALID_PARAM; + } + + if ((num_filters == 0) && (filters != NULL)) { + OPAE_ERR("num_filters == 0 with non-NULL filters"); + return FPGA_INVALID_PARAM; + } + + *num_matches = 0; + + enum_context.filters = filters; + enum_context.num_filters = num_filters; + enum_context.wrapped_tokens = tokens; + enum_context.max_wrapped_tokens = max_tokens; + enum_context.num_matches = num_matches; + + if (tokens) { + adapter_tokens = + (fpga_token *)calloc(max_tokens, sizeof(fpga_token)); + if (!adapter_tokens) { + OPAE_ERR("out of memory"); + return FPGA_NO_MEMORY; + } + } + + enum_context.adapter_tokens = adapter_tokens; + enum_context.num_wrapped_tokens = 0; + enum_context.errors = 0; + + // If any of the input filters has a parent token set, + // then it will be wrapped. We need to unwrap it here, + // then re-wrap below. + for (i = 0; i < num_filters; ++i) { + int err; + struct _fpga_properties *p = + opae_validate_and_lock_properties(filters[i]); + + if (!p) { + OPAE_ERR("Invalid input filter"); + res = FPGA_INVALID_PARAM; + goto out_free_tokens; + } + + if (FIELD_VALID(p, FPGA_PROPERTY_PARENT)) { + parent_token_fixup *fixup; + opae_wrapped_token *wrapped_parent = + opae_validate_wrapped_token(p->parent); + + if (!wrapped_parent) { + OPAE_ERR("Invalid wrapped parent in filter"); + res = FPGA_INVALID_PARAM; + opae_mutex_unlock(err, &p->lock); + goto out_free_tokens; + } + + fixup = (parent_token_fixup *)malloc( + sizeof(parent_token_fixup)); + + if (!fixup) { + OPAE_ERR("malloc failed"); + res = FPGA_NO_MEMORY; + opae_mutex_unlock(err, &p->lock); + goto out_free_tokens; + } + + fixup->next = NULL; + fixup->prop = filters[i]; + fixup->wrapped_token = wrapped_parent; + + if (!ptf_list) + ptf_list = fixup; + else { + fixup->next = ptf_list; + ptf_list = fixup; + } + + // Set the unwrapped parent token. + p->parent = wrapped_parent->opae_token; + } + + opae_mutex_unlock(err, &p->lock); + } + + // perform the enumeration. + opae_plugin_mgr_for_each_adapter(opae_enumerate, &enum_context); + + res = (enum_context.errors > 0) ? FPGA_EXCEPTION : FPGA_OK; + +out_free_tokens: + if (adapter_tokens) + free(adapter_tokens); + + // Re-establish any wrapped parent tokens. + while (ptf_list) { + int err; + parent_token_fixup *trash = ptf_list; + struct _fpga_properties *p = + opae_validate_and_lock_properties(trash->prop); + ptf_list = ptf_list->next; + + if (p) { + p->parent = trash->wrapped_token; + opae_mutex_unlock(err, &p->lock); + } + + free(trash); + } + + return res; +} + +fpga_result __OPAE_API__ fpgaCloneToken(fpga_token src, fpga_token *dst) +{ + fpga_result res; + fpga_result dres = FPGA_OK; + fpga_token cloned_token = NULL; + opae_wrapped_token *wrapped_dst_token; + opae_wrapped_token *wrapped_src_token = + opae_validate_wrapped_token(src); + + ASSERT_NOT_NULL(wrapped_src_token); + ASSERT_NOT_NULL(dst); + ASSERT_NOT_NULL_RESULT(wrapped_src_token->adapter_table->fpgaCloneToken, + FPGA_NOT_SUPPORTED); + ASSERT_NOT_NULL_RESULT( + wrapped_src_token->adapter_table->fpgaDestroyToken, + FPGA_NOT_SUPPORTED); + + res = wrapped_src_token->adapter_table->fpgaCloneToken( + wrapped_src_token->opae_token, &cloned_token); + + ASSERT_RESULT(res); + + wrapped_dst_token = opae_allocate_wrapped_token( + cloned_token, wrapped_src_token->adapter_table); + + if (!wrapped_dst_token) { + OPAE_ERR("malloc failed"); + res = FPGA_NO_MEMORY; + dres = wrapped_src_token->adapter_table->fpgaDestroyToken( + &cloned_token); + } + + *dst = wrapped_dst_token; + + return res != FPGA_OK ? res : dres; +} + +fpga_result __OPAE_API__ fpgaDestroyToken(fpga_token *token) +{ + fpga_result res; + opae_wrapped_token *wrapped_token; + + ASSERT_NOT_NULL(token); + + wrapped_token = opae_validate_wrapped_token(*token); + + ASSERT_NOT_NULL(wrapped_token); + ASSERT_NOT_NULL_RESULT(wrapped_token->adapter_table->fpgaDestroyToken, + FPGA_NOT_SUPPORTED); + + res = wrapped_token->adapter_table->fpgaDestroyToken( + &wrapped_token->opae_token); + + opae_destroy_wrapped_token(wrapped_token); + + return res; +} + +fpga_result __OPAE_API__ fpgaGetNumUmsg(fpga_handle handle, uint64_t *value) +{ + opae_wrapped_handle *wrapped_handle = + opae_validate_wrapped_handle(handle); + + ASSERT_NOT_NULL(wrapped_handle); + ASSERT_NOT_NULL(value); + ASSERT_NOT_NULL_RESULT(wrapped_handle->adapter_table->fpgaGetNumUmsg, + FPGA_NOT_SUPPORTED); + + return wrapped_handle->adapter_table->fpgaGetNumUmsg( + wrapped_handle->opae_handle, value); +} + +fpga_result __OPAE_API__ fpgaSetUmsgAttributes(fpga_handle handle, + uint64_t value) +{ + opae_wrapped_handle *wrapped_handle = + opae_validate_wrapped_handle(handle); + + ASSERT_NOT_NULL(wrapped_handle); + ASSERT_NOT_NULL_RESULT( + wrapped_handle->adapter_table->fpgaSetUmsgAttributes, + FPGA_NOT_SUPPORTED); + + return wrapped_handle->adapter_table->fpgaSetUmsgAttributes( + wrapped_handle->opae_handle, value); +} + +fpga_result __OPAE_API__ fpgaTriggerUmsg(fpga_handle handle, uint64_t value) +{ + opae_wrapped_handle *wrapped_handle = + opae_validate_wrapped_handle(handle); + + ASSERT_NOT_NULL(wrapped_handle); + ASSERT_NOT_NULL_RESULT(wrapped_handle->adapter_table->fpgaTriggerUmsg, + FPGA_NOT_SUPPORTED); + + return wrapped_handle->adapter_table->fpgaTriggerUmsg( + wrapped_handle->opae_handle, value); +} + +fpga_result __OPAE_API__ fpgaGetUmsgPtr(fpga_handle handle, uint64_t **umsg_ptr) +{ + opae_wrapped_handle *wrapped_handle = + opae_validate_wrapped_handle(handle); + + ASSERT_NOT_NULL(wrapped_handle); + ASSERT_NOT_NULL(umsg_ptr); + ASSERT_NOT_NULL_RESULT(wrapped_handle->adapter_table->fpgaGetUmsgPtr, + FPGA_NOT_SUPPORTED); + + return wrapped_handle->adapter_table->fpgaGetUmsgPtr( + wrapped_handle->opae_handle, umsg_ptr); +} + +fpga_result __OPAE_API__ fpgaPrepareBuffer(fpga_handle handle, + uint64_t len, void **buf_addr, uint64_t *wsid, int flags) +{ + opae_wrapped_handle *wrapped_handle = + opae_validate_wrapped_handle(handle); + + // A special case: allow each plugin to respond FPGA_OK + // when !buf_addr and !len as an indication that + // FPGA_BUF_PREALLOCATED is supported by the plugin. + if (!(flags & FPGA_BUF_PREALLOCATED) || (len > 0)) { + // Assert only if not the special case described above. + ASSERT_NOT_NULL(buf_addr); + } + + ASSERT_NOT_NULL(wrapped_handle); + ASSERT_NOT_NULL(wsid); + ASSERT_NOT_NULL_RESULT(wrapped_handle->adapter_table->fpgaPrepareBuffer, + FPGA_NOT_SUPPORTED); + + return wrapped_handle->adapter_table->fpgaPrepareBuffer( + wrapped_handle->opae_handle, len, buf_addr, wsid, flags); +} + +fpga_result __OPAE_API__ fpgaReleaseBuffer(fpga_handle handle, uint64_t wsid) +{ + opae_wrapped_handle *wrapped_handle = + opae_validate_wrapped_handle(handle); + + ASSERT_NOT_NULL(wrapped_handle); + ASSERT_NOT_NULL_RESULT(wrapped_handle->adapter_table->fpgaReleaseBuffer, + FPGA_NOT_SUPPORTED); + + return wrapped_handle->adapter_table->fpgaReleaseBuffer( + wrapped_handle->opae_handle, wsid); +} + +fpga_result __OPAE_API__ fpgaGetIOAddress(fpga_handle handle, uint64_t wsid, + uint64_t *ioaddr) +{ + opae_wrapped_handle *wrapped_handle = + opae_validate_wrapped_handle(handle); + + ASSERT_NOT_NULL(wrapped_handle); + ASSERT_NOT_NULL(ioaddr); + ASSERT_NOT_NULL_RESULT(wrapped_handle->adapter_table->fpgaGetIOAddress, + FPGA_NOT_SUPPORTED); + + return wrapped_handle->adapter_table->fpgaGetIOAddress( + wrapped_handle->opae_handle, wsid, ioaddr); +} + +fpga_result __OPAE_API__ fpgaGetOPAECVersion(fpga_version *version) +{ + ASSERT_NOT_NULL(version); + + version->major = OPAE_VERSION_MAJOR; + version->minor = OPAE_VERSION_MINOR; + version->patch = OPAE_VERSION_REVISION; + + return FPGA_OK; +} + +fpga_result __OPAE_API__ fpgaGetOPAECVersionString(char *version_str, + size_t len) +{ + ASSERT_NOT_NULL(version_str); + if (len <= sizeof(OPAE_VERSION)) + return FPGA_INVALID_PARAM; + + snprintf(version_str, len, "%s", OPAE_VERSION); + + return FPGA_OK; +} + +fpga_result __OPAE_API__ fpgaGetOPAECBuildString(char *build_str, size_t len) +{ + ASSERT_NOT_NULL(build_str); + if (!len) + return FPGA_INVALID_PARAM; + + snprintf(build_str, len, + "%s%s", + OPAE_GIT_COMMIT_HASH, + OPAE_GIT_SRC_TREE_DIRTY ? "*" : ""); + build_str[len - 1] = '\0'; + + return FPGA_OK; +} + +fpga_result __OPAE_API__ fpgaReadError(fpga_token token, + uint32_t error_num, uint64_t *value) +{ + opae_wrapped_token *wrapped_token = opae_validate_wrapped_token(token); + + ASSERT_NOT_NULL(wrapped_token); + ASSERT_NOT_NULL(value); + ASSERT_NOT_NULL_RESULT(wrapped_token->adapter_table->fpgaReadError, + FPGA_NOT_SUPPORTED); + + return wrapped_token->adapter_table->fpgaReadError( + wrapped_token->opae_token, error_num, value); +} + +fpga_result __OPAE_API__ fpgaClearError(fpga_token token, uint32_t error_num) +{ + opae_wrapped_token *wrapped_token = opae_validate_wrapped_token(token); + + ASSERT_NOT_NULL(wrapped_token); + ASSERT_NOT_NULL_RESULT(wrapped_token->adapter_table->fpgaClearError, + FPGA_NOT_SUPPORTED); + + return wrapped_token->adapter_table->fpgaClearError( + wrapped_token->opae_token, error_num); +} + +fpga_result __OPAE_API__ fpgaClearAllErrors(fpga_token token) +{ + opae_wrapped_token *wrapped_token = opae_validate_wrapped_token(token); + + ASSERT_NOT_NULL(wrapped_token); + ASSERT_NOT_NULL_RESULT(wrapped_token->adapter_table->fpgaClearAllErrors, + FPGA_NOT_SUPPORTED); + + return wrapped_token->adapter_table->fpgaClearAllErrors( + wrapped_token->opae_token); +} + +fpga_result __OPAE_API__ fpgaGetErrorInfo(fpga_token token, uint32_t error_num, + struct fpga_error_info *error_info) +{ + opae_wrapped_token *wrapped_token = opae_validate_wrapped_token(token); + + ASSERT_NOT_NULL(wrapped_token); + ASSERT_NOT_NULL(error_info); + ASSERT_NOT_NULL_RESULT(wrapped_token->adapter_table->fpgaGetErrorInfo, + FPGA_NOT_SUPPORTED); + + return wrapped_token->adapter_table->fpgaGetErrorInfo( + wrapped_token->opae_token, error_num, error_info); +} + +const char * __OPAE_API__ fpgaErrStr(fpga_result e) +{ + switch (e) { + case FPGA_OK: + return "success"; + case FPGA_INVALID_PARAM: + return "invalid parameter"; + case FPGA_BUSY: + return "resource busy"; + case FPGA_EXCEPTION: + return "exception"; + case FPGA_NOT_FOUND: + return "not found"; + case FPGA_NO_MEMORY: + return "no memory"; + case FPGA_NOT_SUPPORTED: + return "not supported"; + case FPGA_NO_DRIVER: + return "no driver available"; + case FPGA_NO_DAEMON: + return "no fpga daemon running"; + case FPGA_NO_ACCESS: + return "insufficient privileges"; + case FPGA_RECONF_ERROR: + return "reconfiguration error"; + default: + return "unknown error"; + } +} + +fpga_result __OPAE_API__ fpgaCreateEventHandle(fpga_event_handle *event_handle) +{ + opae_wrapped_event_handle *wrapped_event_handle; + + ASSERT_NOT_NULL(event_handle); + + // We don't have an adapter table yet, so just create an empty object. + wrapped_event_handle = opae_allocate_wrapped_event_handle(NULL, NULL); + + ASSERT_NOT_NULL_RESULT(wrapped_event_handle, FPGA_NO_MEMORY); + + *event_handle = wrapped_event_handle; + + return FPGA_OK; +} + +fpga_result __OPAE_API__ fpgaDestroyEventHandle(fpga_event_handle *event_handle) +{ + fpga_result res = FPGA_OK; + opae_wrapped_event_handle *wrapped_event_handle; + int ires; + + ASSERT_NOT_NULL(event_handle); + + wrapped_event_handle = + opae_validate_wrapped_event_handle(*event_handle); + + ASSERT_NOT_NULL(wrapped_event_handle); + + opae_mutex_lock(ires, &wrapped_event_handle->lock); + + if (wrapped_event_handle->flags & OPAE_WRAPPED_EVENT_HANDLE_CREATED) { + + if (!wrapped_event_handle->adapter_table + ->fpgaDestroyEventHandle) { + OPAE_ERR("NULL fpgaDestroyEventHandle() in adapter."); + opae_mutex_unlock(ires, &wrapped_event_handle->lock); + return FPGA_NOT_SUPPORTED; + } + + if (!wrapped_event_handle->opae_event_handle) { + OPAE_ERR("NULL fpga_event_handle in wrapper."); + opae_mutex_unlock(ires, &wrapped_event_handle->lock); + return FPGA_INVALID_PARAM; + } + + res = wrapped_event_handle->adapter_table + ->fpgaDestroyEventHandle( + &wrapped_event_handle->opae_event_handle); + } + + opae_mutex_unlock(ires, &wrapped_event_handle->lock); + + opae_destroy_wrapped_event_handle(wrapped_event_handle); + + return res; +} + +fpga_result __OPAE_API__ fpgaGetOSObjectFromEventHandle( + const fpga_event_handle eh, int *fd) +{ + fpga_result res; + opae_wrapped_event_handle *wrapped_event_handle = + opae_validate_wrapped_event_handle(eh); + int ires; + + ASSERT_NOT_NULL(fd); + ASSERT_NOT_NULL(wrapped_event_handle); + + opae_mutex_lock(ires, &wrapped_event_handle->lock); + + if (!(wrapped_event_handle->flags + & OPAE_WRAPPED_EVENT_HANDLE_CREATED)) { + OPAE_ERR( + "Attempting to query OS event object before event handle is registered."); + opae_mutex_unlock(ires, &wrapped_event_handle->lock); + return FPGA_INVALID_PARAM; + } + + if (!wrapped_event_handle->opae_event_handle) { + OPAE_ERR("NULL fpga_event_handle in wrapper."); + opae_mutex_unlock(ires, &wrapped_event_handle->lock); + return FPGA_INVALID_PARAM; + } + + if (!wrapped_event_handle->adapter_table + ->fpgaGetOSObjectFromEventHandle) { + OPAE_ERR("NULL fpgaGetOSObjectFromEventHandle in adapter."); + opae_mutex_unlock(ires, &wrapped_event_handle->lock); + return FPGA_NOT_SUPPORTED; + } + + res = wrapped_event_handle->adapter_table + ->fpgaGetOSObjectFromEventHandle( + wrapped_event_handle->opae_event_handle, fd); + + opae_mutex_unlock(ires, &wrapped_event_handle->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaRegisterEvent(fpga_handle handle, + fpga_event_type event_type, fpga_event_handle event_handle, + uint32_t flags) +{ + fpga_result res = FPGA_OK; + opae_wrapped_handle *wrapped_handle = + opae_validate_wrapped_handle(handle); + opae_wrapped_event_handle *wrapped_event_handle = + opae_validate_wrapped_event_handle(event_handle); + int ires; + + ASSERT_NOT_NULL(wrapped_handle); + ASSERT_NOT_NULL(wrapped_event_handle); + + opae_mutex_lock(ires, &wrapped_event_handle->lock); + + if (!(wrapped_event_handle->flags + & OPAE_WRAPPED_EVENT_HANDLE_CREATED)) { + // Now that we have an adapter table, store the adapter in + // the wrapped_event_handle, and create the event handle. + + if (!wrapped_handle->adapter_table->fpgaCreateEventHandle) { + OPAE_ERR("NULL fpgaCreateEventHandle() in adapter."); + opae_mutex_unlock(ires, &wrapped_event_handle->lock); + return FPGA_NOT_SUPPORTED; + } + + res = wrapped_handle->adapter_table->fpgaCreateEventHandle( + &wrapped_event_handle->opae_event_handle); + + if (res != FPGA_OK) { + opae_mutex_unlock(ires, &wrapped_event_handle->lock); + return res; + } + + // The event_handle is now created. + wrapped_event_handle->adapter_table = + wrapped_handle->adapter_table; + wrapped_event_handle->flags |= + OPAE_WRAPPED_EVENT_HANDLE_CREATED; + } + + if (!wrapped_event_handle->opae_event_handle) { + OPAE_ERR("NULL fpga_event_handle"); + opae_mutex_unlock(ires, &wrapped_event_handle->lock); + return FPGA_INVALID_PARAM; + } + + if (!wrapped_event_handle->adapter_table) { + OPAE_ERR("NULL adapter table in wrapped event handle."); + opae_mutex_unlock(ires, &wrapped_event_handle->lock); + return FPGA_INVALID_PARAM; + } + + if (!wrapped_event_handle->adapter_table->fpgaRegisterEvent) { + OPAE_ERR("NULL fpgaRegisterEvent() in adapter."); + opae_mutex_unlock(ires, &wrapped_event_handle->lock); + return FPGA_NOT_SUPPORTED; + } + + res = wrapped_event_handle->adapter_table->fpgaRegisterEvent( + wrapped_handle->opae_handle, event_type, + wrapped_event_handle->opae_event_handle, flags); + + opae_mutex_unlock(ires, &wrapped_event_handle->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaUnregisterEvent(fpga_handle handle, + fpga_event_type event_type, fpga_event_handle event_handle) +{ + fpga_result res; + opae_wrapped_handle *wrapped_handle = + opae_validate_wrapped_handle(handle); + opae_wrapped_event_handle *wrapped_event_handle = + opae_validate_wrapped_event_handle(event_handle); + int ires; + + ASSERT_NOT_NULL(wrapped_handle); + ASSERT_NOT_NULL(wrapped_event_handle); + + opae_mutex_lock(ires, &wrapped_event_handle->lock); + + if (!(wrapped_event_handle->flags + & OPAE_WRAPPED_EVENT_HANDLE_CREATED)) { + OPAE_ERR( + "Attempting to unregister event object before registering it."); + opae_mutex_unlock(ires, &wrapped_event_handle->lock); + return FPGA_INVALID_PARAM; + } + + if (!wrapped_event_handle->opae_event_handle) { + OPAE_ERR("NULL fpga_event_handle in wrapper."); + opae_mutex_unlock(ires, &wrapped_event_handle->lock); + return FPGA_INVALID_PARAM; + } + + if (!wrapped_event_handle->adapter_table->fpgaUnregisterEvent) { + OPAE_ERR("NULL fpgaUnregisterEvent() in adapter."); + opae_mutex_unlock(ires, &wrapped_event_handle->lock); + return FPGA_NOT_SUPPORTED; + } + + res = wrapped_event_handle->adapter_table->fpgaUnregisterEvent( + wrapped_handle->opae_handle, event_type, + wrapped_event_handle->opae_event_handle); + + opae_mutex_unlock(ires, &wrapped_event_handle->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaAssignPortToInterface(fpga_handle fpga, + uint32_t interface_num, uint32_t slot_num, int flags) +{ + opae_wrapped_handle *wrapped_handle = + opae_validate_wrapped_handle(fpga); + + ASSERT_NOT_NULL(wrapped_handle); + ASSERT_NOT_NULL_RESULT( + wrapped_handle->adapter_table->fpgaAssignPortToInterface, + FPGA_NOT_SUPPORTED); + + return wrapped_handle->adapter_table->fpgaAssignPortToInterface( + wrapped_handle->opae_handle, interface_num, slot_num, flags); +} + +fpga_result __OPAE_API__ fpgaAssignToInterface(fpga_handle fpga, + fpga_token accelerator, uint32_t host_interface, int flags) +{ + opae_wrapped_handle *wrapped_handle = + opae_validate_wrapped_handle(fpga); + opae_wrapped_token *wrapped_token = + opae_validate_wrapped_token(accelerator); + + ASSERT_NOT_NULL(wrapped_handle); + ASSERT_NOT_NULL(wrapped_token); + ASSERT_NOT_NULL_RESULT( + wrapped_handle->adapter_table->fpgaAssignToInterface, + FPGA_NOT_SUPPORTED); + + return wrapped_handle->adapter_table->fpgaAssignToInterface( + wrapped_handle->opae_handle, wrapped_token->opae_token, + host_interface, flags); +} + +fpga_result __OPAE_API__ fpgaReleaseFromInterface(fpga_handle fpga, + fpga_token accelerator) +{ + opae_wrapped_handle *wrapped_handle = + opae_validate_wrapped_handle(fpga); + opae_wrapped_token *wrapped_token = + opae_validate_wrapped_token(accelerator); + + ASSERT_NOT_NULL(wrapped_handle); + ASSERT_NOT_NULL(wrapped_token); + ASSERT_NOT_NULL_RESULT( + wrapped_handle->adapter_table->fpgaReleaseFromInterface, + FPGA_NOT_SUPPORTED); + + return wrapped_handle->adapter_table->fpgaReleaseFromInterface( + wrapped_handle->opae_handle, wrapped_token->opae_token); +} + +fpga_result __OPAE_API__ fpgaReconfigureSlot(fpga_handle fpga, uint32_t slot, + const uint8_t *bitstream, size_t bitstream_len, + int flags) +{ + opae_wrapped_handle *wrapped_handle = + opae_validate_wrapped_handle(fpga); + + ASSERT_NOT_NULL(wrapped_handle); + ASSERT_NOT_NULL(bitstream); + ASSERT_NOT_NULL_RESULT( + wrapped_handle->adapter_table->fpgaReconfigureSlot, + FPGA_NOT_SUPPORTED); + + return wrapped_handle->adapter_table->fpgaReconfigureSlot( + wrapped_handle->opae_handle, slot, bitstream, bitstream_len, + flags); +} + +fpga_result __OPAE_API__ fpgaTokenGetObject(fpga_token token, const char *name, + fpga_object *object, int flags) +{ + fpga_result res; + fpga_result dres = FPGA_OK; + fpga_object obj = NULL; + opae_wrapped_object *wrapped_object; + opae_wrapped_token *wrapped_token = opae_validate_wrapped_token(token); + + ASSERT_NOT_NULL(wrapped_token); + ASSERT_NOT_NULL(name); + ASSERT_NOT_NULL(object); + ASSERT_NOT_NULL_RESULT(wrapped_token->adapter_table->fpgaTokenGetObject, + FPGA_NOT_SUPPORTED); + ASSERT_NOT_NULL_RESULT(wrapped_token->adapter_table->fpgaDestroyObject, + FPGA_NOT_SUPPORTED); + + res = wrapped_token->adapter_table->fpgaTokenGetObject( + wrapped_token->opae_token, name, &obj, flags); + + ASSERT_RESULT(res); + + wrapped_object = + opae_allocate_wrapped_object(obj, wrapped_token->adapter_table); + + if (!wrapped_object) { + OPAE_ERR("malloc failed"); + res = FPGA_NO_MEMORY; + dres = wrapped_token->adapter_table->fpgaDestroyObject(&obj); + } + + *object = wrapped_object; + + return res != FPGA_OK ? res : dres; +} + +fpga_result __OPAE_API__ fpgaHandleGetObject(fpga_handle handle, + const char *name, fpga_object *object, int flags) +{ + fpga_result res; + fpga_result dres = FPGA_OK; + fpga_object obj = NULL; + opae_wrapped_object *wrapped_object; + opae_wrapped_handle *wrapped_handle = + opae_validate_wrapped_handle(handle); + + ASSERT_NOT_NULL(wrapped_handle); + ASSERT_NOT_NULL(name); + ASSERT_NOT_NULL(object); + ASSERT_NOT_NULL_RESULT( + wrapped_handle->adapter_table->fpgaHandleGetObject, + FPGA_NOT_SUPPORTED); + ASSERT_NOT_NULL_RESULT(wrapped_handle->adapter_table->fpgaDestroyObject, + FPGA_NOT_SUPPORTED); + + res = wrapped_handle->adapter_table->fpgaHandleGetObject( + wrapped_handle->opae_handle, name, &obj, flags); + + ASSERT_RESULT(res); + + wrapped_object = opae_allocate_wrapped_object( + obj, wrapped_handle->adapter_table); + + if (!wrapped_object) { + OPAE_ERR("malloc failed"); + res = FPGA_NO_MEMORY; + dres = wrapped_handle->adapter_table->fpgaDestroyObject(&obj); + } + + *object = wrapped_object; + + return res != FPGA_OK ? res : dres; +} + +fpga_result __OPAE_API__ fpgaObjectGetObjectAt(fpga_object parent, + size_t index, fpga_object *object) +{ + fpga_result res; + fpga_result dres = FPGA_OK; + fpga_object obj = NULL; + opae_wrapped_object *wrapped_child_object; + opae_wrapped_object *wrapped_object = + opae_validate_wrapped_object(parent); + + ASSERT_NOT_NULL(wrapped_object); + ASSERT_NOT_NULL(object); + ASSERT_NOT_NULL_RESULT( + wrapped_object->adapter_table->fpgaObjectGetObjectAt, + FPGA_NOT_SUPPORTED); + ASSERT_NOT_NULL_RESULT(wrapped_object->adapter_table->fpgaDestroyObject, + FPGA_NOT_SUPPORTED); + + res = wrapped_object->adapter_table->fpgaObjectGetObjectAt( + wrapped_object->opae_object, index, &obj); + + ASSERT_RESULT(res); + + wrapped_child_object = opae_allocate_wrapped_object( + obj, wrapped_object->adapter_table); + + if (!wrapped_child_object) { + OPAE_ERR("malloc failed"); + res = FPGA_NO_MEMORY; + dres = wrapped_object->adapter_table->fpgaDestroyObject(&obj); + } + + *object = wrapped_child_object; + + return res != FPGA_OK ? res : dres; + +} + +fpga_result __OPAE_API__ fpgaObjectGetObject(fpga_object parent, + const char *name, fpga_object *object, int flags) +{ + fpga_result res; + fpga_result dres = FPGA_OK; + fpga_object obj = NULL; + opae_wrapped_object *wrapped_child_object; + opae_wrapped_object *wrapped_object = + opae_validate_wrapped_object(parent); + + ASSERT_NOT_NULL(wrapped_object); + ASSERT_NOT_NULL(name); + ASSERT_NOT_NULL(object); + ASSERT_NOT_NULL_RESULT( + wrapped_object->adapter_table->fpgaObjectGetObject, + FPGA_NOT_SUPPORTED); + ASSERT_NOT_NULL_RESULT(wrapped_object->adapter_table->fpgaDestroyObject, + FPGA_NOT_SUPPORTED); + + res = wrapped_object->adapter_table->fpgaObjectGetObject( + wrapped_object->opae_object, name, &obj, flags); + + ASSERT_RESULT(res); + + wrapped_child_object = opae_allocate_wrapped_object( + obj, wrapped_object->adapter_table); + + if (!wrapped_child_object) { + OPAE_ERR("malloc failed"); + res = FPGA_NO_MEMORY; + dres = wrapped_object->adapter_table->fpgaDestroyObject(&obj); + } + + *object = wrapped_child_object; + + return res != FPGA_OK ? res : dres; +} + +fpga_result __OPAE_API__ fpgaDestroyObject(fpga_object *obj) +{ + fpga_result res; + opae_wrapped_object *wrapped_object; + + ASSERT_NOT_NULL(obj); + + wrapped_object = opae_validate_wrapped_object(*obj); + + ASSERT_NOT_NULL(wrapped_object); + ASSERT_NOT_NULL_RESULT(wrapped_object->adapter_table->fpgaDestroyObject, + FPGA_NOT_SUPPORTED); + + res = wrapped_object->adapter_table->fpgaDestroyObject( + &wrapped_object->opae_object); + + opae_destroy_wrapped_object(wrapped_object); + + return res; +} + +fpga_result __OPAE_API__ fpgaObjectRead(fpga_object obj, uint8_t *buffer, + size_t offset, size_t len, int flags) +{ + opae_wrapped_object *wrapped_object = opae_validate_wrapped_object(obj); + + ASSERT_NOT_NULL(wrapped_object); + ASSERT_NOT_NULL(buffer); + ASSERT_NOT_NULL_RESULT(wrapped_object->adapter_table->fpgaObjectRead, + FPGA_NOT_SUPPORTED); + + return wrapped_object->adapter_table->fpgaObjectRead( + wrapped_object->opae_object, buffer, offset, len, flags); +} + +fpga_result __OPAE_API__ fpgaObjectGetSize(fpga_object obj, uint64_t *value, + int flags) +{ + opae_wrapped_object *wrapped_object = opae_validate_wrapped_object(obj); + + ASSERT_NOT_NULL(wrapped_object); + ASSERT_NOT_NULL(value); + ASSERT_NOT_NULL_RESULT(wrapped_object->adapter_table->fpgaObjectGetSize, + FPGA_NOT_SUPPORTED); + + return wrapped_object->adapter_table->fpgaObjectGetSize( + wrapped_object->opae_object, value, flags); +} + +fpga_result __OPAE_API__ fpgaObjectGetType(fpga_object obj, + enum fpga_sysobject_type *type) +{ + opae_wrapped_object *wrapped_object = opae_validate_wrapped_object(obj); + + ASSERT_NOT_NULL(wrapped_object); + ASSERT_NOT_NULL(type); + ASSERT_NOT_NULL_RESULT(wrapped_object->adapter_table->fpgaObjectGetType, + FPGA_NOT_SUPPORTED); + + return wrapped_object->adapter_table->fpgaObjectGetType( + wrapped_object->opae_object, type); +} + +fpga_result __OPAE_API__ fpgaObjectRead64(fpga_object obj, uint64_t *value, + int flags) +{ + opae_wrapped_object *wrapped_object = opae_validate_wrapped_object(obj); + + ASSERT_NOT_NULL(wrapped_object); + ASSERT_NOT_NULL(value); + ASSERT_NOT_NULL_RESULT(wrapped_object->adapter_table->fpgaObjectRead64, + FPGA_NOT_SUPPORTED); + + return wrapped_object->adapter_table->fpgaObjectRead64( + wrapped_object->opae_object, value, flags); +} + +fpga_result __OPAE_API__ fpgaObjectWrite64(fpga_object obj, uint64_t value, + int flags) +{ + opae_wrapped_object *wrapped_object = opae_validate_wrapped_object(obj); + + ASSERT_NOT_NULL(wrapped_object); + ASSERT_NOT_NULL_RESULT(wrapped_object->adapter_table->fpgaObjectWrite64, + FPGA_NOT_SUPPORTED); + + return wrapped_object->adapter_table->fpgaObjectWrite64( + wrapped_object->opae_object, value, flags); +} + +fpga_result __OPAE_API__ fpgaSetUserClock(fpga_handle handle, + uint64_t high_clk, uint64_t low_clk, int flags) +{ + opae_wrapped_handle *wrapped_handle = + opae_validate_wrapped_handle(handle); + + ASSERT_NOT_NULL(wrapped_handle); + ASSERT_NOT_NULL_RESULT(wrapped_handle->adapter_table->fpgaSetUserClock, + FPGA_NOT_SUPPORTED); + + return wrapped_handle->adapter_table->fpgaSetUserClock( + wrapped_handle->opae_handle, high_clk, low_clk, flags); +} + +fpga_result __OPAE_API__ fpgaGetUserClock(fpga_handle handle, + uint64_t *high_clk, uint64_t *low_clk, int flags) +{ + opae_wrapped_handle *wrapped_handle = + opae_validate_wrapped_handle(handle); + + ASSERT_NOT_NULL(wrapped_handle); + ASSERT_NOT_NULL(low_clk); + ASSERT_NOT_NULL(high_clk); + ASSERT_NOT_NULL_RESULT(wrapped_handle->adapter_table->fpgaGetUserClock, + FPGA_NOT_SUPPORTED); + + return wrapped_handle->adapter_table->fpgaGetUserClock( + wrapped_handle->opae_handle, high_clk, low_clk, flags); +} + +fpga_result __OPAE_API__ fpgaGetNumMetrics(fpga_handle handle, + uint64_t *num_metrics) +{ + opae_wrapped_handle *wrapped_handle = + opae_validate_wrapped_handle(handle); + + ASSERT_NOT_NULL(wrapped_handle); + ASSERT_NOT_NULL(num_metrics); + + ASSERT_NOT_NULL_RESULT(wrapped_handle->adapter_table->fpgaGetNumMetrics, + FPGA_NOT_SUPPORTED); + + return wrapped_handle->adapter_table->fpgaGetNumMetrics( + wrapped_handle->opae_handle, num_metrics); +} + +fpga_result __OPAE_API__ fpgaGetMetricsInfo(fpga_handle handle, + fpga_metric_info *metric_info, + uint64_t *num_metrics) +{ + opae_wrapped_handle *wrapped_handle = + opae_validate_wrapped_handle(handle); + + ASSERT_NOT_NULL(wrapped_handle); + ASSERT_NOT_NULL(metric_info); + ASSERT_NOT_NULL(num_metrics); + ASSERT_NOT_NULL_RESULT(wrapped_handle->adapter_table->fpgaGetMetricsInfo, + FPGA_NOT_SUPPORTED); + + return wrapped_handle->adapter_table->fpgaGetMetricsInfo( + wrapped_handle->opae_handle, metric_info, num_metrics); +} + +fpga_result __OPAE_API__ fpgaGetMetricsByIndex(fpga_handle handle, + uint64_t *metric_num, + uint64_t num_metric_indexes, + fpga_metric *metrics) +{ + opae_wrapped_handle *wrapped_handle = + opae_validate_wrapped_handle(handle); + + ASSERT_NOT_NULL(wrapped_handle); + ASSERT_NOT_NULL(num_metric_indexes); + ASSERT_NOT_NULL(metrics); + + ASSERT_NOT_NULL_RESULT(wrapped_handle->adapter_table->fpgaGetMetricsByIndex, + FPGA_NOT_SUPPORTED); + + return wrapped_handle->adapter_table->fpgaGetMetricsByIndex( + wrapped_handle->opae_handle, metric_num, num_metric_indexes, metrics); +} + +fpga_result __OPAE_API__ fpgaGetMetricsByName(fpga_handle handle, + char **metrics_names, + uint64_t num_metric_names, + fpga_metric *metrics) +{ + opae_wrapped_handle *wrapped_handle = + opae_validate_wrapped_handle(handle); + + ASSERT_NOT_NULL(wrapped_handle); + ASSERT_NOT_NULL(metrics_names); + ASSERT_NOT_NULL(metrics); + + ASSERT_NOT_NULL_RESULT(wrapped_handle->adapter_table->fpgaGetMetricsByName, + FPGA_NOT_SUPPORTED); + + return wrapped_handle->adapter_table->fpgaGetMetricsByName( + wrapped_handle->opae_handle, metrics_names, num_metric_names, metrics); +} + +fpga_result __OPAE_API__ fpgaGetMetricsThresholdInfo(fpga_handle handle, + metric_threshold *metric_thresholds, + uint32_t *num_thresholds) +{ + opae_wrapped_handle *wrapped_handle = + opae_validate_wrapped_handle(handle); + + ASSERT_NOT_NULL(wrapped_handle); + ASSERT_NOT_NULL(num_thresholds); + + ASSERT_NOT_NULL_RESULT(wrapped_handle->adapter_table->fpgaGetMetricsThresholdInfo, + FPGA_NOT_SUPPORTED); + + return wrapped_handle->adapter_table->fpgaGetMetricsThresholdInfo( + wrapped_handle->opae_handle, metric_thresholds, num_thresholds); +} diff --git a/opae-libs/libopae-c/init.c b/opae-libs/libopae-c/init.c new file mode 100644 index 0000000..a89534c --- /dev/null +++ b/opae-libs/libopae-c/init.c @@ -0,0 +1,233 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H +#define _GNU_SOURCE +#include +#include +#include +#include +#include +#include +#include +#include +#ifndef __USE_GNU +#define __USE_GNU +#endif // __USE_GNU +#include + +#include +#include +#include "pluginmgr.h" +#include "opae_int.h" + +/* global loglevel */ +static int g_loglevel = OPAE_DEFAULT_LOGLEVEL; +static FILE *g_logfile; +/* mutex to protect against garbled log output */ +static pthread_mutex_t log_lock = PTHREAD_RECURSIVE_MUTEX_INITIALIZER_NP; + +#define CFG_PATH_MAX 64 +#define HOME_CFG_PATHS 3 +STATIC const char _ase_home_cfg_files[HOME_CFG_PATHS][CFG_PATH_MAX] = { + { "/.local/opae_ase.cfg" }, + { "/.local/opae/opae_ase.cfg" }, + { "/.config/opae/opae_ase.cfg" }, +}; +#define SYS_CFG_PATHS 2 +STATIC const char _ase_sys_cfg_files[SYS_CFG_PATHS][CFG_PATH_MAX] = { + { "/usr/local/etc/opae/opae_ase.cfg" }, + { "/etc/opae/opae_ase.cfg" }, +}; + +void opae_print(int loglevel, const char *fmt, ...) +{ + FILE *fp; + int err; + va_list argp; + + if (loglevel > g_loglevel) + return; + + if (loglevel == OPAE_LOG_ERROR) + fp = stderr; + else + fp = g_logfile == NULL ? stdout : g_logfile; + + va_start(argp, fmt); + err = pthread_mutex_lock( + &log_lock); /* ignore failure and print anyway */ + if (err) + fprintf(stderr, "pthread_mutex_lock() failed: %s", + strerror(err)); + vfprintf(fp, fmt, argp); + err = pthread_mutex_unlock(&log_lock); + if (err) + fprintf(stderr, "pthread_mutex_unlock() failed: %s", + strerror(err)); + va_end(argp); +} + +/* Find the canonicalized configuration file opae_ase.cfg. If null, the file + was not found. Otherwise, it's the first configuration file found from a + list of possible paths. Note: The char * returned is allocated here, caller + must free. */ +STATIC char *find_ase_cfg(void) +{ + int i = 0; + char *file_name = NULL; + char *opae_path = NULL; + char cfg_path[PATH_MAX] = { 0, }; + char home_cfg[PATH_MAX] = { 0, }; + size_t len; + + // get the user's home directory + struct passwd *user_passwd = getpwuid(getuid()); + + // first look in the OPAE source directory + file_name = canonicalize_file_name(OPAE_ASE_CFG_SRC_PATH); + if (file_name) + return file_name; + + // second look in OPAE installation directory + file_name = canonicalize_file_name(OPAE_ASE_CFG_INST_PATH); + if (file_name) + return file_name; + + // third look in the release directory + opae_path = getenv("OPAE_PLATFORM_ROOT"); + if (opae_path) { + + if (snprintf(cfg_path, sizeof(cfg_path), + "%s/share/opae/ase/opae_ase.cfg", opae_path) < 0) { + OPAE_ERR("snprintf buffer overflow"); + } else { + file_name = canonicalize_file_name(cfg_path); + if (file_name) + return file_name; + } + } + + // fourth look in possible paths in the users home directory + if (user_passwd != NULL) { + for (i = 0; i < HOME_CFG_PATHS; ++i) { + if (snprintf(home_cfg, sizeof(home_cfg), + "%s%s", user_passwd->pw_dir, + _ase_home_cfg_files[i]) < 0) { + OPAE_ERR("snprintf buffer overflow"); + } else { + file_name = canonicalize_file_name(home_cfg); + if (file_name) + return file_name; + } + } + } + + // now look in possible system paths + for (i = 0; i < SYS_CFG_PATHS; ++i) { + len = strnlen(_ase_sys_cfg_files[i], CFG_PATH_MAX - 1); + memcpy(home_cfg, _ase_sys_cfg_files[i], len); + home_cfg[len] = '\0'; + file_name = canonicalize_file_name(home_cfg); + if (file_name) + return file_name; + } + + return NULL; +} + +__attribute__((constructor)) STATIC void opae_init(void) +{ + fpga_result res; + g_logfile = NULL; + char *cfg_path = NULL; + char *with_ase = NULL; + + /* try to read loglevel from environment */ + char *s = getenv("LIBOPAE_LOG"); + if (s) { + g_loglevel = atoi(s); +#ifndef LIBOPAE_DEBUG + if (g_loglevel >= OPAE_LOG_DEBUG) + fprintf(stderr, + "WARNING: Environment variable LIBOPAE_LOG is " + "set to output debug\nmessages, " + "but libopae-c was not built with debug " + "information.\n"); +#endif + } + + s = getenv("LIBOPAE_LOGFILE"); + if (s) { + if (s[0] != '/' || !strncmp(s, "/tmp/", 5)) { + g_logfile = fopen(s, "w"); + if (g_logfile == NULL) { + fprintf(stderr, + "Could not open log file for writing: %s. ", s); + fprintf(stderr, "Error is: %s\n", strerror(errno)); + } + } + } + + if (g_logfile == NULL) + g_logfile = stdout; + + with_ase = getenv("WITH_ASE"); + if (with_ase) { + cfg_path = find_ase_cfg(); + + if (cfg_path == NULL) { + OPAE_ERR("WITH_ASE was set, but could not find opae_ase.cfg file"); + return; + } + + res = fpgaInitialize(cfg_path); + if (res != FPGA_OK) + OPAE_ERR("fpgaInitialize: %s", fpgaErrStr(res)); + + free(cfg_path); + } + // If the environment hasn't requested explicit initialization, + // perform the initialization implicitly here. + else if (getenv("OPAE_EXPLICIT_INITIALIZE") == NULL) + fpgaInitialize(NULL); +} + +__attribute__((destructor)) STATIC void opae_release(void) +{ + fpga_result res; + + res = fpgaFinalize(); + if (res != FPGA_OK) + OPAE_ERR("fpgaFinalize: %s", fpgaErrStr(res)); + + if (g_logfile != NULL && g_logfile != stdout) { + fclose(g_logfile); + } + g_logfile = NULL; +} diff --git a/opae-libs/libopae-c/init_ase.c b/opae-libs/libopae-c/init_ase.c new file mode 100644 index 0000000..7d7883e --- /dev/null +++ b/opae-libs/libopae-c/init_ase.c @@ -0,0 +1,38 @@ +// Copyright(c) 2019, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H +#include + +#define ASE_PRIORITY 110 + +__attribute__((constructor(ASE_PRIORITY))) STATIC void opae_ase_init(void) +{ + // Force ASE mode in opae_init() + setenv("WITH_ASE", "1", 0); +} diff --git a/opae-libs/libopae-c/opae_int.h b/opae-libs/libopae-c/opae_int.h new file mode 100644 index 0000000..d9d0ee7 --- /dev/null +++ b/opae-libs/libopae-c/opae_int.h @@ -0,0 +1,219 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifndef __OPAE_OPAE_INT_H__ +#define __OPAE_OPAE_INT_H__ + +#include +#include +#include +#include + +#include +#include + +#ifndef __USE_GNU +#define __USE_GNU +#endif // __USE_GNU +#include + +#define ASSERT_NOT_NULL_MSG_RESULT(__arg, __msg, __result) \ + do { \ + if (!__arg) { \ + OPAE_ERR(__msg); \ + return __result; \ + } \ + } while (0) + +/* + * Check if argument is NULL and return FPGA_INVALID_PARAM and a message + */ +#define ASSERT_NOT_NULL_MSG(__arg, __msg) \ + ASSERT_NOT_NULL_MSG_RESULT(__arg, __msg, FPGA_INVALID_PARAM) + +#define ASSERT_NOT_NULL(__arg) ASSERT_NOT_NULL_MSG(__arg, #__arg " is NULL") + +#define ASSERT_NOT_NULL_RESULT(__arg, __result) \ + ASSERT_NOT_NULL_MSG_RESULT(__arg, #__arg " is NULL", __result) + +#define ASSERT_RESULT(__result) \ + do { \ + if ((__result) != FPGA_OK) \ + return __result; \ + } while (0) + + +#define UNUSED_PARAM(x) ((void)x) + + +#define opae_mutex_lock(__res, __mtx_ptr) \ + ({ \ + (__res) = pthread_mutex_lock(__mtx_ptr); \ + if (__res) \ + OPAE_ERR("pthread_mutex_lock failed: %s", \ + strerror(errno)); \ + __res; \ + }) + +#define opae_mutex_unlock(__res, __mtx_ptr) \ + ({ \ + (__res) = pthread_mutex_unlock(__mtx_ptr); \ + if (__res) \ + OPAE_ERR("pthread_mutex_unlock failed: %s", \ + strerror(errno)); \ + __res; \ + }) + + +#ifndef __OPAE_ADAPTER_H__ +typedef struct _opae_api_adapter_table opae_api_adapter_table; +#endif // __OPAE_ADAPTER_H__ + +// k o t w +#define OPAE_WRAPPED_TOKEN_MAGIC 0x6b6f7477 + +typedef struct _opae_wrapped_token { + uint32_t magic; + fpga_token opae_token; + opae_api_adapter_table *adapter_table; +} opae_wrapped_token; + +opae_wrapped_token * +opae_allocate_wrapped_token(fpga_token token, + const opae_api_adapter_table *adapter); + +static inline opae_wrapped_token *opae_validate_wrapped_token(fpga_token t) +{ + opae_wrapped_token *wt; + if (!t) + return NULL; + wt = (opae_wrapped_token *)t; + return (wt->magic == OPAE_WRAPPED_TOKEN_MAGIC) ? wt : NULL; +} + +static inline void opae_destroy_wrapped_token(opae_wrapped_token *wt) +{ + wt->magic = 0; + free(wt); +} + +// n a h w +#define OPAE_WRAPPED_HANDLE_MAGIC 0x6e616877 + +typedef struct _opae_wrapped_handle { + uint32_t magic; + opae_wrapped_token *wrapped_token; + fpga_handle opae_handle; + opae_api_adapter_table *adapter_table; +} opae_wrapped_handle; + +opae_wrapped_handle * +opae_allocate_wrapped_handle(opae_wrapped_token *wt, fpga_handle opae_handle, + opae_api_adapter_table *adapter); + +static inline opae_wrapped_handle *opae_validate_wrapped_handle(fpga_handle h) +{ + opae_wrapped_handle *wh; + if (!h) + return NULL; + wh = (opae_wrapped_handle *)h; + return (wh->magic == OPAE_WRAPPED_HANDLE_MAGIC) ? wh : NULL; +} + +static inline void opae_destroy_wrapped_handle(opae_wrapped_handle *wh) +{ + wh->magic = 0; + free(wh); +} + +// e v e w +#define OPAE_WRAPPED_EVENT_HANDLE_MAGIC 0x65766577 + +#define OPAE_WRAPPED_EVENT_HANDLE_CREATED 0x00000001 + +typedef struct _opae_wrapped_event_handle { + uint32_t magic; + pthread_mutex_t lock; + uint32_t flags; + fpga_event_handle opae_event_handle; + opae_api_adapter_table *adapter_table; +} opae_wrapped_event_handle; + +opae_wrapped_event_handle * +opae_allocate_wrapped_event_handle(fpga_event_handle opae_event_handle, + opae_api_adapter_table *adapter); + +static inline opae_wrapped_event_handle * +opae_validate_wrapped_event_handle(fpga_event_handle h) +{ + opae_wrapped_event_handle *we; + if (!h) + return NULL; + we = (opae_wrapped_event_handle *)h; + return (we->magic == OPAE_WRAPPED_EVENT_HANDLE_MAGIC) ? we : NULL; +} + +static inline void +opae_destroy_wrapped_event_handle(opae_wrapped_event_handle *we) +{ + int err; + opae_mutex_lock(err, &we->lock); + we->magic = 0; + opae_mutex_unlock(err, &we->lock); + if (pthread_mutex_destroy(&we->lock)) + OPAE_ERR("pthread_mutex_destroy() failed"); + free(we); +} + +// j b o w +#define OPAE_WRAPPED_OBJECT_MAGIC 0x6a626f77 + +typedef struct _opae_wrapped_object { + uint32_t magic; + fpga_object opae_object; + opae_api_adapter_table *adapter_table; +} opae_wrapped_object; + +opae_wrapped_object * +opae_allocate_wrapped_object(fpga_object opae_object, + opae_api_adapter_table *adapter); + +static inline opae_wrapped_object *opae_validate_wrapped_object(fpga_object o) +{ + opae_wrapped_object *wo; + if (!o) + return NULL; + wo = (opae_wrapped_object *)o; + return (wo->magic == OPAE_WRAPPED_OBJECT_MAGIC) ? wo : NULL; +} + +static inline void opae_destroy_wrapped_object(opae_wrapped_object *wo) +{ + wo->magic = 0; + free(wo); +} + +#endif // ___OPAE_OPAE_INT_H__ diff --git a/opae-libs/libopae-c/pluginmgr.c b/opae-libs/libopae-c/pluginmgr.c new file mode 100644 index 0000000..c83bf4b --- /dev/null +++ b/opae-libs/libopae-c/pluginmgr.c @@ -0,0 +1,805 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif /* HAVE_CONFIG_H */ +#define _GNU_SOURCE +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#include + +#include "pluginmgr.h" +#include "opae_int.h" + +#define OPAE_PLUGIN_CONFIGURE "opae_plugin_configure" +typedef int (*opae_plugin_configure_t)(opae_api_adapter_table *, const char *); + +typedef struct _platform_data { + uint16_t vendor_id; + uint16_t device_id; + const char *native_plugin; + uint32_t flags; +#define OPAE_PLATFORM_DATA_DETECTED 0x00000001 +#define OPAE_PLATFORM_DATA_LOADED 0x00000002 +} platform_data; + +static platform_data platform_data_table[] = { + { 0x8086, 0xbcbd, "libxfpga.so", 0 }, + { 0x8086, 0xbcc0, "libxfpga.so", 0 }, + { 0x8086, 0xbcc1, "libxfpga.so", 0 }, + { 0x8086, 0x09c4, "libxfpga.so", 0 }, + { 0x8086, 0x09c5, "libxfpga.so", 0 }, + { 0x8086, 0x0b2b, "libxfpga.so", 0 }, + { 0x8086, 0x0b2c, "libxfpga.so", 0 }, + { 0x8086, 0x0b30, "libxfpga.so", 0 }, + { 0x8086, 0x0b31, "libxfpga.so", 0 }, + { 0, 0, NULL, 0 }, +}; + +static int initialized; +static int finalizing; + +STATIC opae_api_adapter_table *adapter_list = (void *)0; +static pthread_mutex_t adapter_list_lock = + PTHREAD_RECURSIVE_MUTEX_INITIALIZER_NP; + +#define MAX_PLUGINS PLUGIN_SUPPORTED_DEVICES_MAX +STATIC plugin_cfg *opae_plugin_mgr_config_list; +STATIC int opae_plugin_mgr_plugin_count; + +#define CFG_PATH_MAX 64 +#define HOME_CFG_PATHS 3 +STATIC const char _opae_home_cfg_files[HOME_CFG_PATHS][CFG_PATH_MAX] = { + { "/.local/opae.cfg" }, + { "/.local/opae/opae.cfg" }, + { "/.config/opae/opae.cfg" }, +}; +#define SYS_CFG_PATHS 2 +STATIC const char _opae_sys_cfg_files[SYS_CFG_PATHS][CFG_PATH_MAX] = { + { "/usr/local/etc/opae/opae.cfg" }, + { "/etc/opae/opae.cfg" }, +}; + + +// Find the canonicalized configuration file. If null, the file was not found. +// Otherwise, it's the first configuration file found from a list of possible +// paths. Note: The char * returned is allocated here, caller must free. +STATIC char *find_cfg(void) +{ + int i = 0; + char *file_name = NULL; + char home_cfg[PATH_MAX] = { 0, }; + char *home_cfg_ptr = &home_cfg[0]; + size_t len; + + // get the user's home directory + struct passwd *user_passwd = getpwuid(getuid()); + + // first look in possible paths in the users home directory + for (i = 0; i < HOME_CFG_PATHS; ++i) { + len = strnlen(user_passwd->pw_dir, + sizeof(home_cfg) - 1); + memcpy(home_cfg, user_passwd->pw_dir, len); + home_cfg[len] = '\0'; + + home_cfg_ptr = home_cfg + strlen(home_cfg); + + len = strnlen(_opae_home_cfg_files[i], CFG_PATH_MAX); + memcpy(home_cfg_ptr, _opae_home_cfg_files[i], len); + home_cfg_ptr[len] = '\0'; + + file_name = canonicalize_file_name(home_cfg); + if (file_name) + return file_name; + + home_cfg[0] = '\0'; + } + + // now look in possible system paths + for (i = 0; i < SYS_CFG_PATHS; ++i) { + len = strnlen(_opae_sys_cfg_files[i], CFG_PATH_MAX); + memcpy(home_cfg, _opae_sys_cfg_files[i], len); + home_cfg[len] = '\0'; + + file_name = canonicalize_file_name(home_cfg); + if (file_name) + return file_name; + } + + return NULL; +} + +STATIC void *opae_plugin_mgr_find_plugin(const char *lib_path) +{ + char plugin_path[PATH_MAX]; + const char *search_paths[] = { OPAE_MODULE_SEARCH_PATHS }; + unsigned i; + void *dl_handle; + + for (i = 0 ; + i < sizeof(search_paths) / sizeof(search_paths[0]) ; ++i) { + + snprintf(plugin_path, sizeof(plugin_path), + "%s%s", search_paths[i], lib_path); + + dl_handle = dlopen(plugin_path, RTLD_LAZY | RTLD_LOCAL); + + if (dl_handle) + return dl_handle; + } + + return NULL; +} + +STATIC opae_api_adapter_table *opae_plugin_mgr_alloc_adapter(const char *lib_path) +{ + void *dl_handle; + opae_api_adapter_table *adapter; + + dl_handle = opae_plugin_mgr_find_plugin(lib_path); + + if (!dl_handle) { + char *err = dlerror(); + OPAE_ERR("failed to load \"%s\" %s", lib_path, err ? err : ""); + return NULL; + } + + adapter = (opae_api_adapter_table *)calloc( + 1, sizeof(opae_api_adapter_table)); + + if (!adapter) { + dlclose(dl_handle); + OPAE_ERR("out of memory"); + return NULL; + } + + adapter->plugin.path = (char *)lib_path; + adapter->plugin.dl_handle = dl_handle; + + return adapter; +} + +STATIC int opae_plugin_mgr_free_adapter(opae_api_adapter_table *adapter) +{ + int res; + char *err; + + res = dlclose(adapter->plugin.dl_handle); + + if (res) { + err = dlerror(); + OPAE_ERR("dlclose failed with %d %s", res, err ? err : ""); + } + + free(adapter); + + return res; +} + +STATIC int opae_plugin_mgr_configure_plugin(opae_api_adapter_table *adapter, + const char *config) +{ + opae_plugin_configure_t cfg; + + cfg = (opae_plugin_configure_t)dlsym(adapter->plugin.dl_handle, + OPAE_PLUGIN_CONFIGURE); + + if (!cfg) { + OPAE_ERR("failed to find %s in \"%s\"", OPAE_PLUGIN_CONFIGURE, + adapter->plugin.path); + return 1; + } + + return cfg(adapter, config); +} + +STATIC void opae_plugin_mgr_reset_cfg(void) +{ + plugin_cfg *ptr = opae_plugin_mgr_config_list; + plugin_cfg *tmp = NULL; + while (ptr) { + tmp = ptr; + ptr = ptr->next; + free(tmp->cfg); + free(tmp); + } + opae_plugin_mgr_config_list = NULL; + opae_plugin_mgr_plugin_count = 0; +} + +STATIC void opae_plugin_mgr_add_plugin(plugin_cfg *cfg) +{ + plugin_cfg *ptr = opae_plugin_mgr_config_list; + cfg->next = NULL; + if (!ptr) { + opae_plugin_mgr_config_list = cfg; + } else { + while (ptr->next) { + ptr = ptr->next; + } + ptr->next = cfg; + } + opae_plugin_mgr_plugin_count++; +} + +STATIC int opae_plugin_mgr_initialize_all(void) +{ + int res; + opae_api_adapter_table *aptr; + int errors = 0; + + for (aptr = adapter_list; aptr; aptr = aptr->next) { + + if (aptr->initialize) { + res = aptr->initialize(); + if (res) { + OPAE_MSG("\"%s\" initialize() routine failed", + aptr->plugin.path); + ++errors; + } + } + } + + return errors; +} + +int opae_plugin_mgr_finalize_all(void) +{ + int res; + opae_api_adapter_table *aptr; + int errors = 0; + int i = 0; + + opae_mutex_lock(res, &adapter_list_lock); + + if (finalizing) + return 0; + + finalizing = 1; + + for (aptr = adapter_list; aptr;) { + opae_api_adapter_table *trash; + + if (aptr->finalize) { + res = aptr->finalize(); + if (res) { + OPAE_MSG("\"%s\" finalize() routine failed", + aptr->plugin.path); + ++errors; + } + } + + trash = aptr; + aptr = aptr->next; + + if (opae_plugin_mgr_free_adapter(trash)) + ++errors; + } + + adapter_list = NULL; + + // reset platforms detected to 0 + for (i = 0 ; platform_data_table[i].native_plugin ; ++i) { + platform_data_table[i].flags = 0; + } + + opae_plugin_mgr_reset_cfg(); + initialized = 0; + finalizing = 0; + opae_mutex_unlock(res, &adapter_list_lock); + + return errors; +} + +#define JSON_GET(_jobj, _key, _jvar) \ + do { \ + if (!json_object_object_get_ex(_jobj, _key, _jvar)) { \ + OPAE_ERR("Error getting object: %s", _key); \ + return 1; \ + } \ + } while (0) + +#define MAX_PLUGIN_CFG_SIZE 8192 +STATIC int process_plugin(const char *name, json_object *j_config) +{ + json_object *j_plugin = NULL; + json_object *j_plugin_cfg = NULL; + json_object *j_enabled = NULL; + const char *stringified = NULL; + size_t len; + + JSON_GET(j_config, "plugin", &j_plugin); + JSON_GET(j_config, "configuration", &j_plugin_cfg); + JSON_GET(j_config, "enabled", &j_enabled); + if (json_object_get_string_len(j_plugin) > PLUGIN_NAME_MAX) { + OPAE_ERR("plugin name too long"); + return 1; + } + + plugin_cfg *cfg = malloc(sizeof(plugin_cfg)); + if (!cfg) { + OPAE_ERR("Could not allocate memory for plugin cfg"); + return 1; + } + + stringified = json_object_to_json_string_ext(j_plugin_cfg, JSON_C_TO_STRING_PLAIN); + if (!stringified) { + OPAE_ERR("error getting plugin configuration"); + free(cfg); + return 1; + } + + cfg->cfg_size = strlen(stringified) + 1; + + if (cfg->cfg_size >= MAX_PLUGIN_CFG_SIZE) { + OPAE_ERR("plugin config too large"); + free(cfg); + return 1; + } + + cfg->cfg = malloc(cfg->cfg_size); + if (!cfg->cfg) { + OPAE_ERR("error allocating memory for plugin configuration"); + cfg->cfg_size = 0; + free(cfg); + return 1; + } + + len = strnlen(stringified, cfg->cfg_size - 1); + memcpy(cfg->cfg, stringified, len); + cfg->cfg[len] = '\0'; + + len = strnlen(name, PLUGIN_NAME_MAX - 1); + memcpy(cfg->name, name, len); + cfg->name[len] = '\0'; + + len = strnlen(json_object_get_string(j_plugin), PLUGIN_NAME_MAX - 1); + memcpy(cfg->plugin, json_object_get_string(j_plugin), len); + cfg->plugin[len] = '\0'; + + cfg->enabled = json_object_get_boolean(j_enabled); + + opae_plugin_mgr_add_plugin(cfg); + + return 0; +} + +STATIC int process_cfg_buffer(const char *buffer, const char *filename) +{ + int num_plugins = 0; + int num_errors = 0; + int i = 0; + int res = 1; + json_object *root = NULL; + json_object *j_plugins = NULL; + json_object *j_configs = NULL; + json_object *j_plugin = NULL; + json_object *j_config = NULL; + const char *plugin_name = NULL; + enum json_tokener_error j_err = json_tokener_success; + + root = json_tokener_parse_verbose(buffer, &j_err); + if (!root) { + OPAE_ERR("Error parsing config file: '%s' - %s", filename, + json_tokener_error_desc(j_err)); + goto out_free; + } + + if (!json_object_object_get_ex(root, "plugins", &j_plugins)) { + OPAE_ERR("Error parsing config file: '%s' - missing 'plugins'", filename); + goto out_free; + } + if (!json_object_object_get_ex(root, "configurations", &j_configs)) { + OPAE_ERR("Error parsing config file: '%s' - missing 'configs'", filename); + goto out_free; + } + + if (!json_object_is_type(j_plugins, json_type_array)) { + OPAE_ERR("'plugins' JSON object not array type"); + goto out_free; + } + + num_plugins = json_object_array_length(j_plugins); + num_errors = 0; + for (i = 0; i < num_plugins; ++i) { + j_plugin = json_object_array_get_idx(j_plugins, i); + plugin_name = json_object_get_string(j_plugin); + + if (json_object_object_get_ex(j_configs, plugin_name, &j_config)) { + num_errors += process_plugin(plugin_name, j_config); + } else { + OPAE_ERR("Could not find plugin configuration for '%s'", plugin_name); + num_errors += 1; + } + } + res = num_errors; + +out_free: + if (root) + json_object_put(root); + return res; +} + +#define MAX_CFG_SIZE 4096 +STATIC int opae_plugin_mgr_parse_config(const char *filename) +{ + char buffer[MAX_CFG_SIZE] = { 0 }; + char *ptr = &buffer[0]; + size_t bytes_read = 0, total_read = 0; + FILE *fp = NULL; + if (filename) { + fp = fopen(filename, "r"); + } else { + OPAE_MSG("config file is NULL"); + return 1; + } + + if (!fp) { + OPAE_ERR("Error opening config file: %s", filename); + return 1; + } + + while ((bytes_read = fread(ptr + total_read, 1, 1, fp)) + && total_read < MAX_CFG_SIZE) { + total_read += bytes_read; + } + + if (ferror(fp)) { + OPAE_ERR("Error reading config file: %s - %s", filename, strerror(errno)); + goto out_err; + } + if (!feof(fp)) { + OPAE_ERR("Unknown error reading config file: %s", filename); + goto out_err; + } + fclose(fp); + fp = NULL; + + return process_cfg_buffer(buffer, filename); +out_err: + fclose(fp); + fp = NULL; + return 1; +} + +STATIC int opae_plugin_mgr_register_adapter(opae_api_adapter_table *adapter) +{ + opae_api_adapter_table *aptr; + + adapter->next = NULL; + + if (!adapter_list) { + adapter_list = adapter; + return 0; + } + + // new entries go to the end of the list. + for (aptr = adapter_list; aptr->next; aptr = aptr->next) + /* find the last entry */; + + aptr->next = adapter; + + return 0; +} + +STATIC void opae_plugin_mgr_detect_platform(uint16_t vendor, uint16_t device) +{ + int i; + + for (i = 0 ; platform_data_table[i].native_plugin ; ++i) { + + if (platform_data_table[i].vendor_id == vendor && + platform_data_table[i].device_id == device) { + OPAE_DBG("platform detected: vid=0x%04x did=0x%04x -> %s", + vendor, device, + platform_data_table[i].native_plugin); + + platform_data_table[i].flags |= OPAE_PLATFORM_DATA_DETECTED; + } + + } +} + +STATIC int opae_plugin_mgr_detect_platforms(void) +{ + DIR *dir; + char base_dir[PATH_MAX]; + char file_path[PATH_MAX]; + struct dirent *dirent; + int errors = 0; + + // Iterate over the directories in /sys/bus/pci/devices. + // This directory contains symbolic links to device directories + // where 'vendor' and 'device' files exist. + + memcpy(base_dir, "/sys/bus/pci/devices", 21); + + dir = opendir(base_dir); + if (!dir) { + OPAE_ERR("Failed to open %s. Aborting platform detection.", base_dir); + return 1; + } + + while ((dirent = readdir(dir)) != NULL) { + FILE *fp; + unsigned vendor = 0; + unsigned device = 0; + + if (!strcmp(dirent->d_name, ".") || + !strcmp(dirent->d_name, "..")) + continue; + + // Read the 'vendor' file. + if (snprintf(file_path, sizeof(file_path), + "%s/%s/vendor", + base_dir, + dirent->d_name) < 0) { + OPAE_ERR("snprintf buffer overflow"); + ++errors; + goto out_close; + } + + fp = fopen(file_path, "r"); + if (!fp) { + OPAE_ERR("Failed to open %s. Aborting platform detection.", file_path); + ++errors; + goto out_close; + } + + if (EOF == fscanf(fp, "%x", &vendor)) { + OPAE_ERR("Failed to read %s. Aborting platform detection.", file_path); + fclose(fp); + ++errors; + goto out_close; + } + + fclose(fp); + + // Read the 'device' file. + if (snprintf(file_path, sizeof(file_path), + "%s/%s/device", + base_dir, + dirent->d_name) < 0) { + OPAE_ERR("snprintf buffer overflow"); + ++errors; + goto out_close; + } + + fp = fopen(file_path, "r"); + if (!fp) { + OPAE_ERR("Failed to open %s. Aborting platform detection.", file_path); + ++errors; + goto out_close; + } + + if (EOF == fscanf(fp, "%x", &device)) { + OPAE_ERR("Failed to read %s. Aborting platform detection.", file_path); + fclose(fp); + ++errors; + goto out_close; + } + + fclose(fp); + + // Detect platform for this (vendor, device). + opae_plugin_mgr_detect_platform((uint16_t) vendor, (uint16_t) device); + } + +out_close: + closedir(dir); + return errors; +} + +STATIC int opae_plugin_mgr_load_cfg_plugin(plugin_cfg *cfg) +{ + int res = 0; + opae_api_adapter_table *adapter = NULL; + + if (cfg->enabled && cfg->cfg && cfg->cfg_size) { + adapter = opae_plugin_mgr_alloc_adapter(cfg->plugin); + if (!adapter) { + OPAE_ERR("malloc failed"); + return 1; + } + res = opae_plugin_mgr_configure_plugin(adapter, cfg->cfg); + if (res) { + opae_plugin_mgr_free_adapter(adapter); + OPAE_ERR("failed to configure plugin \"%s\"", + cfg->name); + return 1; + } + + res = opae_plugin_mgr_register_adapter(adapter); + if (res) { + opae_plugin_mgr_free_adapter(adapter); + OPAE_ERR("Failed to register \"%s\"", cfg->name); + return 1; + } + + } + + return 0; +} + +STATIC int opae_plugin_mgr_load_cfg_plugins(void) +{ + plugin_cfg *ptr = opae_plugin_mgr_config_list; + int errors = 0; + while (ptr) { + errors += opae_plugin_mgr_load_cfg_plugin(ptr); + ptr = ptr->next; + } + return errors; +} + +STATIC int opae_plugin_mgr_load_dflt_plugins(int *platforms_detected) +{ + int i = 0, j = 0; + int res = 0; + opae_api_adapter_table *adapter = NULL; + int errors = opae_plugin_mgr_detect_platforms(); + if (errors) + return errors; + // Load each of the native plugins that were detected. + *platforms_detected = 0; + + for (i = 0 ; platform_data_table[i].native_plugin ; ++i) { + const char *native_plugin; + int already_loaded; + + if (!(platform_data_table[i].flags & OPAE_PLATFORM_DATA_DETECTED)) + continue; // This platform was not detected. + + native_plugin = platform_data_table[i].native_plugin; + (*platforms_detected)++; + + // Iterate over the table again to prevent multiple loads + // of the same native plugin. + already_loaded = 0; + for (j = 0 ; platform_data_table[j].native_plugin ; ++j) { + + if (!strcmp(native_plugin, platform_data_table[j].native_plugin) && + (platform_data_table[j].flags & OPAE_PLATFORM_DATA_LOADED)) { + already_loaded = 1; + break; + } + + } + + if (already_loaded) + continue; + + adapter = opae_plugin_mgr_alloc_adapter(native_plugin); + + if (!adapter) { + OPAE_ERR("malloc failed"); + return ++errors; + } + + // TODO: pass serialized json for native plugin + res = opae_plugin_mgr_configure_plugin(adapter, ""); + if (res) { + opae_plugin_mgr_free_adapter(adapter); + OPAE_ERR("failed to configure plugin \"%s\"", + native_plugin); + ++errors; + continue; // Keep going. + } + + res = opae_plugin_mgr_register_adapter(adapter); + if (res) { + opae_plugin_mgr_free_adapter(adapter); + OPAE_ERR("Failed to register \"%s\"", native_plugin); + ++errors; + continue; // Keep going. + } + + platform_data_table[i].flags |= OPAE_PLATFORM_DATA_LOADED; + } + return errors; +} + +int opae_plugin_mgr_initialize(const char *cfg_file) +{ + int res; + int errors = 0; + int platforms_detected = 0; + opae_plugin_mgr_plugin_count = 0; + char *found_cfg = NULL; + const char *use_cfg = NULL; + + opae_mutex_lock(res, &adapter_list_lock); + + if (initialized) { // prevent multiple init. + opae_mutex_unlock(res, &adapter_list_lock); + return 0; + } + found_cfg = find_cfg(); + use_cfg = cfg_file ? cfg_file : found_cfg; + if (use_cfg) { + opae_plugin_mgr_parse_config(use_cfg); + if (found_cfg) { + free(found_cfg); + } + } + + if (opae_plugin_mgr_plugin_count) { + errors = opae_plugin_mgr_load_cfg_plugins(); + } else { + // fail-safe, try to detect plugins based on supported devices + errors = opae_plugin_mgr_load_dflt_plugins(&platforms_detected); + } + + if (errors) + goto out_unlock; + + // Call each plugin's initialization routine. + errors += opae_plugin_mgr_initialize_all(); + + if (!errors && (opae_plugin_mgr_plugin_count || platforms_detected)) + initialized = 1; + +out_unlock: + opae_mutex_unlock(res, &adapter_list_lock); + + return errors; +} + +int opae_plugin_mgr_for_each_adapter + (int (*callback)(const opae_api_adapter_table *, void *), void *context) +{ + int res; + int cb_res = OPAE_ENUM_CONTINUE; + opae_api_adapter_table *aptr; + + if (!callback) { + OPAE_ERR("NULL callback passed to %s()", __func__); + return OPAE_ENUM_STOP; + } + + opae_mutex_lock(res, &adapter_list_lock); + + for (aptr = adapter_list; aptr; aptr = aptr->next) { + cb_res = callback(aptr, context); + if (cb_res) + break; + } + + opae_mutex_unlock(res, &adapter_list_lock); + + return cb_res; +} diff --git a/opae-libs/libopae-c/pluginmgr.h b/opae-libs/libopae-c/pluginmgr.h new file mode 100644 index 0000000..bfbafcd --- /dev/null +++ b/opae-libs/libopae-c/pluginmgr.h @@ -0,0 +1,56 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifndef __OPAE_PLUGINMGR_H__ +#define __OPAE_PLUGINMGR_H__ + +#include "adapter.h" + +// non-zero on failure. +int opae_plugin_mgr_initialize(const char *cfg_file); + +// non-zero on failure. +int opae_plugin_mgr_finalize_all(void); + +// iteration stops if callback returns non-zero. +#define OPAE_ENUM_STOP 1 +#define OPAE_ENUM_CONTINUE 0 +int opae_plugin_mgr_for_each_adapter( + int (*callback)(const opae_api_adapter_table *, void *), void *context); + +#define PLUGIN_SUPPORTED_DEVICES_MAX 256 +#define PLUGIN_NAME_MAX 64 +typedef struct _plugin_cfg { + char name[PLUGIN_NAME_MAX]; + char plugin[PLUGIN_NAME_MAX]; + bool enabled; + char *cfg; + size_t cfg_size; + uint32_t supported_devices[PLUGIN_SUPPORTED_DEVICES_MAX]; + struct _plugin_cfg *next; +} plugin_cfg; + +#endif /* __OPAE_PLUGINMGR_H__ */ diff --git a/opae-libs/libopae-c/props.c b/opae-libs/libopae-c/props.c new file mode 100644 index 0000000..d9da201 --- /dev/null +++ b/opae-libs/libopae-c/props.c @@ -0,0 +1,1094 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif /* HAVE_CONFIG_H */ + +#ifndef _GNU_SOURCE +#define _GNU_SOURCE +#endif // _GNU_SOURCE + +#include +#include +#include + +#include + +#include "props.h" + +struct _fpga_properties *opae_properties_create(void) +{ + struct _fpga_properties *props; + pthread_mutexattr_t mattr; + int err; + + props = (struct _fpga_properties *)calloc( + 1, sizeof(struct _fpga_properties)); + + if (!props) + return NULL; + + props->magic = FPGA_PROPERTY_MAGIC; + + if (pthread_mutexattr_init(&mattr)) { + OPAE_ERR("pthread_mutexattr_init() failed"); + goto out_free; + } + + if (pthread_mutexattr_settype(&mattr, PTHREAD_MUTEX_RECURSIVE)) { + OPAE_ERR("pthread_mutexattr_settype() failed"); + goto out_destroy_attr; + } + + if (pthread_mutex_init(&props->lock, &mattr)) { + OPAE_ERR("pthread_mutex_init() failed"); + goto out_destroy_attr; + } + + pthread_mutexattr_destroy(&mattr); + + return props; + +out_destroy_attr: + err = pthread_mutexattr_destroy(&mattr); + if (err) + OPAE_ERR("pthread_mutexattr_destroy() failed: %s", + strerror(err)); +out_free: + free(props); + return NULL; +} + +fpga_result __OPAE_API__ fpgaDestroyProperties(fpga_properties *prop) +{ + struct _fpga_properties *p; + int err; + + ASSERT_NOT_NULL(prop); + + p = opae_validate_and_lock_properties(*prop); + + ASSERT_NOT_NULL(p); + + if (FIELD_VALID(p, FPGA_PROPERTY_PARENT) && + (p->flags & OPAE_PROPERTIES_FLAG_PARENT_ALLOC)) { + // The parent token has a wrapper that we allocated. + // Free it. + opae_wrapped_token *wrapped_token = + opae_validate_wrapped_token(p->parent); + if (wrapped_token) + opae_destroy_wrapped_token(wrapped_token); + } + + p->magic = 0; + + opae_mutex_unlock(err, &p->lock); + + err = pthread_mutex_destroy(&p->lock); + if (err) + OPAE_ERR("pthread_mutex_destroy() failed: %s", strerror(err)); + + free(p); + *prop = NULL; + + return FPGA_OK; +} + +fpga_result __OPAE_API__ fpgaCloneProperties(fpga_properties src, + fpga_properties *dst) +{ + int err; + struct _fpga_properties *clone; + pthread_mutex_t save_lock; + struct _fpga_properties *p; + + ASSERT_NOT_NULL(dst); + + p = opae_validate_and_lock_properties(src); + + ASSERT_NOT_NULL(p); + + clone = opae_properties_create(); + if (!clone) { + opae_mutex_unlock(err, &p->lock); + return FPGA_EXCEPTION; + } + + save_lock = clone->lock; + + *clone = *p; + clone->lock = save_lock; + + *dst = clone; + + opae_mutex_unlock(err, &p->lock); + + return FPGA_OK; +} + +fpga_result __OPAE_API__ fpgaClearProperties(fpga_properties props) +{ + int err; + struct _fpga_properties *p = opae_validate_and_lock_properties(props); + + ASSERT_NOT_NULL(p); + + p->valid_fields = 0; + + opae_mutex_unlock(err, &p->lock); + + return FPGA_OK; +} + +fpga_result __OPAE_API__ fpgaPropertiesGetParent(const fpga_properties prop, + fpga_token *parent) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p; + + ASSERT_NOT_NULL(parent); + + p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + if (FIELD_VALID(p, FPGA_PROPERTY_PARENT)) { + res = fpgaCloneToken(p->parent, parent); + if (res != FPGA_OK) + OPAE_ERR("cloning token from property"); + } else { + OPAE_MSG("No parent"); + res = FPGA_NOT_FOUND; + } + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaPropertiesSetParent(fpga_properties prop, + fpga_token parent) +{ + int err; + struct _fpga_properties *p; + + ASSERT_NOT_NULL(parent); + + p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + if (FIELD_VALID(p, FPGA_PROPERTY_PARENT) && + (p->flags & OPAE_PROPERTIES_FLAG_PARENT_ALLOC)) { + // We have a wrapped parent token that we allocated. + // Free it. + opae_wrapped_token *wrapped_token = + opae_validate_wrapped_token(p->parent); + if (wrapped_token) + opae_destroy_wrapped_token(wrapped_token); + } + + // When explicitly setting a parent token, + // the caller assumes responsibility for freeing + // that token. + p->flags &= ~OPAE_PROPERTIES_FLAG_PARENT_ALLOC; + + p->parent = parent; + SET_FIELD_VALID(p, FPGA_PROPERTY_PARENT); + + opae_mutex_unlock(err, &p->lock); + + return FPGA_OK; +} + +fpga_result __OPAE_API__ fpgaPropertiesGetObjectType( + const fpga_properties prop, fpga_objtype *objtype) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p; + + ASSERT_NOT_NULL(objtype); + + p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + if (FIELD_VALID(p, FPGA_PROPERTY_OBJTYPE)) { + *objtype = p->objtype; + } else { + OPAE_MSG("No object type"); + res = FPGA_NOT_FOUND; + } + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaPropertiesSetObjectType(fpga_properties prop, + fpga_objtype objtype) +{ + int err; + struct _fpga_properties *p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + p->objtype = objtype; + SET_FIELD_VALID(p, FPGA_PROPERTY_OBJTYPE); + + opae_mutex_unlock(err, &p->lock); + + return FPGA_OK; +} + +fpga_result __OPAE_API__ fpgaPropertiesGetSegment(const fpga_properties prop, + uint16_t *segment) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p; + + ASSERT_NOT_NULL(segment); + + p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + if (FIELD_VALID(p, FPGA_PROPERTY_SEGMENT)) { + *segment = p->segment; + } else { + OPAE_MSG("No segment"); + res = FPGA_NOT_FOUND; + } + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaPropertiesSetSegment(fpga_properties prop, + uint16_t segment) +{ + int err; + struct _fpga_properties *p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + SET_FIELD_VALID(p, FPGA_PROPERTY_SEGMENT); + p->segment = segment; + + opae_mutex_unlock(err, &p->lock); + + return FPGA_OK; +} + +fpga_result __OPAE_API__ fpgaPropertiesGetBus(const fpga_properties prop, + uint8_t *bus) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p; + + ASSERT_NOT_NULL(bus); + + p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + if (FIELD_VALID(p, FPGA_PROPERTY_BUS)) { + *bus = p->bus; + } else { + OPAE_MSG("No bus"); + res = FPGA_NOT_FOUND; + } + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaPropertiesSetBus(fpga_properties prop, + uint8_t bus) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + SET_FIELD_VALID(p, FPGA_PROPERTY_BUS); + p->bus = bus; + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaPropertiesGetDevice(const fpga_properties prop, + uint8_t *device) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p; + + ASSERT_NOT_NULL(device); + + p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + if (FIELD_VALID(p, FPGA_PROPERTY_DEVICE)) { + *device = p->device; + } else { + OPAE_MSG("No device"); + res = FPGA_NOT_FOUND; + } + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaPropertiesSetDevice(fpga_properties prop, + uint8_t device) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + SET_FIELD_VALID(p, FPGA_PROPERTY_DEVICE); + p->device = device; + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaPropertiesGetFunction(const fpga_properties prop, + uint8_t *function) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p; + + ASSERT_NOT_NULL(function); + + p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + if (FIELD_VALID(p, FPGA_PROPERTY_FUNCTION)) { + *function = p->function; + } else { + OPAE_MSG("No function"); + res = FPGA_NOT_FOUND; + } + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaPropertiesSetFunction(fpga_properties prop, + uint8_t function) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p; + + // PCIe supports 8 functions per device. + if (function > 7) { + OPAE_MSG("Invalid function number"); + return FPGA_INVALID_PARAM; + } + + p = opae_validate_and_lock_properties(prop); + ASSERT_NOT_NULL(p); + + SET_FIELD_VALID(p, FPGA_PROPERTY_FUNCTION); + p->function = function; + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaPropertiesGetSocketID(const fpga_properties prop, + uint8_t *socket_id) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p; + + ASSERT_NOT_NULL(socket_id); + + p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + if (FIELD_VALID(p, FPGA_PROPERTY_SOCKETID)) { + *socket_id = p->socket_id; + } else { + OPAE_MSG("No socket ID"); + res = FPGA_NOT_FOUND; + } + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaPropertiesSetSocketID(fpga_properties prop, + uint8_t socket_id) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + SET_FIELD_VALID(p, FPGA_PROPERTY_SOCKETID); + p->socket_id = socket_id; + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaPropertiesGetDeviceID(const fpga_properties prop, + uint16_t *device_id) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p; + + ASSERT_NOT_NULL(device_id); + + p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + if (FIELD_VALID(p, FPGA_PROPERTY_DEVICEID)) { + *device_id = p->device_id; + } else { + OPAE_MSG("No device ID"); + res = FPGA_NOT_FOUND; + } + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaPropertiesSetDeviceID(fpga_properties prop, + uint16_t device_id) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + SET_FIELD_VALID(p, FPGA_PROPERTY_DEVICEID); + p->device_id = device_id; + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaPropertiesGetNumSlots(const fpga_properties prop, + uint32_t *num_slots) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p; + + ASSERT_NOT_NULL(num_slots); + + p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + if (FIELD_VALID(p, FPGA_PROPERTY_OBJTYPE) + && FPGA_DEVICE == p->objtype) { + if (FIELD_VALID(p, FPGA_PROPERTY_NUM_SLOTS)) { + *num_slots = p->u.fpga.num_slots; + } else { + OPAE_MSG("No number of slots"); + res = FPGA_NOT_FOUND; + } + } else { + OPAE_ERR( + "Attempting to get num_slots from invalid object type: %d", + p->objtype); + res = FPGA_INVALID_PARAM; + } + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaPropertiesSetNumSlots(fpga_properties prop, + uint32_t num_slots) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + if (FIELD_VALID(p, FPGA_PROPERTY_OBJTYPE) + && FPGA_DEVICE == p->objtype) { + SET_FIELD_VALID(p, FPGA_PROPERTY_NUM_SLOTS); + p->u.fpga.num_slots = num_slots; + } else { + OPAE_ERR( + "Attempting to set num slots on invalid object type: %d", + p->objtype); + res = FPGA_INVALID_PARAM; + } + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaPropertiesGetBBSID(const fpga_properties prop, + uint64_t *bbs_id) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p; + + ASSERT_NOT_NULL(bbs_id); + + p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + if (FIELD_VALID(p, FPGA_PROPERTY_OBJTYPE) + && FPGA_DEVICE == p->objtype) { + if (FIELD_VALID(p, FPGA_PROPERTY_BBSID)) { + *bbs_id = p->u.fpga.bbs_id; + } else { + OPAE_MSG("No BBS ID"); + res = FPGA_NOT_FOUND; + } + } else { + OPAE_ERR( + "Attempting to get BBS ID from invalid object type: %d", + p->objtype); + res = FPGA_INVALID_PARAM; + } + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaPropertiesSetBBSID(fpga_properties prop, + uint64_t bbs_id) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + if (FIELD_VALID(p, FPGA_PROPERTY_OBJTYPE) + && FPGA_DEVICE == p->objtype) { + SET_FIELD_VALID(p, FPGA_PROPERTY_BBSID); + p->u.fpga.bbs_id = bbs_id; + } else { + OPAE_ERR("Attempting to set BBS ID on invalid object type: %d", + p->objtype); + res = FPGA_INVALID_PARAM; + } + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaPropertiesGetBBSVersion(const fpga_properties prop, + fpga_version *bbs_version) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p; + + ASSERT_NOT_NULL(bbs_version); + + p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + if (FIELD_VALID(p, FPGA_PROPERTY_OBJTYPE) + && FPGA_DEVICE == p->objtype) { + if (FIELD_VALID(p, FPGA_PROPERTY_BBSVERSION)) { + *bbs_version = p->u.fpga.bbs_version; + } else { + OPAE_MSG("No BBS version"); + res = FPGA_NOT_FOUND; + } + } else { + OPAE_ERR( + "Attempting to get BBS version from invalid object type: %d", + p->objtype); + res = FPGA_INVALID_PARAM; + } + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaPropertiesSetBBSVersion(fpga_properties prop, + fpga_version bbs_version) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + if (FIELD_VALID(p, FPGA_PROPERTY_OBJTYPE) + && FPGA_DEVICE == p->objtype) { + SET_FIELD_VALID(p, FPGA_PROPERTY_BBSVERSION); + p->u.fpga.bbs_version = bbs_version; + } else { + OPAE_ERR( + "Attempting to set BBS version on invalid object type: %d", + p->objtype); + res = FPGA_INVALID_PARAM; + } + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaPropertiesGetVendorID(const fpga_properties prop, + uint16_t *vendor_id) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p; + + ASSERT_NOT_NULL(vendor_id); + + p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + if (FIELD_VALID(p, FPGA_PROPERTY_VENDORID)) { + *vendor_id = p->vendor_id; + } else { + OPAE_MSG("No vendor ID"); + res = FPGA_NOT_FOUND; + } + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaPropertiesSetVendorID(fpga_properties prop, + uint16_t vendor_id) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + SET_FIELD_VALID(p, FPGA_PROPERTY_VENDORID); + p->vendor_id = vendor_id; + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaPropertiesGetModel(const fpga_properties prop, + char *model) +{ + UNUSED_PARAM(prop); + UNUSED_PARAM(model); + OPAE_MSG("Model not supported"); + return FPGA_NOT_SUPPORTED; +} + +fpga_result __OPAE_API__ fpgaPropertiesSetModel(fpga_properties prop, + char *model) +{ + UNUSED_PARAM(prop); + UNUSED_PARAM(model); + OPAE_MSG("Model not supported"); + return FPGA_NOT_SUPPORTED; +} + +fpga_result __OPAE_API__ fpgaPropertiesGetLocalMemorySize( + const fpga_properties prop, uint64_t *local_memory_size) +{ + UNUSED_PARAM(prop); + UNUSED_PARAM(local_memory_size); + OPAE_MSG("Local memory not supported"); + return FPGA_NOT_SUPPORTED; +} + +fpga_result __OPAE_API__ fpgaPropertiesSetLocalMemorySize(fpga_properties prop, + uint64_t local_memory_size) +{ + UNUSED_PARAM(prop); + UNUSED_PARAM(local_memory_size); + OPAE_MSG("Local memory not supported"); + return FPGA_NOT_SUPPORTED; +} + +fpga_result __OPAE_API__ fpgaPropertiesGetCapabilities( + const fpga_properties prop, uint64_t *capabilities) +{ + UNUSED_PARAM(prop); + UNUSED_PARAM(capabilities); + OPAE_MSG("Capabilities not supported"); + return FPGA_NOT_SUPPORTED; +} + +fpga_result __OPAE_API__ fpgaPropertiesSetCapabilities(fpga_properties prop, + uint64_t capabilities) +{ + UNUSED_PARAM(prop); + UNUSED_PARAM(capabilities); + OPAE_MSG("Capabilities not supported"); + return FPGA_NOT_SUPPORTED; +} + +fpga_result __OPAE_API__ fpgaPropertiesGetGUID(const fpga_properties prop, + fpga_guid *guid) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p; + + ASSERT_NOT_NULL(guid); + + p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + if (FIELD_VALID(p, FPGA_PROPERTY_GUID)) { + memcpy(*guid, p->guid, sizeof(fpga_guid)); + } else { + OPAE_MSG("No GUID"); + res = FPGA_NOT_FOUND; + } + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaPropertiesSetGUID(fpga_properties prop, + fpga_guid guid) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + SET_FIELD_VALID(p, FPGA_PROPERTY_GUID); + + memcpy(p->guid, guid, sizeof(fpga_guid)); + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaPropertiesGetNumMMIO(const fpga_properties prop, + uint32_t *mmio_spaces) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p; + + ASSERT_NOT_NULL(mmio_spaces); + + p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + if (FIELD_VALID(p, FPGA_PROPERTY_OBJTYPE) + && FPGA_ACCELERATOR == p->objtype) { + if (FIELD_VALID(p, FPGA_PROPERTY_NUM_MMIO)) { + *mmio_spaces = p->u.accelerator.num_mmio; + } else { + OPAE_MSG("No MMIO spaces"); + res = FPGA_NOT_FOUND; + } + } else { + OPAE_ERR( + "Attempting to get number of MMIO spaces from invalid object type: %d", + p->objtype); + res = FPGA_INVALID_PARAM; + } + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaPropertiesSetNumMMIO(fpga_properties prop, + uint32_t mmio_spaces) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + if (FIELD_VALID(p, FPGA_PROPERTY_OBJTYPE) + && FPGA_ACCELERATOR == p->objtype) { + SET_FIELD_VALID(p, FPGA_PROPERTY_NUM_MMIO); + p->u.accelerator.num_mmio = mmio_spaces; + } else { + OPAE_ERR( + "Attempting to set number of MMIO spaces on invalid object type: %d", + p->objtype); + res = FPGA_INVALID_PARAM; + } + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaPropertiesGetNumInterrupts( + const fpga_properties prop, uint32_t *num_interrupts) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p; + + ASSERT_NOT_NULL(num_interrupts); + + p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + if (FIELD_VALID(p, FPGA_PROPERTY_OBJTYPE) + && FPGA_ACCELERATOR == p->objtype) { + if (FIELD_VALID(p, FPGA_PROPERTY_NUM_INTERRUPTS)) { + *num_interrupts = p->u.accelerator.num_interrupts; + } else { + OPAE_MSG("No interrupts"); + res = FPGA_NOT_FOUND; + } + } else { + OPAE_ERR( + "Attempting to get number of interrupts from invalid object type: %d", + p->objtype); + res = FPGA_INVALID_PARAM; + } + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaPropertiesSetNumInterrupts(fpga_properties prop, + uint32_t num_interrupts) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + if (FIELD_VALID(p, FPGA_PROPERTY_OBJTYPE) + && FPGA_ACCELERATOR == p->objtype) { + SET_FIELD_VALID(p, FPGA_PROPERTY_NUM_INTERRUPTS); + p->u.accelerator.num_interrupts = num_interrupts; + } else { + OPAE_ERR( + "Attempting to set number of interrupts on invalid object type: %d", + p->objtype); + res = FPGA_INVALID_PARAM; + } + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaPropertiesGetAcceleratorState( + const fpga_properties prop, fpga_accelerator_state *state) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p; + + ASSERT_NOT_NULL(state); + + p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + if (FIELD_VALID(p, FPGA_PROPERTY_OBJTYPE) + && FPGA_ACCELERATOR == p->objtype) { + if (FIELD_VALID(p, FPGA_PROPERTY_ACCELERATOR_STATE)) { + *state = p->u.accelerator.state; + } else { + OPAE_MSG("No accelerator state"); + res = FPGA_NOT_FOUND; + } + } else { + OPAE_ERR("Attempting to get state from invalid object type: %d", + p->objtype); + res = FPGA_INVALID_PARAM; + } + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaPropertiesSetAcceleratorState( + fpga_properties prop, fpga_accelerator_state state) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + if (FIELD_VALID(p, FPGA_PROPERTY_OBJTYPE) + && FPGA_ACCELERATOR == p->objtype) { + SET_FIELD_VALID(p, FPGA_PROPERTY_ACCELERATOR_STATE); + p->u.accelerator.state = state; + } else { + OPAE_ERR("Attempting to set state from invalid object type: %d", + p->objtype); + res = FPGA_INVALID_PARAM; + } + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaPropertiesGetObjectID(const fpga_properties prop, + uint64_t *object_id) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p; + + ASSERT_NOT_NULL(object_id); + + p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + if (FIELD_VALID(p, FPGA_PROPERTY_OBJECTID)) { + *object_id = p->object_id; + } else { + OPAE_MSG("No object ID"); + res = FPGA_NOT_FOUND; + } + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaPropertiesSetObjectID(fpga_properties prop, + uint64_t object_id) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + SET_FIELD_VALID(p, FPGA_PROPERTY_OBJECTID); + p->object_id = object_id; + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaPropertiesGetNumErrors(const fpga_properties prop, + uint32_t *num_errors) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p; + + ASSERT_NOT_NULL(num_errors); + + p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + if (FIELD_VALID(p, FPGA_PROPERTY_NUM_ERRORS)) { + *num_errors = p->num_errors; + } else { + OPAE_MSG("No num errors"); + res = FPGA_NOT_FOUND; + } + + opae_mutex_unlock(err, &p->lock); + + return res; +} + +fpga_result __OPAE_API__ fpgaPropertiesSetNumErrors(const fpga_properties prop, + uint32_t num_errors) +{ + fpga_result res = FPGA_OK; + int err; + struct _fpga_properties *p = opae_validate_and_lock_properties(prop); + + ASSERT_NOT_NULL(p); + + SET_FIELD_VALID(p, FPGA_PROPERTY_NUM_ERRORS); + p->num_errors = num_errors; + + opae_mutex_unlock(err, &p->lock); + + return res; +} diff --git a/opae-libs/libopae-c/props.h b/opae-libs/libopae-c/props.h new file mode 100644 index 0000000..8da3bf4 --- /dev/null +++ b/opae-libs/libopae-c/props.h @@ -0,0 +1,157 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifndef __OPAE_PROPS_H__ +#define __OPAE_PROPS_H__ + +#include +#ifndef __USE_GNU +#define __USE_GNU 1 +#endif +#include + +#include +#include + +#include "opae_int.h" + +// FPGA property magic (FPGAPROP) +#define FPGA_PROPERTY_MAGIC 0x4650474150524f50 + +/** Fields common across all object types */ +#define FPGA_PROPERTY_PARENT 0 +#define FPGA_PROPERTY_OBJTYPE 1 +#define FPGA_PROPERTY_SEGMENT 2 +#define FPGA_PROPERTY_BUS 3 +#define FPGA_PROPERTY_DEVICE 4 +#define FPGA_PROPERTY_FUNCTION 5 +#define FPGA_PROPERTY_SOCKETID 6 +#define FPGA_PROPERTY_VENDORID 7 +#define FPGA_PROPERTY_DEVICEID 8 +#define FPGA_PROPERTY_GUID 9 +#define FPGA_PROPERTY_OBJECTID 10 +#define FPGA_PROPERTY_NUM_ERRORS 11 + +/** Fields for FPGA objects */ +#define FPGA_PROPERTY_NUM_SLOTS 32 +#define FPGA_PROPERTY_BBSID 33 +#define FPGA_PROPERTY_BBSVERSION 34 +#define FPGA_PROPERTY_MODEL 35 +#define FPGA_PROPERTY_LOCAL_MEMORY 36 +#define FPGA_PROPERTY_CAPABILITIES 37 + +/** Fields for accelerator objects */ +#define FPGA_PROPERTY_ACCELERATOR_STATE 32 +#define FPGA_PROPERTY_NUM_MMIO 33 +#define FPGA_PROPERTY_NUM_INTERRUPTS 34 + + +#define FIELD_VALID(P, F) (((P)->valid_fields >> (F)) & 1) + +#define SET_FIELD_VALID(P, F) \ + ((P)->valid_fields = (P)->valid_fields | ((uint64_t)1 << (F))) + +#define CLEAR_FIELD_VALID(P, F) \ + ((P)->valid_fields = (P)->valid_fields & ~((uint64_t)1 << (F))) + + +struct _fpga_properties { + pthread_mutex_t lock; + uint64_t magic; + uint32_t flags; +#define OPAE_PROPERTIES_FLAG_PARENT_ALLOC 0x00000001 + /* Common properties */ + uint64_t valid_fields; // bitmap of valid fields + // valid here means the field has been set using the API + // bit 0x00 - parent field is valid + // bit 0x01 - objtype field is valid + // bit 0x02 - segment field is valid + // ... + // up to bit 0x1F + fpga_guid guid; // Applies only to accelerator types + fpga_token parent; + fpga_objtype objtype; + uint16_t segment; + uint8_t bus; + uint8_t device; + uint8_t function; + uint8_t socket_id; + uint64_t object_id; + uint16_t vendor_id; + uint16_t device_id; + uint32_t num_errors; + + /* Object-specific properties + * bitfields start as 0x20 + */ + union { + + /* fpga object properties + * */ + struct { + uint32_t num_slots; + uint64_t bbs_id; + fpga_version bbs_version; + // TODO char model[FPGA_MODEL_LENGTH]; + // TODO uint64_t local_memory_size; + // TODO uint64_t capabilities; #<{(| bitfield (HSSI, + // iommu, ...) |)}># + } fpga; + + /* accelerator object properties + * */ + struct { + fpga_accelerator_state state; + uint32_t num_mmio; + uint32_t num_interrupts; + } accelerator; + + } u; +}; + +// returns NULL on error, locked _fpga_properties object on success. +static inline struct _fpga_properties * +opae_validate_and_lock_properties(fpga_properties props) +{ + int res; + struct _fpga_properties *p = (struct _fpga_properties *)props; + + if (!p) + return NULL; + + opae_mutex_lock(res, &p->lock); + + if (p->magic != FPGA_PROPERTY_MAGIC) { + opae_mutex_unlock(res, &p->lock); + return NULL; + } + + return p; +} + +struct _fpga_properties *opae_properties_create(void); + +#endif // ___OPAE_PROPS_H__ diff --git a/opae-libs/libopaecxx/CMakeLists.txt b/opae-libs/libopaecxx/CMakeLists.txt new file mode 100644 index 0000000..38d6124 --- /dev/null +++ b/opae-libs/libopaecxx/CMakeLists.txt @@ -0,0 +1,55 @@ +## Copyright(c) 2018-2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +set(CMAKE_CXX_STANDARD 11) + +set(OPAECXXCORE_SRC + src/properties.cpp + src/token.cpp + src/handle.cpp + src/shared_buffer.cpp + src/events.cpp + src/except.cpp + src/errors.cpp + src/sysobject.cpp + src/version.cpp +) + +opae_add_shared_library(TARGET opae-cxx-core + SOURCE ${OPAECXXCORE_SRC} + VERSION ${OPAE_VERSION} + SOVERSION ${OPAE_VERSION_MAJOR} + COMPONENT opaecxxcorelib +) + +opae_add_executable(TARGET hello_cxxcore + SOURCE samples/hello_fpga-1.cpp + LIBS + opae-c + opae-cxx-core + ${libjson-c_LIBRARIES} + COMPONENT samples +) diff --git a/opae-libs/libopaecxx/samples/hello_fpga-1.cpp b/opae-libs/libopaecxx/samples/hello_fpga-1.cpp new file mode 100644 index 0000000..4d0b39d --- /dev/null +++ b/opae-libs/libopaecxx/samples/hello_fpga-1.cpp @@ -0,0 +1,146 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H +#include +#include +#include +#include +#include + +#include + +#include +#include +#include +#include +#include + +using namespace opae::fpga::types; + +static const char* NLB0_AFUID = "D8424DC4-A4A3-C413-F89E-433683F9040B"; +static const uint64_t CL = 64; +static const uint64_t KB = 1024; +static const uint64_t MB = KB * 1024; +static const uint64_t LOG2_CL = 6; +static const size_t LPBK1_DSM_SIZE = 2 * MB; +static const size_t LPBK1_BUFFER_SIZE = 1 * MB; +static const size_t LPBK1_BUFFER_ALLOCATION_SIZE = 2 * MB; +static const uint64_t CSR_SRC_ADDR = 0x0120; +static const uint32_t CSR_DST_ADDR = 0x0128; +static const uint32_t CSR_CTL = 0x0138; +static const uint32_t CSR_CFG = 0x0140; +static const uint32_t CSR_NUM_LINES = 0x0130; +static const uint32_t DSM_STATUS_TEST_COMPLETE = 0x40; +static const uint64_t CSR_AFU_DSM_BASEL = 0x0110; + +static inline uint64_t cacheline_aligned_addr(uint64_t num) { + return num >> LOG2_CL; +} + +int main(int argc, char* argv[]) { + if ((argc > 1) && ((std::string(argv[1]) == std::string("-v")) || + (std::string(argv[1]) == std::string("--version")))) { + std::cout << "hello_cxxcore " << OPAE_VERSION << " " + << OPAE_GIT_COMMIT_HASH; + if (OPAE_GIT_SRC_TREE_DIRTY) std::cout << "*"; + std::cout << std::endl; + return 0; + } + + std::cout << "Using OPAE C++ Core library version '" << version::as_string() + << "' build '" << version::build() << "'\n"; + // look for accelerator with NLB0_AFUID + properties::ptr_t filter = properties::get(); + filter->guid.parse(NLB0_AFUID); + filter->type = FPGA_ACCELERATOR; + + std::vector tokens = token::enumerate({filter}); + + // assert we have found at least one + if (tokens.size() < 1) { + std::cerr << "accelerator not found\n"; + return -1; + } + token::ptr_t tok = tokens[0]; + + // open accelerator and map MMIO + handle::ptr_t accel = handle::open(tok, FPGA_OPEN_SHARED); + + // allocate buffers + shared_buffer::ptr_t dsm = shared_buffer::allocate(accel, LPBK1_DSM_SIZE); + shared_buffer::ptr_t inp = + shared_buffer::allocate(accel, LPBK1_BUFFER_ALLOCATION_SIZE); + shared_buffer::ptr_t out = + shared_buffer::allocate(accel, LPBK1_BUFFER_ALLOCATION_SIZE); + + std::cout << "Running Test\n"; + + // initialize buffers + std::fill_n(dsm->c_type(), LPBK1_DSM_SIZE, 0); + std::fill_n(inp->c_type(), LPBK1_BUFFER_SIZE, 0xAF); + std::fill_n(out->c_type(), LPBK1_BUFFER_SIZE, 0xBE); + + accel->reset(); + accel->write_csr64(CSR_AFU_DSM_BASEL, dsm->io_address()); + accel->write_csr32(CSR_CTL, 0); + accel->write_csr32(CSR_CTL, 1); + accel->write_csr64(CSR_SRC_ADDR, cacheline_aligned_addr(inp->io_address())); + accel->write_csr64(CSR_DST_ADDR, cacheline_aligned_addr(out->io_address())); + + accel->write_csr32(CSR_NUM_LINES, LPBK1_BUFFER_SIZE / (1 * CL)); + accel->write_csr32(CSR_CFG, 0x42000); + + // get ptr to device status memory - test complete + // temporarily "borrow" a raw pointer to the buffer + // status_ptr can be dangling pointer if dsm is the only reference + // and it is reset or goes out of scope before status_ptr + volatile uint8_t* status_ptr = dsm->c_type() + DSM_STATUS_TEST_COMPLETE; + // start the test + accel->write_csr32(CSR_CTL, 3); + + // wait for test completion + while (0 == ((*status_ptr) * 0x1)) { + std::this_thread::sleep_for(std::chrono::microseconds(100)); + } + + // stop the device + accel->write_csr32(CSR_CTL, 7); + + // check output buffer contents + std::pair mm = std::mismatch( + inp->c_type(), inp->c_type() + LPBK1_BUFFER_SIZE, out->c_type()); + if (mm.second < out->c_type() + LPBK1_BUFFER_SIZE) { + std::cerr << "output does NOT match input at offset: " + << (mm.second - out->c_type()) << "\n"; + return -1; + } + + std::cout << "Done Running Test\n"; + + return 0; +} diff --git a/opae-libs/libopaecxx/src/errors.cpp b/opae-libs/libopaecxx/src/errors.cpp new file mode 100644 index 0000000..bd338e1 --- /dev/null +++ b/opae-libs/libopaecxx/src/errors.cpp @@ -0,0 +1,54 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#include +#include + +namespace opae { +namespace fpga { +namespace types { + +error::error(token::ptr_t token, uint32_t num) + : token_(token), error_info_(), error_num_(num) {} + +error::ptr_t error::get(token::ptr_t tok, uint32_t num) { + if (!tok) { + throw std::invalid_argument("token object is null"); + } + + error::ptr_t err(new error(tok, num)); + ASSERT_FPGA_OK(fpgaGetErrorInfo(*tok, num, &err->error_info_)); + return err; +} + +uint64_t error::read_value() { + uint64_t val; + ASSERT_FPGA_OK(fpgaReadError(*token_, error_num_, &val)); + return val; +} + +} // end of namespace types +} // end of namespace fpga +} // end of namespace opae diff --git a/opae-libs/libopaecxx/src/events.cpp b/opae-libs/libopaecxx/src/events.cpp new file mode 100644 index 0000000..a1c0c8a --- /dev/null +++ b/opae-libs/libopaecxx/src/events.cpp @@ -0,0 +1,74 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include + +#include +#include + +namespace opae { +namespace fpga { +namespace types { + +event::~event() { + auto res = fpgaUnregisterEvent(*handle_, type_, event_handle_); + if (res != FPGA_OK) { + std::cerr << "Error while calling fpgaUnregisterEvent: " << fpgaErrStr(res) + << "\n"; + } + + res = fpgaDestroyEventHandle(&event_handle_); + if (res != FPGA_OK) { + std::cerr << "Error while calling fpgaDestroyEventHandle: " + << fpgaErrStr(res) << "\n"; + } +} + +event::operator fpga_event_handle() { return event_handle_; } + +event::ptr_t event::register_event(handle::ptr_t h, event::type_t t, + int flags) { + if (!h) { + throw std::invalid_argument("handle object is null"); + } + + event::ptr_t evptr; + fpga_event_handle eh; + ASSERT_FPGA_OK(fpgaCreateEventHandle(&eh)); + ASSERT_FPGA_OK(fpgaRegisterEvent(*h, t, eh, flags)); + evptr.reset(new event(h, t, eh)); + ASSERT_FPGA_OK(fpgaGetOSObjectFromEventHandle(eh, &evptr->os_object_)); + return evptr; +} + +int event::os_object() const { return os_object_; } + +event::event(handle::ptr_t h, event::type_t t, fpga_event_handle eh) + : handle_(h), type_(t), event_handle_(eh), os_object_(-1) {} + +} // end of namespace types +} // end of namespace fpga +} // end of namespace opae diff --git a/opae-libs/libopaecxx/src/except.cpp b/opae-libs/libopaecxx/src/except.cpp new file mode 100644 index 0000000..f489191 --- /dev/null +++ b/opae-libs/libopaecxx/src/except.cpp @@ -0,0 +1,93 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#include +#include +#include +#include + +#include + +#include + +namespace opae { +namespace fpga { +namespace types { + +src_location::src_location(const char *file, const char *fn, int line) noexcept + : file_(file), fn_(fn), line_(line) {} + +src_location::src_location(const src_location &other) noexcept + : file_(other.file_), fn_(other.fn_), line_(other.line_) {} + +src_location &src_location::operator=(const src_location &other) noexcept { + if (&other != this) { + file_ = other.file_; + fn_ = other.fn_; + line_ = other.line_; + } + return *this; +} + +const char *src_location::file() const noexcept { + // return a pointer to the file name component. + const char *p = file_; + + while (*p++) { + } + while ((p > file_) && (*p != '\\') && (*p != '/')) --p; + if (('\\' == *p) || ('/' == *p)) ++p; + + return p; +} + +except::except(src_location loc) noexcept + : res_(FPGA_EXCEPTION), + msg_("failed with return code FPGA_EXCEPTION"), + loc_(loc) {} + +except::except(fpga_result res, const char *msg, src_location loc) noexcept + : res_(res), msg_(msg), loc_(loc) {} + +except::except(fpga_result res, src_location loc) noexcept + : res_(res), msg_(0), loc_(loc) {} + +const char *except::what() const noexcept { + std::stringstream ss; + if (msg_) { + ss << msg_; + } else { + ss << "failed with error " << fpgaErrStr(res_); + } + ss << " at: " << loc_.file() << ":" << loc_.fn() << "():" << loc_.line(); + memcpy(buf_, ss.str().c_str(), ss.str().length()); + buf_[ss.str().length()] = '\0'; + + return const_cast(buf_); +} + +} // end of namespace types +} // end of namespace fpga +} // end of namespace opae diff --git a/opae-libs/libopaecxx/src/handle.cpp b/opae-libs/libopaecxx/src/handle.cpp new file mode 100644 index 0000000..371f2ea --- /dev/null +++ b/opae-libs/libopaecxx/src/handle.cpp @@ -0,0 +1,129 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#include +#include +#include +#include +#include +#include +#include + +namespace opae { +namespace fpga { +namespace types { + +handle::handle(fpga_handle h) : handle_(h), token_(nullptr) {} + +handle::~handle() { + close(); + // release the cloned token + auto result = fpgaDestroyToken(&token_); + if (result != FPGA_OK) { + std::cerr << "Error while calling fpgaDestroyToken: " << fpgaErrStr(result) + << "\n"; + } +} + +handle::ptr_t handle::open(fpga_token token, int flags) { + fpga_handle c_handle = nullptr; + fpga_token c_token = nullptr; + ptr_t p; + // clone the token used to open the resource + ASSERT_FPGA_OK(fpgaCloneToken(token, &c_token)); + auto res = fpgaOpen(c_token, &c_handle, flags); + ASSERT_FPGA_OK(res); + p.reset(new handle(c_handle)); + // stash the cloned token along with the handle object + p->token_ = c_token; + return p; +} + +handle::ptr_t handle::open(token::ptr_t tok, int flags) { + if (!tok) { + throw std::invalid_argument("token object is null"); + } + return handle::open(*tok, flags); +} + +fpga_result handle::close() { + if (handle_ != nullptr) { + auto res = fpgaClose(handle_); + ASSERT_FPGA_OK(res); + handle_ = nullptr; + return FPGA_OK; + } + + return FPGA_EXCEPTION; +} + +void handle::reconfigure(uint32_t slot, const uint8_t *bitstream, size_t size, + int flags) { + ASSERT_FPGA_OK(fpgaReconfigureSlot(handle_, slot, bitstream, size, flags)); +} + +void handle::reset() { + auto res = fpgaReset(handle_); + ASSERT_FPGA_OK(res); +} + +uint32_t handle::read_csr32(uint64_t offset, uint32_t csr_space) const { + uint32_t value = 0; + ASSERT_FPGA_OK(fpgaReadMMIO32(handle_, csr_space, offset, &value)); + return value; +} + +uint64_t handle::read_csr64(uint64_t offset, uint32_t csr_space) const { + uint64_t value = 0; + ASSERT_FPGA_OK(fpgaReadMMIO64(handle_, csr_space, offset, &value)); + return value; +} + +void handle::write_csr32(uint64_t offset, uint32_t value, uint32_t csr_space) { + ASSERT_FPGA_OK(fpgaWriteMMIO32(handle_, csr_space, offset, value)); +} + +void handle::write_csr64(uint64_t offset, uint64_t value, uint32_t csr_space) { + ASSERT_FPGA_OK(fpgaWriteMMIO64(handle_, csr_space, offset, value)); +} + +void handle::write_csr512(uint64_t offset, const void *value, + uint32_t csr_space) { + ASSERT_FPGA_OK(fpgaWriteMMIO512(handle_, csr_space, offset, value)); +} + +uint8_t *handle::mmio_ptr(uint64_t offset, uint32_t csr_space) const { + uint8_t *base = nullptr; + + auto res = + fpgaMapMMIO(handle_, csr_space, reinterpret_cast(&base)); + + ASSERT_FPGA_OK(res); + return base + offset; +} + +} // end of namespace types +} // end of namespace fpga +} // end of namespace opae diff --git a/opae-libs/libopaecxx/src/properties.cpp b/opae-libs/libopaecxx/src/properties.cpp new file mode 100644 index 0000000..bb349ff --- /dev/null +++ b/opae-libs/libopaecxx/src/properties.cpp @@ -0,0 +1,125 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#include +#include +#include +#include +#include + +namespace opae { +namespace fpga { +namespace types { + +const std::vector properties::none = {}; + +properties::properties(bool alloc_props) + : props_(nullptr), + type(&props_, fpgaPropertiesGetObjectType, fpgaPropertiesSetObjectType), + num_errors(&props_, fpgaPropertiesGetNumErrors, + fpgaPropertiesSetNumErrors), + segment(&props_, fpgaPropertiesGetSegment, fpgaPropertiesSetSegment), + bus(&props_, fpgaPropertiesGetBus, fpgaPropertiesSetBus), + device(&props_, fpgaPropertiesGetDevice, fpgaPropertiesSetDevice), + function(&props_, fpgaPropertiesGetFunction, fpgaPropertiesSetFunction), + socket_id(&props_, fpgaPropertiesGetSocketID, fpgaPropertiesSetSocketID), + num_slots(&props_, fpgaPropertiesGetNumSlots, fpgaPropertiesSetNumSlots), + bbs_id(&props_, fpgaPropertiesGetBBSID, fpgaPropertiesSetBBSID), + bbs_version(&props_, fpgaPropertiesGetBBSVersion, + fpgaPropertiesSetBBSVersion), + vendor_id(&props_, fpgaPropertiesGetVendorID, fpgaPropertiesSetVendorID), + device_id(&props_, fpgaPropertiesGetDeviceID, fpgaPropertiesSetDeviceID), + model(&props_, fpgaPropertiesGetModel, fpgaPropertiesSetModel), + local_memory_size(&props_, fpgaPropertiesGetLocalMemorySize, + fpgaPropertiesSetLocalMemorySize), + capabilities(&props_, fpgaPropertiesGetCapabilities, + fpgaPropertiesSetCapabilities), + num_mmio(&props_, fpgaPropertiesGetNumMMIO, fpgaPropertiesSetNumMMIO), + num_interrupts(&props_, fpgaPropertiesGetNumInterrupts, + fpgaPropertiesSetNumInterrupts), + accelerator_state(&props_, fpgaPropertiesGetAcceleratorState, + fpgaPropertiesSetAcceleratorState), + object_id(&props_, fpgaPropertiesGetObjectID, fpgaPropertiesSetObjectID), + parent(&props_, fpgaPropertiesGetParent, fpgaPropertiesSetParent), + guid(&props_) { + if (alloc_props) { + ASSERT_FPGA_OK(fpgaGetProperties(nullptr, &props_)); + } +} + +properties::ptr_t properties::get() { + properties::ptr_t props(new properties()); + return props; +} + +properties::ptr_t properties::get(fpga_guid guid_in) { + properties::ptr_t props(new properties()); + props->guid = guid_in; + return props; +} + +properties::ptr_t properties::get(fpga_objtype objtype) { + properties::ptr_t props(new properties()); + props->type = objtype; + return props; +} + +properties::ptr_t properties::get(fpga_token tok) { + ptr_t p(new properties(false)); + auto res = fpgaGetProperties(tok, &p->props_); + if (res != FPGA_OK) { + p.reset(); + } + ASSERT_FPGA_OK(res); + return p; +} + +properties::ptr_t properties::get(handle::ptr_t h) { + ptr_t p(new properties(false)); + auto res = fpgaGetPropertiesFromHandle(h->c_type(), &p->props_); + if (res != FPGA_OK) { + p.reset(); + } + ASSERT_FPGA_OK(res); + return p; +} + +properties::ptr_t properties::get(token::ptr_t tok) { + return get(tok->c_type()); +} + +properties::~properties() { + if (props_ != nullptr) { + auto res = fpgaDestroyProperties(&props_); + if (res != FPGA_OK) { + std::cerr << "Error while calling fpgaDestroyProperties: " + << fpgaErrStr(res) << "\n"; + } + } +} + +} // end of namespace types +} // end of namespace fpga +} // end of namespace opae diff --git a/opae-libs/libopaecxx/src/shared_buffer.cpp b/opae-libs/libopaecxx/src/shared_buffer.cpp new file mode 100644 index 0000000..bffb205 --- /dev/null +++ b/opae-libs/libopaecxx/src/shared_buffer.cpp @@ -0,0 +1,125 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#include +#include + +#include +#include + +namespace opae { +namespace fpga { +namespace types { + +shared_buffer::~shared_buffer() { release(); } + +shared_buffer::ptr_t shared_buffer::allocate(handle::ptr_t handle, size_t len, + bool read_only) { + ptr_t p; + + if (!handle) { + throw std::invalid_argument("handle object is null"); + } + + if (!len) { + throw except(OPAECXX_HERE); + } + + uint8_t *virt = nullptr; + uint64_t io_address = 0; + uint64_t wsid = 0; + + int flags = 0; + if (read_only) { + flags |= FPGA_BUF_READ_ONLY; + } + + fpga_result res = fpgaPrepareBuffer( + handle->c_type(), len, reinterpret_cast(&virt), &wsid, flags); + ASSERT_FPGA_OK(res); + res = fpgaGetIOAddress(handle->c_type(), wsid, &io_address); + ASSERT_FPGA_OK(res); + p.reset(new shared_buffer(handle, len, virt, wsid, io_address)); + + return p; +} + +shared_buffer::ptr_t shared_buffer::attach(handle::ptr_t handle, uint8_t *base, + size_t len, bool read_only) { + ptr_t p; + + uint8_t *virt = base; + uint64_t io_address = 0; + uint64_t wsid = 0; + + int flags = FPGA_BUF_PREALLOCATED; + if (read_only) { + flags |= FPGA_BUF_READ_ONLY; + } + + fpga_result res = fpgaPrepareBuffer( + handle->c_type(), len, reinterpret_cast(&virt), &wsid, flags); + + ASSERT_FPGA_OK(res); + res = fpgaGetIOAddress(handle->c_type(), wsid, &io_address); + ASSERT_FPGA_OK(res); + p.reset(new shared_buffer(handle, len, virt, wsid, io_address)); + + return p; +} + +void shared_buffer::release() { + // If the allocation was successful. + if (virt_ && handle_) { + auto res = fpgaReleaseBuffer(handle_->c_type(), wsid_); + if (res == FPGA_OK) { + virt_ = nullptr; + len_ = 0; + wsid_ = 0; + io_address_ = 0; + } else { + std::cerr << "Error while calling fpgaReleaseBuffer: " << fpgaErrStr(res) + << "\n"; + } + } +} + +void shared_buffer::fill(int c) { std::fill(virt_, virt_ + len_, c); } + +int shared_buffer::compare(shared_buffer::ptr_t other, size_t len) const { + return std::equal(virt_, virt_ + len, other->virt_) ? 0 : 1; +} + +shared_buffer::shared_buffer(handle::ptr_t handle, size_t len, uint8_t *virt, + uint64_t wsid, uint64_t io_address) + : handle_(handle), + len_(len), + virt_(virt), + wsid_(wsid), + io_address_(io_address) {} + +} // end of namespace types +} // end of namespace fpga +} // end of namespace opae diff --git a/opae-libs/libopaecxx/src/sysobject.cpp b/opae-libs/libopaecxx/src/sysobject.cpp new file mode 100644 index 0000000..d396c00 --- /dev/null +++ b/opae-libs/libopaecxx/src/sysobject.cpp @@ -0,0 +1,136 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#include +#include +#include + +namespace opae { +namespace fpga { +namespace types { + +sysobject::sysobject(fpga_object sysobj, token::ptr_t tok, handle::ptr_t hnd) + : sysobject_(sysobj), token_(tok), handle_(hnd) {} +sysobject::~sysobject() { + if (sysobject_ != nullptr) { + auto res = fpgaDestroyObject(&sysobject_); + if (res != FPGA_OK) { + std::cerr << "Error while calling fpgaDestroyObject: " << fpgaErrStr(res) + << "\n"; + } + } +} + +uint32_t sysobject::size() const { + uint32_t size; + ASSERT_FPGA_OK(fpgaObjectGetSize(sysobject_, &size, FPGA_OBJECT_SYNC)); + return size; +} + +enum fpga_sysobject_type sysobject::type() const { + enum fpga_sysobject_type _type; + ASSERT_FPGA_OK(fpgaObjectGetType(sysobject_, &_type)); + return _type; +} + +sysobject::ptr_t sysobject::get(token::ptr_t tok, const std::string &path, + int flags) { + fpga_object sysobj; + sysobject::ptr_t obj; + auto res = fpgaTokenGetObject(tok->c_type(), path.c_str(), &sysobj, flags); + if (!res) { + obj.reset(new sysobject(sysobj, tok, nullptr)); + } else if (res != FPGA_NOT_FOUND) { + ASSERT_FPGA_OK(res); + } + return obj; +} + +sysobject::ptr_t sysobject::get(handle::ptr_t hnd, const std::string &path, + int flags) { + fpga_object sysobj; + sysobject::ptr_t obj; + auto res = fpgaHandleGetObject(hnd->c_type(), path.c_str(), &sysobj, flags); + if (!res) { + obj.reset(new sysobject(sysobj, nullptr, hnd)); + } else if (res != FPGA_NOT_FOUND) { + ASSERT_FPGA_OK(res); + } + return obj; +} + +sysobject::ptr_t sysobject::get(const std::string &path, int flags) { + fpga_object sysobj; + sysobject::ptr_t obj; + auto res = fpgaObjectGetObject(sysobject_, path.c_str(), &sysobj, flags); + if (!res) { + obj.reset(new sysobject(sysobj, token_, handle_)); + } else if (res != FPGA_NOT_FOUND) { + ASSERT_FPGA_OK(res); + } + return obj; +} + +sysobject::ptr_t sysobject::get(int i) { + fpga_object sysobj; + sysobject::ptr_t obj; + + auto res = fpgaObjectGetObjectAt(sysobject_, i, &sysobj); + if (!res) { + obj.reset(new sysobject(sysobj, token_, handle_)); + } else if (res != FPGA_NOT_FOUND) { + ASSERT_FPGA_OK(res); + } + return obj; +} + +uint64_t sysobject::read64(int flags) const { + uint64_t value = 0; + ASSERT_FPGA_OK(fpgaObjectRead64(sysobject_, &value, flags)); + return value; +} + +void sysobject::write64(uint64_t value, int flags) const { + ASSERT_FPGA_OK(fpgaObjectWrite64(sysobject_, value, flags)); +} + +std::vector sysobject::bytes(int flags) const { + uint32_t size; + ASSERT_FPGA_OK(fpgaObjectGetSize(sysobject_, &size, flags)); + std::vector bytes(size); + ASSERT_FPGA_OK(fpgaObjectRead(sysobject_, bytes.data(), 0, size, flags)); + return bytes; +} + +std::vector sysobject::bytes(uint32_t offset, uint32_t size, + int flags) const { + std::vector bytes(size); + ASSERT_FPGA_OK(fpgaObjectRead(sysobject_, bytes.data(), offset, size, flags)); + return bytes; +} + +} // end of namespace types +} // end of namespace fpga +} // end of namespace opae diff --git a/opae-libs/libopaecxx/src/token.cpp b/opae-libs/libopaecxx/src/token.cpp new file mode 100644 index 0000000..706498d --- /dev/null +++ b/opae-libs/libopaecxx/src/token.cpp @@ -0,0 +1,90 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#include +#include +#include +#include + +namespace opae { +namespace fpga { +namespace types { + +std::vector token::enumerate( + const std::vector& props) { + std::vector tokens; + std::vector c_props(props.size()); + std::transform(props.begin(), props.end(), c_props.begin(), + [](properties::ptr_t p) { + if (!p) { + throw std::invalid_argument("property object is null"); + } + return p->c_type(); + }); + uint32_t matches = 0; + auto res = + fpgaEnumerate(c_props.data(), c_props.size(), nullptr, 0, &matches); + if (res == FPGA_OK && matches > 0) { + std::vector c_tokens(matches); + tokens.resize(matches); + res = fpgaEnumerate(c_props.data(), c_props.size(), c_tokens.data(), + c_tokens.size(), &matches); + + // throw exception (including not_found) + ASSERT_FPGA_OK(res); + + // create a new c++ token object for each c token struct + std::transform(c_tokens.begin(), c_tokens.end(), tokens.begin(), + [](fpga_token t) { return token::ptr_t(new token(t)); }); + + // discard our c struct token objects + std::for_each(c_tokens.begin(), c_tokens.end(), [](fpga_token t) { + auto res = fpgaDestroyToken(&t); + ASSERT_FPGA_OK(res); + }); + } else if (res != FPGA_NOT_FOUND) { + // throw exception except for not_found + // we don't want to throw not_found the frist time we enumerate + ASSERT_FPGA_OK(res); + } + return tokens; +} + +token::~token() { + auto res = fpgaDestroyToken(&token_); + if (res != FPGA_OK) { + std::cerr << "Error while calling fpgaDestroyToken: " << fpgaErrStr(res) + << "\n"; + } +} + +token::token(fpga_token tok) { + auto res = fpgaCloneToken(tok, &token_); + ASSERT_FPGA_OK(res); +} + +} // end of namespace types +} // end of namespace fpga +} // end of namespace opae diff --git a/opae-libs/libopaecxx/src/version.cpp b/opae-libs/libopaecxx/src/version.cpp new file mode 100644 index 0000000..bfc2e20 --- /dev/null +++ b/opae-libs/libopaecxx/src/version.cpp @@ -0,0 +1,58 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include +#include +#include +#include + +namespace opae { +namespace fpga { +namespace types { + +fpga_version version::as_struct() { + fpga_version version_struct; + ASSERT_FPGA_OK(fpgaGetOPAECVersion(&version_struct)); + return version_struct; +} + +std::string version::as_string() { + char ver_arr[32]; + ASSERT_FPGA_OK(fpgaGetOPAECVersionString(ver_arr, sizeof(ver_arr))); + std::string ver_str(ver_arr); + return ver_str; +} + +std::string version::build() { + char build_arr[32]; + ASSERT_FPGA_OK(fpgaGetOPAECBuildString(build_arr, sizeof(build_arr))); + std::string build_str(build_arr); + return build_str; +} + +} // end of namespace types +} // end of namespace fpga +} // end of namespace opae diff --git a/opae-libs/plugins/CMakeLists.txt b/opae-libs/plugins/CMakeLists.txt new file mode 100644 index 0000000..32099be --- /dev/null +++ b/opae-libs/plugins/CMakeLists.txt @@ -0,0 +1,28 @@ +## Copyright(c) 2017-2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +add_subdirectory(xfpga) +add_subdirectory(xfpga/metrics/bmc) diff --git a/opae-libs/plugins/xfpga/CMakeLists.txt b/opae-libs/plugins/xfpga/CMakeLists.txt new file mode 100644 index 0000000..05c1afb --- /dev/null +++ b/opae-libs/plugins/xfpga/CMakeLists.txt @@ -0,0 +1,73 @@ +## Copyright(c) 2017-2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +set(SRC + common.c + enum.c + error.c + umsg.c + reconf.c + open.c + close.c + reset.c + mmio.c + buffer.c + bitstream.c + hostif.c + event.c + properties.c + init.c + opae_drv.c + sysfs.c + wsid_list.c + token_list.c + mmap.c + version.c + userclk.c + usrclk/user_clk_pgm_uclock.c + plugin.c + sysobject.c + manage.c + metrics/metrics.c + metrics/metrics_utils.c + metrics/afu_metrics.c + metrics/vector.c + metrics/metrics_max10.c + metrics/threshold.c) + +opae_add_module_library(TARGET xfpga + SOURCE ${SRC} + LIBS + dl + m + ${CMAKE_THREAD_LIBS_INIT} + opae-c + ${libjson-c_LIBRARIES} + ${libuuid_LIBRARIES} + COMPONENT opaeclib +) + +target_include_directories(xfpga PRIVATE ${OPAE_LIBS_ROOT}/libopae-c) diff --git a/opae-libs/plugins/xfpga/bitstream.c b/opae-libs/plugins/xfpga/bitstream.c new file mode 100644 index 0000000..7498005 --- /dev/null +++ b/opae-libs/plugins/xfpga/bitstream.c @@ -0,0 +1,486 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include +#include +#include +#include +#include + +#include "opae/utils.h" + +#include "common_int.h" +#include "bitstream_int.h" + +#define METADATA_GUID "58656F6E-4650-4741-B747-425376303031" +#define METADATA_GUID_LEN 16 +#define METADATA_MAX_LEN 8192 +#define FPGA_GBS_6_3_0_MAGIC 0x1d1f8680 // dec: 488605312 +#define PR_INTERFACE_ID "pr/interface_id" +#define INTFC_ID_LOW_LEN 16 +#define INTFC_ID_HIGH_LEN 16 +#define BUFFER_SIZE 32 + +// GBS json metadata +// GBS version +#define GBS_VERSION "version" + +// AFU image +#define GBS_AFU_IMAGE "afu-image" +#define GBS_MAGIC_NUM "magic-no" +#define BBS_INTERFACE_ID "interface-uuid" +#define GBS_CLOCK_FREQUENCY_HIGH "clock-frequency-high" +#define GBS_CLOCK_FREQUENCY_LOW "clock-frequency-low" +#define GBS_AFU_POWER "power" + +// AFU Clusters +#define GBS_ACCELERATOR_CLUSTERS "accelerator-clusters" +#define GBS_AFU_NAME "name" +#define GBS_ACCELERATOR_TYPE_UUID "accelerator-type-uuid" +#define GBS_ACCELERATOR_TOTAL_CONTEXTS "total-contexts" + + +fpga_result string_to_guid(const char *guid, fpga_guid *result) +{ + if (uuid_parse(guid, *result) < 0) { + OPAE_MSG("Error parsing GUID %s\n", guid); + return FPGA_INVALID_PARAM; + } + + return FPGA_OK; +} + +STATIC json_bool get_json_object(json_object **object, json_object **parent, + char *field_name) +{ + return json_object_object_get_ex(*parent, field_name, &(*object)); +} + +STATIC uint64_t read_int_from_bitstream(const uint8_t *bitstream, uint8_t size) +{ + uint64_t ret = 0; + switch (size) { + + case sizeof(uint8_t): + ret = *((uint8_t *) bitstream); + break; + case sizeof(uint16_t): + ret = *((uint16_t *) bitstream); + break; + case sizeof(uint32_t): + ret = *((uint32_t *) bitstream); + break; + case sizeof(uint64_t): + ret = *((uint64_t *) bitstream); + break; + default: + OPAE_ERR("Unknown integer size"); + } + + return ret; +} + +STATIC int64_t int64_be_to_le(int64_t val) +{ + val = ((val << 8) & 0xFF00FF00FF00FF00ULL) | + ((val >> 8) & 0x00FF00FF00FF00FFULL); + val = ((val << 16) & 0xFFFF0000FFFF0000ULL) | + ((val >> 16) & 0x0000FFFF0000FFFFULL); + return (val << 32) | ((val >> 32) & 0xFFFFFFFFULL); +} + +fpga_result get_interface_id(fpga_handle handle, uint64_t *id_l, uint64_t *id_h) +{ + + struct _fpga_token *_token; + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + fpga_result result = FPGA_OK; + fpga_guid guid; + + _token = (struct _fpga_token *)_handle->token; + if (!_token) { + OPAE_MSG("Token is NULL"); + return FPGA_INVALID_PARAM; + } + + if (_token->magic != FPGA_TOKEN_MAGIC) { + OPAE_MSG("Invalid token in handle"); + return FPGA_INVALID_PARAM; + } + + if (id_l == NULL || id_h == NULL) { + OPAE_MSG("id_l or id_h are NULL"); + return FPGA_INVALID_PARAM; + } + + // PR Interface id + result = sysfs_get_interface_id(_token, guid); + if (FPGA_OK != result) { + OPAE_ERR("Failed to get PR interface id"); + return FPGA_EXCEPTION; + } + + memcpy(id_h, guid, sizeof(uint64_t)); + *id_h = int64_be_to_le(*id_h); + + memcpy(id_l, guid + sizeof(uint64_t), sizeof(uint64_t)); + *id_l = int64_be_to_le(*id_l); + + return FPGA_OK; +} + +fpga_result check_interface_id(fpga_handle handle, + uint32_t bitstream_magic_no, + uint64_t ifid_l, uint64_t ifid_h) +{ + uint64_t intfc_id_l = 0; + uint64_t intfc_id_h = 0; + fpga_result result = FPGA_OK; + + if (bitstream_magic_no != FPGA_GBS_6_3_0_MAGIC) { + OPAE_MSG("Invalid bitstream magic number"); + return FPGA_NOT_FOUND; + } + + if (get_interface_id(handle, &intfc_id_l, &intfc_id_h)) { + OPAE_MSG("Get interface ID failed"); + return FPGA_NOT_FOUND; + } + + if ((ifid_l != intfc_id_l) || + (ifid_h != intfc_id_h)) { + OPAE_MSG("Interface id doesn't match metadata"); + return FPGA_NOT_FOUND; + } + + return result; +} + +fpga_result check_bitstream_guid(const uint8_t *bitstream) +{ + fpga_guid bitstream_guid; + fpga_guid expected_guid; + + memcpy(bitstream_guid, bitstream, sizeof(fpga_guid)); + + if (string_to_guid(METADATA_GUID, &expected_guid) != FPGA_OK) + return FPGA_INVALID_PARAM; + + if (uuid_compare(bitstream_guid, expected_guid) != 0) + return FPGA_INVALID_PARAM; + + return FPGA_OK; +} + +int get_bitstream_header_len(const uint8_t *bitstream) +{ + uint32_t json_len = 0; + + if (!bitstream) { + OPAE_ERR("NULL input bitstream pointer"); + return -1; + } + + if (check_bitstream_guid(bitstream) != FPGA_OK) + return -1; + + json_len = read_int_from_bitstream(bitstream + METADATA_GUID_LEN, sizeof(uint32_t)); + + return (METADATA_GUID_LEN + sizeof(uint32_t) + json_len); +} + +int32_t get_bitstream_json_len(const uint8_t *bitstream) +{ + uint32_t json_len = 0; + + if (!bitstream) { + OPAE_ERR("NULL input bitstream pointer"); + return -1; + } + + if (check_bitstream_guid(bitstream) != FPGA_OK) + return -1; + + json_len = read_int_from_bitstream(bitstream + METADATA_GUID_LEN, sizeof(uint32_t)); + + return json_len; +} + +fpga_result validate_bitstream_metadata(fpga_handle handle, + const uint8_t *bitstream) +{ + fpga_result result = FPGA_EXCEPTION; + char *json_metadata = NULL; + uint32_t json_len = 0; + uint32_t bitstream_magic_no = 0; + uint64_t ifc_id_val_l, ifc_id_val_h; + const uint8_t *json_metadata_ptr = NULL; + json_object *root = NULL; + json_object *afu_image = NULL, *magic_no = NULL; + json_object *interface_id = NULL; + fpga_guid expected_guid; + + if (check_bitstream_guid(bitstream) != FPGA_OK) + goto out_free; + + json_len = read_int_from_bitstream(bitstream + METADATA_GUID_LEN, sizeof(uint32_t)); + if (json_len == 0) { + OPAE_MSG("Bitstream has no metadata"); + result = FPGA_OK; + goto out_free; + } + + if (json_len >= METADATA_MAX_LEN) { + OPAE_ERR("Bitstream metadata too large"); + goto out_free; + } + + json_metadata_ptr = bitstream + METADATA_GUID_LEN + sizeof(uint32_t); + + json_metadata = (char *) malloc(json_len + 1); + if (json_metadata == NULL) { + OPAE_ERR("Could not allocate memory for metadata"); + return FPGA_NO_MEMORY; + } + + memcpy(json_metadata, json_metadata_ptr, json_len); + json_metadata[json_len] = '\0'; + + root = json_tokener_parse(json_metadata); + + if (root != NULL) { + if (get_json_object(&afu_image, &root, GBS_AFU_IMAGE)) { + get_json_object(&magic_no, &afu_image, GBS_MAGIC_NUM); + get_json_object(&interface_id, &afu_image, + BBS_INTERFACE_ID); + + if (magic_no == NULL || interface_id == NULL) { + OPAE_ERR("Invalid metadata"); + result = FPGA_INVALID_PARAM; + goto out_free; + } + + result = string_to_guid( + json_object_get_string(interface_id), + &expected_guid); + if (result != FPGA_OK) { + OPAE_ERR("Invalid BBS interface ID"); + goto out_free; + } + + memcpy(&ifc_id_val_h, expected_guid, sizeof(uint64_t)); + ifc_id_val_h = int64_be_to_le(ifc_id_val_h); + + memcpy(&ifc_id_val_l, + expected_guid + sizeof(uint64_t), + sizeof(uint64_t)); + ifc_id_val_l = int64_be_to_le(ifc_id_val_l); + + bitstream_magic_no = json_object_get_int(magic_no); + + result = check_interface_id(handle, bitstream_magic_no, + ifc_id_val_l, ifc_id_val_h); + + if (result != FPGA_OK) { + OPAE_ERR("Interface ID check failed"); + goto out_free; + } + } else { + OPAE_ERR("Invalid metadata"); + result = FPGA_INVALID_PARAM; + goto out_free; + } + } + +out_free: + if (root) + json_object_put(root); + if (json_metadata) + free(json_metadata); + + return result; +} + +fpga_result read_gbs_metadata(const uint8_t *bitstream, + struct gbs_metadata *gbs_metadata) +{ + uint32_t json_len = 0; + fpga_result result = FPGA_OK; + const uint8_t *json_metadata_ptr = NULL; + char *json_metadata = NULL; + json_object *root = NULL; + json_object *magic_num = NULL; + json_object *interface_id = NULL; + json_object *afu_image = NULL; + json_object *version = NULL; + json_object *accelerator_clusters = NULL; + json_object *cluster = NULL; + json_object *uuid = NULL; + json_object *name = NULL; + json_object *contexts = NULL; + json_object *power = NULL; + json_object *userclk1 = NULL; + json_object *userclk2 = NULL; + + if (gbs_metadata == NULL) { + OPAE_ERR("Invalid input metadata"); + return FPGA_INVALID_PARAM; + } + + if (bitstream == NULL) { + OPAE_ERR("Invalid input bitstream"); + return FPGA_INVALID_PARAM; + } + + if (check_bitstream_guid(bitstream) != FPGA_OK) { + OPAE_ERR("Failed to read GUID"); + return FPGA_INVALID_PARAM; + } + + json_len = *((uint32_t *) (bitstream + METADATA_GUID_LEN)); + if (!json_len || json_len >= METADATA_MAX_LEN) { + OPAE_ERR("Invalid bitstream metadata size"); + return FPGA_INVALID_PARAM; + } + + json_metadata_ptr = bitstream + METADATA_GUID_LEN + sizeof(uint32_t); + + json_metadata = (char *) malloc(json_len + 1); + if (!json_metadata) { + OPAE_ERR("Could not allocate memory for metadata"); + return FPGA_NO_MEMORY; + } + + memcpy(json_metadata, json_metadata_ptr, json_len); + json_metadata[json_len] = '\0'; + + root = json_tokener_parse(json_metadata); + + if (root) { + + // GBS version + if (get_json_object(&version, &root, GBS_VERSION)) { + gbs_metadata->version = json_object_get_double(version); + } else { + OPAE_ERR("No GBS version"); + result = FPGA_INVALID_PARAM; + goto out_free; + } + + // afu-image + if (get_json_object(&afu_image, &root, GBS_AFU_IMAGE)) { + + // magic number + if (get_json_object(&magic_num, &afu_image, GBS_MAGIC_NUM)) { + gbs_metadata->afu_image.magic_num = json_object_get_int64(magic_num); + } + + // Interface type GUID + if (get_json_object(&interface_id, &afu_image, BBS_INTERFACE_ID)) { + memcpy(gbs_metadata->afu_image.interface_uuid, + json_object_get_string(interface_id), + GUID_LEN); + gbs_metadata->afu_image.interface_uuid[GUID_LEN] = '\0'; + } else { + OPAE_ERR("No interface ID found in JSON metadata"); + result = FPGA_INVALID_PARAM; + goto out_free; + } + + // AFU user clock frequency High + if (get_json_object(&userclk1, &afu_image, GBS_CLOCK_FREQUENCY_HIGH)) { + gbs_metadata->afu_image.clock_frequency_high = json_object_get_int64(userclk1); + } + + // AFU user clock frequency Low + if (get_json_object(&userclk2, &afu_image, GBS_CLOCK_FREQUENCY_LOW)) { + gbs_metadata->afu_image.clock_frequency_low = json_object_get_int64(userclk2); + } + + // GBS power + if (get_json_object(&power, &afu_image, GBS_AFU_POWER)) { + gbs_metadata->afu_image.power = json_object_get_int64(power); + } + + } else { + OPAE_ERR("No AFU image in metadata"); + result = FPGA_INVALID_PARAM; + goto out_free; + } + + // afu clusters + if (get_json_object(&afu_image, &root, GBS_AFU_IMAGE) && + get_json_object(&accelerator_clusters, &afu_image, GBS_ACCELERATOR_CLUSTERS)) { + + cluster = json_object_array_get_idx(accelerator_clusters, 0); + + // AFU GUID + if (get_json_object(&uuid, &cluster, GBS_ACCELERATOR_TYPE_UUID)) { + memcpy(gbs_metadata->afu_image.afu_clusters.afu_uuid, + json_object_get_string(uuid), + GUID_LEN); + gbs_metadata->afu_image.afu_clusters.afu_uuid[GUID_LEN] = '\0'; + } else { + OPAE_ERR("No accelerator-type-uuid in JSON metadata"); + result = FPGA_INVALID_PARAM; + goto out_free; + } + + // AFU Name + if (get_json_object(&name, &cluster, GBS_AFU_NAME)) { + memcpy(gbs_metadata->afu_image.afu_clusters.name, + json_object_get_string(name), + json_object_get_string_len(name)); + } + + // AFU Total number of contexts + if (get_json_object(&contexts, &cluster, GBS_ACCELERATOR_TOTAL_CONTEXTS)) { + gbs_metadata->afu_image.afu_clusters.total_contexts = json_object_get_int64(contexts); + } + + } else { + OPAE_ERR("No accelerator clusters in metadata"); + result = FPGA_INVALID_PARAM; + goto out_free; + } + } else { + OPAE_ERR("Invalid JSON in metadata"); + result = FPGA_INVALID_PARAM; + goto out_free; + } + +out_free: + if (root) + json_object_put(root); + if (json_metadata) + free(json_metadata); + + return result; +} diff --git a/opae-libs/plugins/xfpga/bitstream_int.h b/opae-libs/plugins/xfpga/bitstream_int.h new file mode 100644 index 0000000..3b61335 --- /dev/null +++ b/opae-libs/plugins/xfpga/bitstream_int.h @@ -0,0 +1,162 @@ +// Copyright(c) 2017-2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifndef __FPGA_BITSTREAM_INT_H__ +#define __FPGA_BITSTREAM_INT_H__ + +#include +#include +#include +#include +#include + +#ifdef __cplusplus +extern "C" { +#endif // __cplusplus + +#define GUID_LEN 36 +#define AFU_NAME_LEN 512 + +// GBS Metadata format /json +struct gbs_metadata { + + double version; // version + + struct afu_image_content { + uint64_t magic_num; // Magic number + char interface_uuid[GUID_LEN + 1]; // Interface id + int clock_frequency_high; // user clock frequency hi + int clock_frequency_low; // user clock frequency low + int power; // power + + struct afu_clusters_content { + char name[AFU_NAME_LEN]; // AFU Name + int total_contexts; // total contexts + char afu_uuid[GUID_LEN + 1]; // afu guid + } afu_clusters; + + } afu_image; + +}; + +/** + * Check the validity of GUID + * + *Extracts the 128 bit guid from passed bitstream + *converts it to fpga_guid type anc checks it against + *expected value + * + * + * @param[in] bitstream Pointer to the bitstream + * @returns FPGA_OK on success + */ +fpga_result check_bitstream_guid(const uint8_t *bitstream); + +/** + * Get total length of bitstream header + * + * Returns the total length of header which is + * GUID + size of variable describing length of metadata + length of metadata + * + * + * @param[in] bitstream Pointer to the bitstream + * @returns int value of length, -1 on failure + */ +int get_bitstream_header_len(const uint8_t *bitstream); + +/** + * Get total length of json metadata in bitstream + * + * Returns the length of the json metadata from the + * bitstream which is represented by a uint32 after the + * GUID + * + * + * @param[in] bitstream Pointer to the bitstream + * @returns int value of length, -1 on failure + */ +int32_t get_bitstream_json_len(const uint8_t *bitstream); + + +/** + * Check bitstream magic no and interface id + * + * Checks the bitstream magic no and interface id + * with expected values + * + * @param[in] handle Handle to previously opened FPGA object + * @param[in] bitstream_magic_no magic no. to be checked + * @param[in] ifid_l lower 64 bits of interface id + * @param[in] ifid_h higher 64 bits of interface id + * @returns FPGA_OK on success + */ +fpga_result check_interface_id(fpga_handle handle, uint32_t bitstream_magic_no, + uint64_t ifid_l, uint64_t ifid_h); + +/** + * Check if the JSON metadata is valid + * + * Reads the bitstream magic no and interface + * id values from the metadata and compares them + * with expected values + * + * @param[in] handle Handle to previously opened FPGA object + * @param[in] bitstream Pointer to the bitstream + * @returns FPGA_OK on success + */ +fpga_result validate_bitstream_metadata(fpga_handle handle, + const uint8_t *bitstream); + +/** + * Reads GBS metadata + * + * Parses GBS JSON metadata. + * + * @param[in] bitstream Pointer to the bitstream + * @param[in] gbs_metadata Pointer to gbs metadata struct + * @returns FPGA_OK on success + */ +fpga_result read_gbs_metadata(const uint8_t *bitstream, + struct gbs_metadata *gbs_metadata); + +/** +* Reads interface id high and low values +* +* Reads interface id from sysfs. +* +* @param[in] handle FME handle +* @param[out] id_l Interface id low +* @param[out] id_h Interface id lHigh +* @returns FPGA_OK on success +*/ +fpga_result get_interface_id(fpga_handle handle, + uint64_t *id_l, uint64_t *id_h); + +#ifdef __cplusplus +} // extern "C" +#endif // __cplusplus + +#endif // __FPGA_BITSTREAM_INT_H__ diff --git a/opae-libs/plugins/xfpga/buffer.c b/opae-libs/plugins/xfpga/buffer.c new file mode 100644 index 0000000..d0d737b --- /dev/null +++ b/opae-libs/plugins/xfpga/buffer.c @@ -0,0 +1,343 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include "opae/access.h" +#include "opae/utils.h" +#include "common_int.h" +#include "intel-fpga.h" + +#include "opae_drv.h" + +#include +#include +#include +#include +#include +#include +#include + +/* + * Allocate (mmap) new buffer + */ +STATIC fpga_result buffer_allocate(void **addr, uint64_t len, int flags) +{ + void *addr_local = NULL; + + UNUSED_PARAM(flags); + + ASSERT_NOT_NULL(addr); + + /* ! FPGA_BUF_PREALLOCATED, allocate memory using huge pages + For buffer > 2M, use 1G-hugepage to ensure pages are + contiguous */ + if (len > 2 * MB) + addr_local = mmap(ADDR, len, PROTECTION, FLAGS_1G, 0, 0); + else if (len > 4 * KB) + addr_local = mmap(ADDR, len, PROTECTION, FLAGS_2M, 0, 0); + else + addr_local = mmap(ADDR, len, PROTECTION, FLAGS_4K, 0, 0); + if (addr_local == MAP_FAILED) { + if (errno == ENOMEM) { + if (len > 2 * MB) + OPAE_MSG("Could not allocate buffer (no free 1 " + "GiB huge pages)"); + if (len > 4 * KB) + OPAE_MSG("Could not allocate buffer (no free 2 " + "MiB huge pages)"); + else + OPAE_MSG("Could not allocate buffer (out of " + "memory)"); + return FPGA_NO_MEMORY; + } + OPAE_MSG("FPGA buffer mmap failed: %s", strerror(errno)); + return FPGA_INVALID_PARAM; + } + + *addr = addr_local; + return FPGA_OK; +} + +/* + * Release (unmap) allocated buffer + */ +STATIC fpga_result buffer_release(void *addr, uint64_t len) +{ + /* If the buffer allocation was backed by hugepages, then + * len must be rounded up to the nearest hugepage size, + * otherwise munmap will fail. + * + * Buffer with size larger than 2MB is backed by 1GB page(s), + * round up the size to the nearest GB boundary. + * + * Buffer with size smaller than 2MB but larger than 4KB is + * backed by a 2MB pages, round up the size to 2MB. + * + * Buffer with size smaller than 4KB is backed by a 4KB page, + * and its size is already 4KB aligned. + */ + + if (len > 2 * MB) + len = (len + (1 * GB - 1)) & (~(1 * GB - 1)); + else if (len > 4 * KB) + len = 2 * MB; + + if (munmap(addr, len)) { + OPAE_MSG("FPGA buffer munmap failed: %s", + strerror(errno)); + return FPGA_INVALID_PARAM; + } + + return FPGA_OK; +} + +fpga_result __XFPGA_API__ xfpga_fpgaPrepareBuffer(fpga_handle handle, uint64_t len, + void **buf_addr, uint64_t *wsid, + int flags) +{ + void *addr = NULL; + fpga_result result = FPGA_OK; + uint64_t io_addr = 0; + struct _fpga_handle *_handle = (struct _fpga_handle *) handle; + int err; + + bool preallocated = (flags & FPGA_BUF_PREALLOCATED); + bool quiet = (flags & FPGA_BUF_QUIET); + + bool read_only = (flags & FPGA_BUF_READ_ONLY); + uint32_t map_flags = (read_only ? FPGA_DMA_TO_DEV : 0); + + uint64_t pg_size; + + result = handle_check_and_lock(_handle); + if (result) + return result; + + /* Assure wsid is a valid pointer */ + if (!wsid) { + OPAE_MSG("WSID is NULL"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + if (flags & (~(FPGA_BUF_PREALLOCATED | FPGA_BUF_QUIET | + FPGA_BUF_READ_ONLY))) { + OPAE_MSG("Unrecognized flags"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + pg_size = (uint64_t) sysconf(_SC_PAGE_SIZE); + + if (preallocated) { + /* A special case: respond FPGA_OK when !buf_addr and !len + * as an indication that FPGA_BUF_PREALLOCATED is supported + * by the library. */ + if (!buf_addr && !len) { + result = FPGA_OK; + goto out_unlock; + } + + /* buffer is already allocated, check addresses */ + if (!buf_addr) { + OPAE_MSG("No preallocated buffer address given"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + if (!(*buf_addr)) { + OPAE_MSG("Preallocated buffer address is NULL"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + /* check length */ + if (!len || (len & (pg_size - 1))) { + OPAE_MSG("Preallocated buffer size is not a non-zero multiple of page size"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + addr = *buf_addr; + } else { + + if (!buf_addr) { + OPAE_MSG("buffer address is NULL"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + if (!len) { + OPAE_MSG("buffer length is zero"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + /* round up to nearest page boundary */ + if (len & (pg_size - 1)) { + len = pg_size + (len & ~(pg_size - 1)); + } + + result = buffer_allocate(&addr, len, flags); + if (result != FPGA_OK) { + goto out_unlock; + } + } + + if (opae_port_map(_handle->fddev, addr, len, map_flags, &io_addr)) { + if (!preallocated) { + buffer_release(addr, len); + } + + if (!quiet) { + OPAE_MSG("FPGA_PORT_DMA_MAP ioctl failed: %s", + strerror(errno)); + } + + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + + /* Generate unique workspace ID */ + *wsid = wsid_gen(); + + /* Add to workspace id in order to store buffer length */ + if (!wsid_add(_handle->wsid_root, *wsid, (uint64_t)addr, io_addr, len, + 0, 0, flags)) { + if (!preallocated) { + buffer_release(addr, len); + } + + OPAE_MSG("Failed to add workspace id %lu", *wsid); + result = FPGA_NO_MEMORY; + goto out_unlock; + } + + + /* Update buf_addr */ + if (buf_addr) + *buf_addr = addr; + + /* Return */ + result = FPGA_OK; + +out_unlock: + err = pthread_mutex_unlock(&_handle->lock); + if (err) { + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + } + return result; +} + +fpga_result __XFPGA_API__ +xfpga_fpgaReleaseBuffer(fpga_handle handle, uint64_t wsid) +{ + void *buf_addr; + uint64_t iova; + uint64_t len; + int err; + + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + fpga_result result = FPGA_NOT_FOUND; + + result = handle_check_and_lock(_handle); + if (result) + return result; + + /* Fetch the buffer physical address and length */ + struct wsid_map *wm = wsid_find(_handle->wsid_root, wsid); + if (!wm) { + OPAE_MSG("WSID not found"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + buf_addr = (void *) wm->addr; + iova = wm->phys; + len = wm->len; + + bool preallocated = (wm->flags & FPGA_BUF_PREALLOCATED); + + if (opae_port_unmap(_handle->fddev, iova)) { + OPAE_MSG("FPGA_PORT_DMA_UNMAP ioctl failed: %s", + strerror(errno)); + result = FPGA_INVALID_PARAM; + goto ws_free; + } + + /* If the buffer was allocated in xfpga_fpgaPrepareBuffer() (i.e. it was not + * preallocated), we need to unmap it here. Otherwise (if it was + * preallocated) the mapping needs to stay intact. */ + if (!preallocated) { + result = buffer_release(buf_addr, len); + if (result != FPGA_OK) { + OPAE_MSG("Buffer release failed"); + goto ws_free; + } + } + + /* Return */ + result = FPGA_OK; + +ws_free: + /* Remove workspace */ + wsid_del(_handle->wsid_root, wsid); + +out_unlock: + err = pthread_mutex_unlock(&_handle->lock); + if (err) { + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + } + return result; +} + +fpga_result __XFPGA_API__ xfpga_fpgaGetIOAddress(fpga_handle handle, uint64_t wsid, + uint64_t *ioaddr) +{ + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + struct wsid_map *wm; + fpga_result result = FPGA_OK; + int err; + + result = handle_check_and_lock(_handle); + if (result) + return result; + + wm = wsid_find(_handle->wsid_root, wsid); + if (!wm) { + OPAE_MSG("WSID not found"); + result = FPGA_NOT_FOUND; + } else { + *ioaddr = wm->phys; + } + + err = pthread_mutex_unlock(&_handle->lock); + if (err) { + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + } + return result; +} diff --git a/opae-libs/plugins/xfpga/close.c b/opae-libs/plugins/xfpga/close.c new file mode 100644 index 0000000..dea8f82 --- /dev/null +++ b/opae-libs/plugins/xfpga/close.c @@ -0,0 +1,93 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include +#include "common_int.h" +#include "wsid_list_int.h" +#include "metrics/metrics_int.h" + +#include +#include +#include + +STATIC void unmap_mmio_region(struct wsid_map *wm) +{ + if (munmap((void *)wm->offset, wm->len)) { + OPAE_MSG("munmap failed: %s", + strerror(errno)); + } +} + +fpga_result __XFPGA_API__ xfpga_fpgaClose(fpga_handle handle) +{ + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + fpga_result result = FPGA_OK; + int err = 0; + + result = handle_check_and_lock(_handle); + if (result) + return result; + + if (-1 == _handle->fddev) { + OPAE_ERR("Invalid handle file descriptor"); + err = pthread_mutex_unlock(&_handle->lock); + if (err) { + OPAE_ERR("pthread_mutex_unlock() failed: %S", strerror(err)); + } + return FPGA_INVALID_PARAM; + } + + wsid_tracker_cleanup(_handle->wsid_root, NULL); + wsid_tracker_cleanup(_handle->mmio_root, unmap_mmio_region); + free_umsg_buffer(handle); + + // free metric enum vector + free_fpga_enum_metrics_vector(_handle); + + close(_handle->fddev); + if (_handle->fdfpgad >= 0) + close(_handle->fdfpgad); + + // invalidate magic (just in case) + _handle->magic = FPGA_INVALID_MAGIC; + + err = pthread_mutex_unlock(&_handle->lock); + if (err) { + OPAE_ERR("pthread_mutex_unlock() failed: %S", strerror(err)); + } + err = pthread_mutex_destroy(&_handle->lock); + if (err) { + OPAE_ERR("pthread_mutex_unlock() failed: %S", strerror(err)); + } + + free(_handle); + + return FPGA_OK; +} diff --git a/opae-libs/plugins/xfpga/common.c b/opae-libs/plugins/xfpga/common.c new file mode 100644 index 0000000..3cb53cd --- /dev/null +++ b/opae-libs/plugins/xfpga/common.c @@ -0,0 +1,163 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include "common_int.h" + +#include +#include +#include +#include + +/* + * Check properties object for validity and lock its mutex + * If prop_check_and_lock() returns FPGA_OK, assume the mutex to be locked. + */ +fpga_result prop_check_and_lock(struct _fpga_properties *prop) +{ + ASSERT_NOT_NULL(prop); + + if (pthread_mutex_lock(&prop->lock)) { + OPAE_MSG("Failed to lock mutex"); + return FPGA_EXCEPTION; + } + + if (prop->magic != FPGA_PROPERTY_MAGIC) { + OPAE_MSG("Invalid properties object"); + int err = pthread_mutex_unlock(&prop->lock); + if (err) { + OPAE_ERR("pthread_mutex_unlock() failed: %S", + strerror(err)); + } + return FPGA_INVALID_PARAM; + } + + return FPGA_OK; +} + +/* + * Check handle object for validity and lock its mutex + * If handle_check_and_lock() returns FPGA_OK, assume the mutex to be locked. + */ +fpga_result handle_check_and_lock(struct _fpga_handle *handle) +{ + ASSERT_NOT_NULL(handle); + + if (pthread_mutex_lock(&handle->lock)) { + OPAE_MSG("Failed to lock mutex"); + return FPGA_EXCEPTION; + } + + + if (handle->magic != FPGA_HANDLE_MAGIC) { + OPAE_MSG("Invalid handle object"); + int err = pthread_mutex_unlock(&handle->lock); + if (err) { + OPAE_ERR("pthread_mutex_unlock() failed: %S", + strerror(err)); + } + return FPGA_INVALID_PARAM; + } + + return FPGA_OK; +} + +/* + * Check event handle object for validity and lock its mutex + * If event_handle_check_and_lock() returns FPGA_OK, assume the mutex to be + * locked. + */ +fpga_result event_handle_check_and_lock(struct _fpga_event_handle *eh) +{ + ASSERT_NOT_NULL(eh); + + if (pthread_mutex_lock(&eh->lock)) { + OPAE_MSG("Failed to lock mutex"); + return FPGA_EXCEPTION; + } + + if (eh->magic != FPGA_EVENT_HANDLE_MAGIC) { + OPAE_MSG("Invalid event handle object"); + int err = pthread_mutex_unlock(&eh->lock); + if (err) { + OPAE_ERR("pthread_mutex_unlock() failed: %S", + strerror(err)); + } + return FPGA_INVALID_PARAM; + } + + return FPGA_OK; +} + +/* mutex to protect global data structures */ +pthread_mutex_t global_lock = PTHREAD_RECURSIVE_MUTEX_INITIALIZER_NP; + +const char __XFPGA_API__ *xfpga_fpgaErrStr(fpga_result e) +{ + switch (e) { + case FPGA_OK: + return "success"; + case FPGA_INVALID_PARAM: + return "invalid parameter"; + case FPGA_BUSY: + return "resource busy"; + case FPGA_EXCEPTION: + return "exception"; + case FPGA_NOT_FOUND: + return "not found"; + case FPGA_NO_MEMORY: + return "no memory"; + case FPGA_NOT_SUPPORTED: + return "not supported"; + case FPGA_NO_DRIVER: + return "no driver available"; + case FPGA_NO_DAEMON: + return "no fpga daemon running"; + case FPGA_NO_ACCESS: + return "insufficient privileges"; + case FPGA_RECONF_ERROR: + return "reconfiguration error"; + default: + return "unknown error"; + } +} + +/** + * @brief Generate unique workspace ID number + * + * @return id identifier + */ +uint64_t wsid_gen(void) +{ + static uint64_t ctr; + + uint64_t id = __sync_fetch_and_add(&ctr, 1); + id ^= ((unsigned long) getpid() % 16777216) << 40; + return id; +} diff --git a/opae-libs/plugins/xfpga/common_int.h b/opae-libs/plugins/xfpga/common_int.h new file mode 100644 index 0000000..12e817b --- /dev/null +++ b/opae-libs/plugins/xfpga/common_int.h @@ -0,0 +1,92 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifndef __FPGA_COMMON_INT_H__ +#define __FPGA_COMMON_INT_H__ +#ifndef _GNU_SOURCE +#define _GNU_SOURCE +#endif +#include +#include +#include /* bool type */ +#include /* malloc */ +#include /* exit */ +#include /* printf */ +#include /* memcpy */ +#include /* getpid */ +#include /* pid_t */ +#include /* ioctl */ +#include /* mmap & munmap */ +#include /* struct timeval */ +#include +#undef _GNU_SOURCE + +#include +#include "types_int.h" +#include "sysfs_int.h" +#include "wsid_list_int.h" +#include "token_list_int.h" +#include "mmap_int.h" +#include "props.h" + +#define GCC_VERSION (__GNUC__ * 10000 \ + + __GNUC_MINOR__ * 100 \ + + __GNUC_PATCHLEVEL__) + +#define KB 1024 +#define MB (1024 * KB) +#define GB (1024UL * MB) + +#define PROTECTION (PROT_READ | PROT_WRITE) + +#ifndef MAP_HUGETLB +#define MAP_HUGETLB 0x40000 +#endif +#ifndef MAP_HUGE_SHIFT +#define MAP_HUGE_SHIFT 26 +#endif + +#define MAP_2M_HUGEPAGE (0x15 << MAP_HUGE_SHIFT) /* 2 ^ 0x15 = 2M */ +#define MAP_1G_HUGEPAGE (0x1e << MAP_HUGE_SHIFT) /* 2 ^ 0x1e = 1G */ + +#ifdef __ia64__ +#define ADDR (void *)(0x8000000000000000UL) +#define FLAGS_4K (MAP_PRIVATE | MAP_ANONYMOUS | MAP_FIXED) +#define FLAGS_2M (FLAGS_4K | MAP_2M_HUGEPAGE | MAP_HUGETLB) +#define FLAGS_1G (FLAGS_4K | MAP_1G_HUGEPAGE | MAP_HUGETLB) +#else +#define ADDR (void *)(0x0UL) +#define FLAGS_4K (MAP_PRIVATE | MAP_ANONYMOUS) +#define FLAGS_2M (FLAGS_4K | MAP_2M_HUGEPAGE | MAP_HUGETLB) +#define FLAGS_1G (FLAGS_4K | MAP_1G_HUGEPAGE | MAP_HUGETLB) +#endif + +/* Check validity of various objects */ +fpga_result prop_check_and_lock(struct _fpga_properties *prop); +fpga_result handle_check_and_lock(struct _fpga_handle *handle); +fpga_result event_handle_check_and_lock(struct _fpga_event_handle *eh); + +#endif // ___FPGA_COMMON_INT_H__ diff --git a/opae-libs/plugins/xfpga/enum.c b/opae-libs/plugins/xfpga/enum.c new file mode 100644 index 0000000..f3369e5 --- /dev/null +++ b/opae-libs/plugins/xfpga/enum.c @@ -0,0 +1,722 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include +#include +#include +#include +#include +#include +#include +#include + +#include "xfpga.h" +#include "common_int.h" +#include "error_int.h" +#include "props.h" + +/* mutex to protect global data structures */ +extern pthread_mutex_t global_lock; + +struct dev_list { + char sysfspath[SYSFS_PATH_MAX]; + char devpath[DEV_PATH_MAX]; + fpga_objtype objtype; + fpga_guid guid; + uint16_t segment; + uint8_t bus; + uint8_t device; + uint8_t function; + uint8_t socket_id; + uint16_t vendor_id; + uint16_t device_id; + + uint32_t fpga_num_slots; + uint64_t fpga_bitstream_id; + fpga_version fpga_bbs_version; + + fpga_accelerator_state accelerator_state; + uint32_t accelerator_num_mmios; + uint32_t accelerator_num_irqs; + struct dev_list *next; + struct dev_list *parent; + struct dev_list *fme; +}; + +STATIC bool matches_filter(const struct dev_list *attr, const fpga_properties filter) +{ + struct _fpga_properties *_filter = (struct _fpga_properties *)filter; + bool res = true; + int err = 0; + char buffer[PATH_MAX] = {0}; + + if (pthread_mutex_lock(&_filter->lock)) { + OPAE_MSG("Failed to lock filter mutex"); + return false; + } + + if (FIELD_VALID(_filter, FPGA_PROPERTY_PARENT)) { + struct _fpga_token *_parent_tok = + (struct _fpga_token *)_filter->parent; + char spath[PATH_MAX] = {0}; + + if (FPGA_ACCELERATOR != attr->objtype) { + res = false; // Only accelerator can have a parent + goto out_unlock; + } + + if (NULL == _parent_tok) { + res = false; // Reject search based on NULL parent token + goto out_unlock; + } + + if (sysfs_get_fme_path(attr->sysfspath, spath) != FPGA_OK) { + res = false; + goto out_unlock; + } + // sysfs_get_fme_path returns the real path + // compare that agains the realpath of the parent_tok + if (!realpath(_parent_tok->sysfspath, buffer)) { + res = false; + goto out_unlock; + } + if (strcmp(spath, buffer)) { + res = false; + goto out_unlock; + } + } + + if (FIELD_VALID(_filter, FPGA_PROPERTY_OBJTYPE)) { + if (_filter->objtype != attr->objtype) { + res = false; + goto out_unlock; + } + } + + if (FIELD_VALID(_filter, FPGA_PROPERTY_SEGMENT)) { + if (_filter->segment != attr->segment) { + res = false; + goto out_unlock; + } + } + + if (FIELD_VALID(_filter, FPGA_PROPERTY_BUS)) { + if (_filter->bus != attr->bus) { + res = false; + goto out_unlock; + } + } + + if (FIELD_VALID(_filter, FPGA_PROPERTY_DEVICE)) { + if (_filter->device != attr->device) { + res = false; + goto out_unlock; + } + } + + if (FIELD_VALID(_filter, FPGA_PROPERTY_FUNCTION)) { + if (_filter->function != attr->function) { + res = false; + goto out_unlock; + } + } + + if (FIELD_VALID(_filter, FPGA_PROPERTY_SOCKETID)) { + if (_filter->socket_id != attr->socket_id) { + res = false; + goto out_unlock; + } + } + + if (FIELD_VALID(_filter, FPGA_PROPERTY_GUID)) { + if (0 != memcmp(attr->guid, _filter->guid, sizeof(fpga_guid))) { + res = false; + goto out_unlock; + } + } + + if (FIELD_VALID(_filter, FPGA_PROPERTY_OBJECTID)) { + uint64_t objid; + fpga_result result; + result = sysfs_objectid_from_path(attr->sysfspath, &objid); + if (result != FPGA_OK || _filter->object_id != objid) { + res = false; + goto out_unlock; + } + } + + if (FIELD_VALID(_filter, FPGA_PROPERTY_VENDORID)) { + if (_filter->vendor_id != attr->vendor_id) { + res = false; + goto out_unlock; + } + } + + if (FIELD_VALID(_filter, FPGA_PROPERTY_DEVICEID)) { + if (_filter->device_id != attr->device_id) { + res = false; + goto out_unlock; + } + } + + if (FIELD_VALID(_filter, FPGA_PROPERTY_NUM_ERRORS)) { + uint32_t errors; + char errpath[SYSFS_PATH_MAX] = { 0, }; + + if (snprintf(errpath, sizeof(errpath), + "%s/errors", attr->sysfspath) < 0) { + OPAE_ERR("snprintf buffer overflow"); + res = false; + goto out_unlock; + } + + errors = count_error_files(errpath); + if (errors != _filter->num_errors) { + res = false; + goto out_unlock; + } + } + + if (FIELD_VALID(_filter, FPGA_PROPERTY_OBJTYPE) + && (FPGA_DEVICE == _filter->objtype)) { + + if (FIELD_VALID(_filter, FPGA_PROPERTY_NUM_SLOTS)) { + if ((FPGA_DEVICE != attr->objtype) + || (attr->fpga_num_slots + != _filter->u.fpga.num_slots)) { + res = false; + goto out_unlock; + } + } + + if (FIELD_VALID(_filter, FPGA_PROPERTY_BBSID)) { + if ((FPGA_DEVICE != attr->objtype) + || (attr->fpga_bitstream_id + != _filter->u.fpga.bbs_id)) { + res = false; + goto out_unlock; + } + } + + if (FIELD_VALID(_filter, FPGA_PROPERTY_BBSVERSION)) { + if ((FPGA_DEVICE != attr->objtype) + || (attr->fpga_bbs_version.major + != _filter->u.fpga.bbs_version.major) + || (attr->fpga_bbs_version.minor + != _filter->u.fpga.bbs_version.minor) + || (attr->fpga_bbs_version.patch + != _filter->u.fpga.bbs_version.patch)) { + res = false; + goto out_unlock; + } + } + + } else if (FIELD_VALID(_filter, FPGA_PROPERTY_OBJTYPE) + && (FPGA_ACCELERATOR == _filter->objtype)) { + + if (FIELD_VALID(_filter, FPGA_PROPERTY_ACCELERATOR_STATE)) { + if ((FPGA_ACCELERATOR != attr->objtype) + || (attr->accelerator_state + != _filter->u.accelerator.state)) { + res = false; + goto out_unlock; + } + } + + if (FIELD_VALID(_filter, FPGA_PROPERTY_NUM_MMIO)) { + if ((FPGA_ACCELERATOR != attr->objtype) + || (attr->accelerator_num_mmios + != _filter->u.accelerator.num_mmio)) { + res = false; + goto out_unlock; + } + } + + if (FIELD_VALID(_filter, FPGA_PROPERTY_NUM_INTERRUPTS)) { + if ((FPGA_ACCELERATOR != attr->objtype) + || (attr->accelerator_num_irqs + != _filter->u.accelerator.num_interrupts)) { + res = false; + goto out_unlock; + } + } + } + +out_unlock: + err = pthread_mutex_unlock(&_filter->lock); + if (err) { + OPAE_ERR("pthread_mutex_unlock() failed: %S", strerror(err)); + } + return res; +} + +STATIC bool matches_filters(const struct dev_list *attr, const fpga_properties *filter, + uint32_t num_filter) +{ + uint32_t i; + + if (!num_filter) // no filter == match everything + return true; + + for (i = 0; i < num_filter; ++i) { + if (matches_filter(attr, filter[i])) { + return true; + } + } + return false; +} + +STATIC struct dev_list *add_dev(const char *sysfspath, const char *devpath, + struct dev_list *parent) +{ + struct dev_list *pdev; + size_t len; + + pdev = (struct dev_list *)calloc(1, sizeof(*pdev)); + if (NULL == pdev) + return NULL; + + len = strnlen(sysfspath, sizeof(pdev->sysfspath) - 1); + memcpy(pdev->sysfspath, sysfspath, len); + pdev->sysfspath[len] = '\0'; + + len = strnlen(devpath, sizeof(pdev->devpath) - 1); + memcpy(pdev->devpath, devpath, len); + pdev->devpath[len] = '\0'; + + pdev->next = parent->next; + parent->next = pdev; + + pdev->parent = parent; + + return pdev; +} + +STATIC fpga_result enum_fme(const char *sysfspath, const char *name, + struct dev_list *parent) +{ + fpga_result result; + struct stat stats; + struct dev_list *pdev; + char dpath[DEV_PATH_MAX]; + int resval = 0; + uint64_t value = 0; + + // Make sure it's a directory. + if (stat(sysfspath, &stats) != 0) { + OPAE_MSG("stat failed: %s", strerror(errno)); + return FPGA_NOT_FOUND; + } + + if (!S_ISDIR(stats.st_mode)) + return FPGA_OK; + + snprintf(dpath, sizeof(dpath), + FPGA_DEV_PATH "/%s", name); + + pdev = add_dev(sysfspath, dpath, parent); + if (!pdev) { + OPAE_MSG("Failed to allocate device"); + return FPGA_NO_MEMORY; + } + + pdev->objtype = FPGA_DEVICE; + + pdev->segment = parent->segment; + pdev->bus = parent->bus; + pdev->device = parent->device; + pdev->function = parent->function; + pdev->vendor_id = parent->vendor_id; + pdev->device_id = parent->device_id; + + // Discover the FME GUID from sysfs (pr/interface_id) + result = sysfs_get_fme_pr_interface_id(sysfspath, pdev->guid); + if (FPGA_OK != result) { + OPAE_MSG("Failed to get PR interface id"); + return result; + } + + // Discover the socket id from the FME's sysfs entry. + if (sysfs_path_is_valid(sysfspath, FPGA_SYSFS_SOCKET_ID) == FPGA_OK) { + + resval = sysfs_parse_attribute64(sysfspath, FPGA_SYSFS_SOCKET_ID, &value); + if (resval != 0) { + return FPGA_NOT_FOUND; + } + parent->socket_id = (uint8_t)value; + } + + // Read number of slots + resval = sysfs_parse_attribute64(sysfspath, FPGA_SYSFS_NUM_SLOTS, &value); + if (resval != 0) { + return FPGA_NOT_FOUND; + } + pdev->fpga_num_slots = (uint32_t) value; + + // Read bitstream id + resval = sysfs_parse_attribute64(sysfspath, FPGA_SYSFS_BITSTREAM_ID, &pdev->fpga_bitstream_id); + if (resval != 0) { + return FPGA_NOT_FOUND; + } + + pdev->fpga_bbs_version.major = + FPGA_BBS_VER_MAJOR(pdev->fpga_bitstream_id); + pdev->fpga_bbs_version.minor = + FPGA_BBS_VER_MINOR(pdev->fpga_bitstream_id); + pdev->fpga_bbs_version.patch = + FPGA_BBS_VER_PATCH(pdev->fpga_bitstream_id); + + parent->fme = pdev; + return FPGA_OK; +} + +STATIC fpga_result enum_afu(const char *sysfspath, const char *name, + struct dev_list *parent) +{ + fpga_result result; + int resval = 0; + struct stat stats; + struct dev_list *pdev; + char spath[PATH_MAX] = { 0, }; + char dpath[DEV_PATH_MAX] = { 0, }; + uint64_t value = 0; + + // Make sure it's a directory. + if (stat(sysfspath, &stats) != 0) { + OPAE_ERR("stat failed: %s", strerror(errno)); + return FPGA_NOT_FOUND; + } + + if (!S_ISDIR(stats.st_mode)) + return FPGA_OK; + int res; + + snprintf(dpath, sizeof(dpath), FPGA_DEV_PATH "/%s", name); + + pdev = add_dev(sysfspath, dpath, parent); + if (!pdev) { + OPAE_ERR("Failed to allocate device"); + return FPGA_NO_MEMORY; + } + + pdev->objtype = FPGA_ACCELERATOR; + + pdev->segment = parent->segment; + pdev->bus = parent->bus; + pdev->device = parent->device; + pdev->function = parent->function; + pdev->vendor_id = parent->vendor_id; + pdev->device_id = parent->device_id; + pdev->socket_id = parent->socket_id = 0; + // get the socket id from the fme + if (sysfs_get_fme_path(sysfspath, spath) == FPGA_OK) { + resval = sysfs_parse_attribute64(spath, FPGA_SYSFS_SOCKET_ID, &value); + if (resval) { + OPAE_MSG("error reading socket_id"); + } else { + pdev->socket_id = parent->socket_id = value; + } + } + + res = open(pdev->devpath, O_RDWR); + if (-1 == res) { + pdev->accelerator_state = FPGA_ACCELERATOR_ASSIGNED; + } else { + close(res); + pdev->accelerator_state = FPGA_ACCELERATOR_UNASSIGNED; + } + + // FIXME: not to rely on hard-coded constants. + pdev->accelerator_num_mmios = 2; + pdev->accelerator_num_irqs = 0; + + // Discover the AFU GUID from sysfs. + snprintf(spath, sizeof(spath), + "%s/" FPGA_SYSFS_AFU_GUID, sysfspath); + + result = sysfs_read_guid(spath, pdev->guid); + /* if we can't read the afu_id, remove device from list */ + if (FPGA_OK != result) { + OPAE_MSG("Could not read afu_id from '%s', ignoring", spath); + parent->next = pdev->next; + free(pdev); + } + + return FPGA_OK; +} + +typedef struct _enum_region_ctx{ + struct dev_list *list; + bool include_port; +} enum_region_ctx; + +STATIC fpga_result enum_regions(const sysfs_fpga_device *device, void *context) +{ + enum_region_ctx *ctx = (enum_region_ctx *)context; + fpga_result result = FPGA_OK; + struct dev_list *pdev = add_dev(device->sysfs_path, "", ctx->list); + if (!pdev) { + OPAE_MSG("Failed to allocate device"); + return FPGA_NO_MEMORY; + } + // Assign bus, function, device + // segment,device_id ,vendor_id + pdev->function = device->function; + pdev->segment = device->segment; + pdev->bus = device->bus; + pdev->device = device->device; + pdev->device_id = device->device_id; + pdev->vendor_id = device->vendor_id; + + // Enum fme + if (device->fme) { + result = enum_fme(device->fme->sysfs_path, + device->fme->sysfs_name, pdev); + if (result != FPGA_OK) { + OPAE_ERR("Failed to enum FME"); + return result; + } + } + + // Enum port + if (device->port && ctx->include_port) { + result = enum_afu(device->port->sysfs_path, + device->port->sysfs_name, pdev); + if (result != FPGA_OK) { + OPAE_ERR("Failed to enum PORT"); + return result; + } + } + return FPGA_OK; +} + +STATIC fpga_result enum_fpga_region_resources(struct dev_list *list, + bool include_port) +{ + enum_region_ctx ctx = {.list = list, .include_port = include_port}; + + return sysfs_foreach_device(enum_regions, &ctx); +} + + +/// Determine if filters require reading AFUs +/// +/// Return true if any of the following conditions are met: +/// * The number of filters is zero +/// * At least one filter specifies FPGA_ACCELERATOR as object type +/// * At least one filter does NOT specify an object type +/// Return false otherwise +bool include_afu(const fpga_properties *filters, uint32_t num_filters) +{ + size_t i = 0; + if (!num_filters) + return true; + for (i = 0; i < num_filters; ++i) { + struct _fpga_properties *_filter = + (struct _fpga_properties *)filters[i]; + if (FIELD_VALID(_filter, FPGA_PROPERTY_OBJTYPE)) { + if (_filter->objtype == FPGA_ACCELERATOR) { + return true; + } + } else { + return true; + } + } + return false; +} + +fpga_result __XFPGA_API__ xfpga_fpgaEnumerate(const fpga_properties *filters, + uint32_t num_filters, fpga_token *tokens, + uint32_t max_tokens, + uint32_t *num_matches) +{ + fpga_result result = FPGA_NOT_FOUND; + + + struct dev_list head; + struct dev_list *lptr; + + if (NULL == num_matches) { + OPAE_MSG("num_matches is NULL"); + return FPGA_INVALID_PARAM; + } + + /* requiring a max number of tokens, but not providing a pointer to + * return them through is invalid */ + if ((max_tokens > 0) && (NULL == tokens)) { + OPAE_MSG("max_tokens > 0 with NULL tokens"); + return FPGA_INVALID_PARAM; + } + + if ((num_filters > 0) && (NULL == filters)) { + OPAE_MSG("num_filters > 0 with NULL filters"); + return FPGA_INVALID_PARAM; + } + + if (!num_filters && (NULL != filters)) { + OPAE_MSG("num_filters == 0 with non-NULL filters"); + return FPGA_INVALID_PARAM; + } + + *num_matches = 0; + + memset(&head, 0, sizeof(head)); + + //enum FPGA regions & resources + result = enum_fpga_region_resources(&head, + include_afu(filters, num_filters)); + + if (result != FPGA_OK) { + OPAE_MSG("No FPGA resources found"); + return result; + } + + /* create and populate token data structures */ + for (lptr = head.next; NULL != lptr; lptr = lptr->next) { + struct _fpga_token *_tok; + + if (!strnlen(lptr->devpath, sizeof(lptr->devpath))) + continue; + + // propagate the socket_id field. + lptr->socket_id = lptr->parent->socket_id; + lptr->fme = lptr->parent->fme; + + /* FIXME: do we need to keep a global list of tokens? */ + /* For now we do becaue it is used in xfpga_fpgaUpdateProperties + * to lookup a parent from the global list of tokens...*/ + _tok = token_add(lptr->sysfspath, lptr->devpath); + + if (NULL == _tok) { + OPAE_MSG("Failed to allocate memory for token"); + result = FPGA_NO_MEMORY; + goto out_free_trash; + } + + // FIXME: should check contents of filter for token magic + if (matches_filters(lptr, filters, num_filters)) { + if (*num_matches < max_tokens) { + if (xfpga_fpgaCloneToken(_tok, &tokens[*num_matches]) + != FPGA_OK) { + // FIXME: should we error out here? + OPAE_MSG("Error cloning token"); + } + } + ++(*num_matches); + } + } + +out_free_trash: + /* FIXME: should this live in a separate function? */ + for (lptr = head.next; NULL != lptr;) { + struct dev_list *trash = lptr; + lptr = lptr->next; + free(trash); + } + + return result; +} + +fpga_result __XFPGA_API__ xfpga_fpgaCloneToken(fpga_token src, fpga_token *dst) +{ + struct _fpga_token *_src = (struct _fpga_token *)src; + struct _fpga_token *_dst; + size_t len; + + if (NULL == src || NULL == dst) { + OPAE_MSG("src or dst in NULL"); + return FPGA_INVALID_PARAM; + } + + if (_src->magic != FPGA_TOKEN_MAGIC) { + OPAE_MSG("Invalid src"); + return FPGA_INVALID_PARAM; + } + + _dst = calloc(1, sizeof(struct _fpga_token)); + if (NULL == _dst) { + OPAE_MSG("Failed to allocate memory for token"); + return FPGA_NO_MEMORY; + } + + _dst->magic = FPGA_TOKEN_MAGIC; + _dst->device_instance = _src->device_instance; + _dst->subdev_instance = _src->subdev_instance; + + len = strnlen(_src->sysfspath, sizeof(_src->sysfspath) - 1); + strncpy(_dst->sysfspath, _src->sysfspath, len + 1); + + len = strnlen(_src->devpath, sizeof(_src->devpath) - 1); + strncpy(_dst->devpath, _src->devpath, len + 1); + + // shallow-copy error list + _dst->errors = _src->errors; + + *dst = _dst; + + return FPGA_OK; +} + +fpga_result __XFPGA_API__ xfpga_fpgaDestroyToken(fpga_token *token) +{ + fpga_result result = FPGA_OK; + int err = 0; + + if (NULL == token || NULL == *token) { + OPAE_MSG("Invalid token pointer"); + return FPGA_INVALID_PARAM; + } + + struct _fpga_token *_token = (struct _fpga_token *)*token; + + if (pthread_mutex_lock(&global_lock)) { + OPAE_MSG("Failed to lock global mutex"); + return FPGA_EXCEPTION; + } + + if (_token->magic != FPGA_TOKEN_MAGIC) { + OPAE_MSG("Invalid token"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + // invalidate magic (just in case) + _token->magic = FPGA_INVALID_MAGIC; + + free(*token); + *token = NULL; + +out_unlock: + err = pthread_mutex_unlock(&global_lock); + if (err) { + OPAE_ERR("pthread_mutex_unlock() failed: %S", strerror(err)); + } + return result; +} diff --git a/opae-libs/plugins/xfpga/error.c b/opae-libs/plugins/xfpga/error.c new file mode 100644 index 0000000..5e000c1 --- /dev/null +++ b/opae-libs/plugins/xfpga/error.c @@ -0,0 +1,373 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include +#include +#include +#include + +#include "common_int.h" +#include "opae/error.h" + +#include "error_int.h" + +#define INJECT_ERROR "inject_error" + +fpga_result __XFPGA_API__ xfpga_fpgaReadError(fpga_token token, uint32_t error_num, uint64_t *value) +{ + struct _fpga_token *_token = (struct _fpga_token *)token; + struct stat st; + uint32_t i = 0; + fpga_result res = FPGA_OK; + + ASSERT_NOT_NULL(token); + if (_token->magic != FPGA_TOKEN_MAGIC) { + OPAE_MSG("Invalid token"); + return FPGA_INVALID_PARAM; + } + + struct error_list *p = _token->errors; + while (p) { + if (i == error_num) { + // test if file exists + if (stat(p->error_file, &st) == -1) { + OPAE_MSG("can't stat %s", p->error_file); + return FPGA_EXCEPTION; + } + res = sysfs_read_u64(p->error_file, value); + if (res != FPGA_OK) { + OPAE_MSG("can't read error file '%s'", p->error_file); + return res; + } + + return FPGA_OK; + } + i++; + p = p->next; + } + + OPAE_MSG("error %d not found", error_num); + return FPGA_NOT_FOUND; +} + +fpga_result __XFPGA_API__ +xfpga_fpgaClearError(fpga_token token, uint32_t error_num) +{ + struct _fpga_token *_token = (struct _fpga_token *)token; + struct stat st; + uint32_t i = 0; + uint64_t value = 0; + fpga_result res = FPGA_OK; + + ASSERT_NOT_NULL(token); + if (_token->magic != FPGA_TOKEN_MAGIC) { + OPAE_MSG("Invalid token"); + return FPGA_INVALID_PARAM; + } + + struct error_list *p = _token->errors; + while (p) { + if (i == error_num) { + if (!p->info.can_clear) { + OPAE_MSG("can't clear error '%s'", p->info.name); + return FPGA_NOT_SUPPORTED; + } + + if (strcmp(p->info.name, INJECT_ERROR) == 0) { + value = 0; + } else { + // read current error value + res = xfpga_fpgaReadError(token, error_num, &value); + if (res != FPGA_OK) + return res; + } + + // write to 'clear' file + if (stat(p->clear_file, &st) == -1) { + OPAE_MSG("can't stat %s", p->clear_file); + return FPGA_EXCEPTION; + } + res = sysfs_write_u64(p->clear_file, value); + if (res != FPGA_OK) { + OPAE_MSG("can't write clear file '%s'", p->clear_file); + return res; + } + return FPGA_OK; + } + i++; + p = p->next; + } + + OPAE_MSG("error info %d not found", error_num); + return FPGA_NOT_FOUND; +} + +fpga_result __XFPGA_API__ xfpga_fpgaClearAllErrors(fpga_token token) +{ + struct _fpga_token *_token = (struct _fpga_token *)token; + uint32_t i = 0; + fpga_result res = FPGA_OK; + + ASSERT_NOT_NULL(token); + if (_token->magic != FPGA_TOKEN_MAGIC) { + OPAE_MSG("Invalid token"); + return FPGA_INVALID_PARAM; + } + + struct error_list *p = _token->errors; + while (p) { + // if error can be cleared + if (p->info.can_clear) { + // clear error + res = xfpga_fpgaClearError(token, i); + if (res != FPGA_OK) + return res; + } + i++; + p = p->next; + } + + return FPGA_OK; +} + +fpga_result __XFPGA_API__ xfpga_fpgaGetErrorInfo(fpga_token token, + uint32_t error_num, + struct fpga_error_info *error_info) +{ + struct _fpga_token *_token = (struct _fpga_token *)token; + uint32_t i = 0; + + if (!error_info) { + OPAE_MSG("error_info is NULL"); + return FPGA_INVALID_PARAM; + } + + ASSERT_NOT_NULL(token); + if (_token->magic != FPGA_TOKEN_MAGIC) { + OPAE_MSG("Invalid token"); + return FPGA_INVALID_PARAM; + } + + struct error_list *p = _token->errors; + while (p) { + if (i == error_num) { + memcpy(error_info, &p->info, sizeof(struct fpga_error_info)); + return FPGA_OK; + } + i++; + p = p->next; + } + + OPAE_MSG("error info %d not found", error_num); + return FPGA_NOT_FOUND; +} + +/* files and directories to ignore when looking for errors */ +#define NUM_ERRORS_EXCLUDE 4 +const char *errors_exclude[NUM_ERRORS_EXCLUDE] = { + "revision", + "uevent", + "power", + "clear" +}; + +/* files that can be cleared by writing their value to them */ +#define NUM_ERRORS_CLEARABLE 6 +const char *errors_clearable[] = { + "pcie0_errors", + "pcie1_errors", + "warning_errors", + "inject_error", + "fme_errors", + "errors" +}; + +/* Walks the given directory and adds error entries to `list`. + * This function is called during enumeration when adding tokens to + * the global tokens list. When tokens are cloned, their error + * lists are only shallowly copied (which works because errors of + * a token never change). + * Note that build_error_list() does not check for dupliates; if + * called again on the same list, it will add all found errors again. + * Returns the number of error entries added to `list` */ +uint32_t +build_error_list(const char *path, struct error_list **list) +{ + struct dirent *de; + DIR *dir; + struct stat st; + char basedir[FILENAME_MAX] = { 0, }; + int len; + int subpath_len = 0; + uint32_t n = 0; + unsigned int i; + struct error_list **el = list; + + len = strnlen(path, FILENAME_MAX - 1); + + // add 3 to the len + // 1 for the '/' char + // 1 for the minimum length of a file appended + // 1 for null string to terminate + // if we go over now, then leave without doing anything else + if (len+3 > FILENAME_MAX) { + OPAE_MSG("path too long"); + return 0; + } + + len = snprintf(basedir, sizeof(basedir), + "%s/", path); + + // now we've added one to length + + dir = opendir(path); + if (!dir) { + OPAE_MSG("unable to open %s", path); + return 0; + } + + while ((de = readdir(dir))) { + size_t blen; + size_t dlen; + + // skip hidden ('.*') files (includes "." and "..") + if (de->d_name[0] == '.') + continue; + + // skip names on blacklist + for (i = 0; i < NUM_ERRORS_EXCLUDE; i++) { + if (strcmp(de->d_name, errors_exclude[i]) == 0) { + break; + } + } + if (i < NUM_ERRORS_EXCLUDE) + continue; + + subpath_len = strnlen(de->d_name, sizeof(de->d_name) - 1); + + // check if the result abs path is longer than our max + if (len + subpath_len > FILENAME_MAX) { + OPAE_MSG("Error path length is too long"); + continue; + } + + // build absolute path + // dmax (arg2) is restricted max length of resulting dest, + // including null - it must also be at least smax+1 (arg4) + strncpy(basedir + len, de->d_name, subpath_len + 1); + + // try accessing file/dir + if (lstat(basedir, &st) == -1) { + OPAE_MSG("can't stat %s", basedir); + continue; + } + + // skip symlinks + if (S_ISLNK(st.st_mode)) + continue; + + // recursively dive into subdirectories + if (S_ISDIR(st.st_mode)) { + n += build_error_list(basedir, el); + continue; + } + + // not blacklisted, not hidden, accessible, no symlink, no dir -> count and append it! + n++; + if (!el) // no list + continue; + + // append error info to list + struct error_list *new_entry = malloc(sizeof(struct error_list)); + if (!new_entry) { + OPAE_MSG("can't allocate memory"); + n--; + break; + } + + dlen = strnlen(de->d_name, sizeof(new_entry->info.name) - 1); + memcpy(new_entry->info.name, de->d_name, dlen); + new_entry->info.name[dlen] = '\0'; + + blen = strnlen(basedir, sizeof(new_entry->error_file) - 1); + memcpy(new_entry->error_file, basedir, blen); + new_entry->error_file[blen] = '\0'; + + new_entry->next = NULL; + // Errors can be cleared: + // * if the name is "errors" and there is a file called "clear" (generic case), OR + // * if the name is in the "errors_clearable" table + new_entry->info.can_clear = false; + if (strcmp(de->d_name, "errors") == 0 && + !stat(FPGA_SYSFS_CLASS_PATH_INTEL, &st)) { + strncpy(basedir + len, "clear", 6); + // try accessing clear file + if (lstat(basedir, &st) != -1) { + new_entry->info.can_clear = true; + memcpy(new_entry->clear_file, basedir, blen); + new_entry->clear_file[blen] = '\0'; + } + } else { + for (i = 0; i < NUM_ERRORS_CLEARABLE; i++) { + if (strcmp(de->d_name, errors_clearable[i]) == 0) { + memcpy(basedir + len, de->d_name, dlen); + *(basedir + len + dlen) = '\0'; + // try accessing clear file + if (lstat(basedir, &st) != -1) { + new_entry->info.can_clear = true; + memcpy(new_entry->clear_file, basedir, blen); + new_entry->clear_file[blen] = '\0'; + } + } + } + } + + if (new_entry && !new_entry->info.can_clear) { + memset(new_entry->clear_file, 0, sizeof(new_entry->clear_file)); + } + + // find end of list + while (*el) + el = &(*el)->next; + + // append + if (new_entry) + *el = new_entry; + el = &new_entry->next; + } + closedir(dir); + + return n; +} + +uint32_t count_error_files(const char *path) +{ + return build_error_list(path, NULL); +} diff --git a/opae-libs/plugins/xfpga/error_int.h b/opae-libs/plugins/xfpga/error_int.h new file mode 100644 index 0000000..a930973 --- /dev/null +++ b/opae-libs/plugins/xfpga/error_int.h @@ -0,0 +1,52 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifndef __FPGA_ERROR_INT_H__ +#define __FPGA_ERROR_INT_H__ + +#include + +#include "sysfs_int.h" + +#ifdef __cplusplus +extern "C" { +#endif + +struct error_list { + struct fpga_error_info info; + struct error_list *next; + char error_file[SYSFS_PATH_MAX]; + char clear_file[SYSFS_PATH_MAX]; +}; + +uint32_t count_error_files(const char *path); +uint32_t build_error_list(const char *path, struct error_list **list); + +#ifdef __cplusplus +} // extern "C" +#endif // __cplusplus + +#endif // ___FPGA_ERROR_INT_H__ diff --git a/opae-libs/plugins/xfpga/event.c b/opae-libs/plugins/xfpga/event.c new file mode 100644 index 0000000..1572728 --- /dev/null +++ b/opae-libs/plugins/xfpga/event.c @@ -0,0 +1,740 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#ifndef _GNU_SOURCE +#define _GNU_SOURCE +#endif // _GNU_SOURCE + +#include +#include +#include +#include +#include + +#include +#include "xfpga.h" +#include "common_int.h" +#include "opae_drv.h" +#include "types_int.h" +#include "intel-fpga.h" + +#define EVENT_SOCKET_NAME "/tmp/fpga_event_socket" +#define EVENT_SOCKET_NAME_LEN 23 + +enum request_type { REGISTER_EVENT = 0, UNREGISTER_EVENT = 1 }; + +struct event_request { + enum request_type type; + fpga_event_type event; + uint64_t object_id; +}; + +fpga_result send_event_request(int conn_socket, int fd, + struct event_request *req) +{ + struct msghdr mh; + struct cmsghdr *cmh; + struct iovec iov[1]; + char buf[CMSG_SPACE(sizeof(int))]; + ssize_t n; + int *fd_ptr; + + /* set up ancillary data message header */ + iov[0].iov_base = req; + iov[0].iov_len = sizeof(*req); + memset(buf, 0, sizeof(buf)); + mh.msg_name = NULL; + mh.msg_namelen = 0; + mh.msg_iov = iov; + mh.msg_iovlen = sizeof(iov) / sizeof(iov[0]); + mh.msg_control = buf; + mh.msg_controllen = CMSG_LEN(sizeof(int)); + mh.msg_flags = 0; + cmh = CMSG_FIRSTHDR(&mh); + cmh->cmsg_len = CMSG_LEN(sizeof(int)); + cmh->cmsg_level = SOL_SOCKET; + cmh->cmsg_type = SCM_RIGHTS; + fd_ptr = (int *)CMSG_DATA(cmh); + *fd_ptr = fd; + /* send ancillary data */ + n = sendmsg(conn_socket, &mh, 0); + if (n < 0) { + OPAE_ERR("sendmsg failed: %s", strerror(errno)); + return FPGA_EXCEPTION; + } + + return FPGA_OK; +} + +STATIC fpga_result send_fme_event_request(fpga_handle handle, + fpga_event_handle event_handle, + int fme_operation) +{ + int fd = FILE_DESCRIPTOR(event_handle); + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + fpga_result res = FPGA_OK; + opae_fme_info fme_info = { 0 }; + + if (fme_operation != FPGA_IRQ_ASSIGN + && fme_operation != FPGA_IRQ_DEASSIGN) { + OPAE_ERR("Invalid FME operation requested"); + return FPGA_INVALID_PARAM; + } + + res = opae_get_fme_info(_handle->fddev, &fme_info); + if (res) { + return res; + } + + /*capability field is set to 1 if the platform supports interrupts*/ + if (fme_info.capability & FPGA_FME_CAP_ERR_IRQ) { + res = opae_fme_set_err_irq(_handle->fddev, 0, fme_operation == FPGA_IRQ_ASSIGN ? fd : -1); + if (res) { + OPAE_ERR("Could not set eventfd %s", strerror(errno)); + } + } else { + OPAE_ERR("FME interrupts not supported in hw"); + res = FPGA_NOT_SUPPORTED; + } + + return res; +} + +STATIC fpga_result send_port_event_request(fpga_handle handle, + fpga_event_handle event_handle, + int port_operation) +{ + fpga_result res = FPGA_OK; + int fd = FILE_DESCRIPTOR(event_handle); + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + opae_port_info port_info = { 0 }; + if (port_operation != FPGA_IRQ_ASSIGN + && port_operation != FPGA_IRQ_DEASSIGN) { + OPAE_ERR("Invalid PORT operation requested"); + return FPGA_INVALID_PARAM; + } + + res = opae_get_port_info(_handle->fddev, &port_info); + if (res) { + return res; + } + + /*capability field is set to 1 if the platform supports interrupts*/ + if (port_info.capability & FPGA_PORT_CAP_ERR_IRQ) { + res = opae_port_set_err_irq(_handle->fddev, 0, port_operation == FPGA_IRQ_ASSIGN ? fd : -1); + if (res) { + OPAE_ERR("Could not set eventfd"); + } + } else { + OPAE_ERR("PORT interrupts not supported in hw"); + res = FPGA_NOT_SUPPORTED; + } + + return res; +} + +STATIC fpga_result send_uafu_event_request(fpga_handle handle, + fpga_event_handle event_handle, + uint32_t flags, int uafu_operation) +{ + int res = FPGA_OK; + int fd = FILE_DESCRIPTOR(event_handle); + struct _fpga_event_handle *_eh = + (struct _fpga_event_handle *)event_handle; + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + opae_port_info port_info = { 0 }; + int32_t neg = -1; + + if (uafu_operation != FPGA_IRQ_ASSIGN + && uafu_operation != FPGA_IRQ_DEASSIGN) { + OPAE_ERR("Invalid UAFU operation requested"); + return FPGA_INVALID_PARAM; + } + + res = opae_get_port_info(_handle->fddev, &port_info); + if (res) { + return res; + } + + /*capability field is set to 1 if the platform supports interrupts*/ + if (port_info.capability & FPGA_PORT_CAP_UAFU_IRQ) { + if (flags >= port_info.num_uafu_irqs) { + OPAE_ERR("Invalid User Interrupt vector id"); + return FPGA_INVALID_PARAM; + } + + if (uafu_operation == FPGA_IRQ_ASSIGN) { + res = opae_port_set_user_irq(_handle->fddev, 0, flags, 1, &fd); + _eh->flags = flags; + } else { + res = opae_port_set_user_irq(_handle->fddev, 0, _eh->flags, 1, &neg); + } + + if (res) { + OPAE_ERR("Could not set eventfd"); + res = FPGA_EXCEPTION; + } + } else { + OPAE_ERR("UAFU interrupts not supported in hw"); + res = FPGA_NOT_SUPPORTED; + } + + return res; +} + +/* + * Uses driver ioctls to determine whether the driver supports interrupts + * on this platform. objtype is an output parameter. + */ +STATIC fpga_result check_interrupts_supported(fpga_handle handle, + fpga_objtype *objtype) +{ + fpga_result res = FPGA_OK; + fpga_result destroy_res = FPGA_OK; + fpga_properties prop = NULL; + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + opae_fme_info fme_info = { 0 }; + opae_port_info port_info = { 0 }; + + res = xfpga_fpgaGetPropertiesFromHandle(handle, &prop); + if (res != FPGA_OK) { + OPAE_MSG("Could not get FPGA properties from handle"); + return res; + } + + res = fpgaPropertiesGetObjectType(prop, objtype); + if (res != FPGA_OK) { + OPAE_MSG("Could not determine FPGA object type"); + goto destroy_prop; + } + + if (*objtype == FPGA_DEVICE) { + res = opae_get_fme_info(_handle->fddev, &fme_info); + if (res) { + res = FPGA_EXCEPTION; + goto destroy_prop; + } + + if (fme_info.capability & FPGA_FME_CAP_ERR_IRQ) { + res = FPGA_OK; + } else { + OPAE_MSG("Interrupts not supported in hw"); + res = FPGA_NOT_SUPPORTED; + } + } else if (*objtype == FPGA_ACCELERATOR) { + res = opae_get_port_info(_handle->fddev, &port_info); + if (res) { + OPAE_ERR("Could not get PORT info: %s", + strerror(errno)); + goto destroy_prop; + } + + if (port_info.capability & FPGA_PORT_CAP_ERR_IRQ) { + res = FPGA_OK; + } else { + OPAE_MSG("Interrupts not supported in hw"); + res = FPGA_NOT_SUPPORTED; + } + } + +destroy_prop: + destroy_res = fpgaDestroyProperties(&prop); + if (destroy_res != FPGA_OK) { + OPAE_MSG("Could not destroy FPGA properties"); + return destroy_res; + } + + return res; +} + +STATIC fpga_result driver_register_event(fpga_handle handle, + fpga_event_type event_type, + fpga_event_handle event_handle, + uint32_t flags) +{ + fpga_objtype objtype; + fpga_result res = FPGA_OK; + + res = check_interrupts_supported(handle, &objtype); + if (res != FPGA_OK) { + OPAE_MSG( + "Could not determine whether interrupts are supported"); + return FPGA_NOT_SUPPORTED; + } + + switch (event_type) { + case FPGA_EVENT_ERROR: + if (objtype == FPGA_DEVICE) { + return send_fme_event_request(handle, event_handle, + FPGA_IRQ_ASSIGN); + } else if (objtype == FPGA_ACCELERATOR) { + return send_port_event_request(handle, event_handle, + FPGA_IRQ_ASSIGN); + } + OPAE_ERR("Invalid objtype: %d", objtype); + return FPGA_EXCEPTION; + case FPGA_EVENT_INTERRUPT: + if (objtype != FPGA_ACCELERATOR) { + OPAE_MSG("User events need an accelerator object"); + return FPGA_INVALID_PARAM; + } + + return send_uafu_event_request(handle, event_handle, flags, + FPGA_IRQ_ASSIGN); + case FPGA_EVENT_POWER_THERMAL: + OPAE_MSG("Thermal interrupts not supported"); + return FPGA_NOT_SUPPORTED; + default: + OPAE_ERR("Invalid event type"); + return FPGA_EXCEPTION; + } +} + +STATIC fpga_result driver_unregister_event(fpga_handle handle, + fpga_event_type event_type, + fpga_event_handle event_handle) +{ + fpga_objtype objtype; + fpga_result res = FPGA_OK; + + res = check_interrupts_supported(handle, &objtype); + if (res != FPGA_OK) { + OPAE_MSG( + "Could not determine whether interrupts are supported"); + return FPGA_NOT_SUPPORTED; + } + + switch (event_type) { + case FPGA_EVENT_ERROR: + if (objtype == FPGA_DEVICE) { + return send_fme_event_request(handle, event_handle, + FPGA_IRQ_DEASSIGN); + } else if (objtype == FPGA_ACCELERATOR) { + return send_port_event_request(handle, event_handle, + FPGA_IRQ_DEASSIGN); + } + OPAE_ERR("Invalid objtype: %d", objtype); + return FPGA_EXCEPTION; + case FPGA_EVENT_INTERRUPT: + if (objtype != FPGA_ACCELERATOR) { + OPAE_MSG("User events need an Accelerator object"); + return FPGA_INVALID_PARAM; + } + + return send_uafu_event_request(handle, event_handle, 0, + FPGA_IRQ_DEASSIGN); + case FPGA_EVENT_POWER_THERMAL: + OPAE_MSG("Thermal interrupts not supported"); + return FPGA_NOT_SUPPORTED; + default: + OPAE_ERR("Invalid event type"); + return FPGA_EXCEPTION; + } +} + +STATIC fpga_result daemon_register_event(fpga_handle handle, + fpga_event_type event_type, + fpga_event_handle event_handle, + uint32_t flags) +{ + int fd = FILE_DESCRIPTOR(event_handle); + fpga_result result = FPGA_OK; + struct sockaddr_un addr; + struct event_request req; + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + fpga_properties prop = NULL; + uint64_t object_id = (uint64_t) -1; + + UNUSED_PARAM(flags); + + if (_handle->fdfpgad < 0) { + + /* connect to event socket */ + _handle->fdfpgad = socket(AF_UNIX, SOCK_STREAM, 0); + if (_handle->fdfpgad < 0) { + OPAE_ERR("socket: %s", strerror(errno)); + return FPGA_EXCEPTION; + } + + addr.sun_family = AF_UNIX; + strncpy(addr.sun_path, EVENT_SOCKET_NAME, + EVENT_SOCKET_NAME_LEN); + + if (connect(_handle->fdfpgad, (struct sockaddr *)&addr, + sizeof(addr)) + < 0) { + OPAE_DBG("connect: %s", strerror(errno)); + result = FPGA_NO_DAEMON; + goto out_close_conn; + } + } + + /* get the requestor's object ID */ + result = xfpga_fpgaGetPropertiesFromHandle(handle, &prop); + if (result != FPGA_OK) { + OPAE_ERR("failed to get props"); + goto out_close_conn; + } + + result = fpgaPropertiesGetObjectID(prop, &object_id); + if (result != FPGA_OK) { + fpgaDestroyProperties(&prop); + OPAE_ERR("failed to get object ID"); + goto out_close_conn; + } + + result = fpgaDestroyProperties(&prop); + if (result != FPGA_OK) { + OPAE_ERR("failed to destroy props"); + goto out_close_conn; + } + + /* create event registration request */ + req.type = REGISTER_EVENT; + req.event = event_type; + req.object_id = object_id; + + /* send event packet */ + result = send_event_request(_handle->fdfpgad, fd, &req); + if (result != FPGA_OK) { + OPAE_ERR("send_event_request failed"); + goto out_close_conn; + } + + return result; + +out_close_conn: + close(_handle->fdfpgad); + _handle->fdfpgad = -1; + return result; +} + +STATIC fpga_result daemon_unregister_event(fpga_handle handle, + fpga_event_type event_type) +{ + fpga_result result = FPGA_OK; + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + struct event_request req; + ssize_t n; + fpga_properties prop = NULL; + uint64_t object_id = (uint64_t) -1; + + if (_handle->fdfpgad < 0) { + OPAE_MSG("No fpgad connection"); + return FPGA_INVALID_PARAM; + } + + /* get the requestor's object ID */ + result = xfpga_fpgaGetPropertiesFromHandle(handle, &prop); + if (result != FPGA_OK) { + OPAE_ERR("failed to get properties"); + goto out_close_conn; + } + + result = fpgaPropertiesGetObjectID(prop, &object_id); + if (result != FPGA_OK) { + fpgaDestroyProperties(&prop); + OPAE_ERR("failed to get object ID"); + goto out_close_conn; + } + + result = fpgaDestroyProperties(&prop); + if (result != FPGA_OK) { + OPAE_ERR("failed to destroy properties"); + goto out_close_conn; + } + + req.type = UNREGISTER_EVENT; + req.event = event_type; + req.object_id = object_id; + + n = send(_handle->fdfpgad, &req, sizeof(req), 0); + if (n < 0) { + OPAE_ERR("send : %s", strerror(errno)); + result = FPGA_EXCEPTION; + goto out_close_conn; + } + + return result; + +out_close_conn: + close(_handle->fdfpgad); + _handle->fdfpgad = -1; + return result; +} + +fpga_result __XFPGA_API__ +xfpga_fpgaCreateEventHandle(fpga_event_handle *event_handle) +{ + struct _fpga_event_handle *_eh; + fpga_result result = FPGA_OK; + pthread_mutexattr_t mattr; + int err = 0; + + ASSERT_NOT_NULL(event_handle); + + _eh = malloc(sizeof(struct _fpga_event_handle)); + if (NULL == _eh) { + OPAE_ERR("Could not allocate memory for event handle"); + return FPGA_NO_MEMORY; + } + + _eh->magic = FPGA_EVENT_HANDLE_MAGIC; + + /* create eventfd */ + _eh->fd = eventfd(0, 0); + if (_eh->fd < 0) { + OPAE_ERR("eventfd : %s", strerror(errno)); + result = FPGA_EXCEPTION; + goto out_free; + } + + if (pthread_mutexattr_init(&mattr)) { + OPAE_MSG("Failed to initialized event handle mutex attributes"); + result = FPGA_EXCEPTION; + goto out_free; + } + + if (pthread_mutexattr_settype(&mattr, PTHREAD_MUTEX_RECURSIVE)) { + OPAE_MSG("Failed to initialize event handle mutex attributes"); + result = FPGA_EXCEPTION; + goto out_attr_destroy; + } + + if (pthread_mutex_init(&_eh->lock, &mattr)) { + OPAE_MSG("Failed to initialize event handle mutex"); + result = FPGA_EXCEPTION; + goto out_attr_destroy; + } + + pthread_mutexattr_destroy(&mattr); + + *event_handle = (fpga_event_handle)_eh; + return FPGA_OK; + +out_attr_destroy: + err = pthread_mutexattr_destroy(&mattr); + if (err) + OPAE_ERR("pthread_mutexatr_destroy() failed: %s", + strerror(err)); + +out_free: + free(_eh); + return result; +} + +fpga_result __XFPGA_API__ +xfpga_fpgaDestroyEventHandle(fpga_event_handle *event_handle) +{ + struct _fpga_event_handle *_eh; + fpga_result result = FPGA_OK; + int err = 0; + + // sanity check + if (!event_handle) { + return FPGA_INVALID_PARAM; + } + + _eh = (struct _fpga_event_handle *)*event_handle; + + result = event_handle_check_and_lock(_eh); + if (result) + return result; + + if (close(_eh->fd) < 0) { + OPAE_ERR("eventfd : %s", strerror(errno)); + err = pthread_mutex_unlock(&_eh->lock); + if (err) + OPAE_ERR("pthread_mutex_unlock() failed: %S", + strerror(err)); + + if (errno == EBADF) + return FPGA_INVALID_PARAM; + else + return FPGA_EXCEPTION; + } + + _eh->magic = FPGA_INVALID_MAGIC; + + err = pthread_mutex_unlock(&_eh->lock); + if (err) + OPAE_ERR("pthread_mutex_unlock() failed: %S", strerror(err)); + + err = pthread_mutex_destroy(&_eh->lock); + if (err) + OPAE_ERR("pthread_mutex_destroy() failed: %S", strerror(err)); + + free(*event_handle); + *event_handle = NULL; + return FPGA_OK; +} + +fpga_result __XFPGA_API__ +xfpga_fpgaGetOSObjectFromEventHandle(const fpga_event_handle eh, int *fd) +{ + struct _fpga_event_handle *_eh = (struct _fpga_event_handle *)eh; + fpga_result result = FPGA_OK; + int err = 0; + + result = event_handle_check_and_lock(_eh); + if (result) + return result; + + *fd = _eh->fd; + + err = pthread_mutex_unlock(&_eh->lock); + if (err) + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + + return FPGA_OK; +} + +fpga_result __XFPGA_API__ xfpga_fpgaRegisterEvent(fpga_handle handle, + fpga_event_type event_type, + fpga_event_handle event_handle, + uint32_t flags) +{ + fpga_result result = FPGA_OK; + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + struct _fpga_event_handle *_eh = + (struct _fpga_event_handle *)event_handle; + struct _fpga_token *_token; + int err; + + result = handle_check_and_lock(_handle); + if (result) + return result; + + result = event_handle_check_and_lock(_eh); + if (result) + goto out_unlock_handle; + + _token = (struct _fpga_token *)_handle->token; + + if (_token->magic != FPGA_TOKEN_MAGIC) { + OPAE_MSG("Invalid token found in handle"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + switch (event_type) { + case FPGA_EVENT_INTERRUPT: + if (!strstr(_token->devpath, "port")) { + OPAE_MSG("Handle does not refer to accelerator object"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + break; + case FPGA_EVENT_ERROR: /* fall through */ + case FPGA_EVENT_POWER_THERMAL: + break; + } + + /* TODO: reject unknown flags */ + + /* try driver first */ + result = driver_register_event(handle, event_type, event_handle, flags); + if (result == FPGA_NOT_SUPPORTED) { + result = daemon_register_event(handle, event_type, event_handle, + flags); + } + +out_unlock: + err = pthread_mutex_unlock(&_eh->lock); + if (err) + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + +out_unlock_handle: + err = pthread_mutex_unlock(&_handle->lock); + if (err) + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + + return result; +} + +fpga_result __XFPGA_API__ +xfpga_fpgaUnregisterEvent(fpga_handle handle, fpga_event_type event_type, + fpga_event_handle event_handle) +{ + fpga_result result = FPGA_OK; + int err; + + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + struct _fpga_event_handle *_eh = + (struct _fpga_event_handle *)event_handle; + struct _fpga_token *_token; + + result = handle_check_and_lock(_handle); + if (result) + return result; + + result = event_handle_check_and_lock(_eh); + if (result) + goto out_unlock_handle; + + _token = (struct _fpga_token *)_handle->token; + + if (_token->magic != FPGA_TOKEN_MAGIC) { + OPAE_MSG("Invalid token found in handle"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + switch (event_type) { + case FPGA_EVENT_INTERRUPT: + if (!strstr(_token->devpath, "port")) { + OPAE_MSG("Handle does not refer to accelerator object"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + break; + case FPGA_EVENT_ERROR: /* fall through */ + case FPGA_EVENT_POWER_THERMAL: + break; + } + + /* try driver first */ + result = driver_unregister_event(handle, event_type, event_handle); + if (result == FPGA_NOT_SUPPORTED) { + result = daemon_unregister_event(handle, event_type); + } + +out_unlock: + err = pthread_mutex_unlock(&_eh->lock); + if (err) + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + +out_unlock_handle: + err = pthread_mutex_unlock(&_handle->lock); + if (err) + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + + return result; +} diff --git a/opae-libs/plugins/xfpga/fpga-dfl.h b/opae-libs/plugins/xfpga/fpga-dfl.h new file mode 100644 index 0000000..9e30660 --- /dev/null +++ b/opae-libs/plugins/xfpga/fpga-dfl.h @@ -0,0 +1,210 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifndef _UAPI_LINUX_FPGA_DFL_H +#define _UAPI_LINUX_FPGA_DFL_H + +#include +#include + +#define DFL_FPGA_API_VERSION 0 + +/* + * The IOCTL interface for DFL based FPGA is designed for extensibility by + * embedding the structure length (argsz) and flags into structures passed + * between kernel and userspace. This design referenced the VFIO IOCTL + * interface (include/uapi/linux/vfio.h). + */ + +#define DFL_FPGA_MAGIC 0xB6 + +#define DFL_FPGA_BASE 0 +#define DFL_PORT_BASE 0x40 +#define DFL_FME_BASE 0x80 + +/* Common IOCTLs for both FME and AFU file descriptor */ + +/** + * DFL_FPGA_GET_API_VERSION - _IO(DFL_FPGA_MAGIC, DFL_FPGA_BASE + 0) + * + * Report the version of the driver API. + * Return: Driver API Version. + */ + +#define DFL_FPGA_GET_API_VERSION _IO(DFL_FPGA_MAGIC, DFL_FPGA_BASE + 0) + +/** + * DFL_FPGA_CHECK_EXTENSION - _IO(DFL_FPGA_MAGIC, DFL_FPGA_BASE + 1) + * + * Check whether an extension is supported. + * Return: 0 if not supported, otherwise the extension is supported. + */ + +#define DFL_FPGA_CHECK_EXTENSION _IO(DFL_FPGA_MAGIC, DFL_FPGA_BASE + 1) + +/* IOCTLs for AFU file descriptor */ + +/** + * DFL_FPGA_PORT_RESET - _IO(DFL_FPGA_MAGIC, DFL_PORT_BASE + 0) + * + * Reset the FPGA Port and its AFU. No parameters are supported. + * Userspace can do Port reset at any time, e.g. during DMA or PR. But + * it should never cause any system level issue, only functional failure + * (e.g. DMA or PR operation failure) and be recoverable from the failure. + * Return: 0 on success, -errno of failure + */ + +#define DFL_FPGA_PORT_RESET _IO(DFL_FPGA_MAGIC, DFL_PORT_BASE + 0) + +/** + * DFL_FPGA_PORT_GET_INFO - _IOR(DFL_FPGA_MAGIC, DFL_PORT_BASE + 1, + * struct dfl_fpga_port_info) + * + * Retrieve information about the fpga port. + * Driver fills the info in provided struct dfl_fpga_port_info. + * Return: 0 on success, -errno on failure. + */ +struct dfl_fpga_port_info { + /* Input */ + __u32 argsz; /* Structure length */ + /* Output */ + __u32 flags; /* Zero for now */ + __u32 num_regions; /* The number of supported regions */ + __u32 num_umsgs; /* The number of allocated umsgs */ +}; + +#define DFL_FPGA_PORT_GET_INFO _IO(DFL_FPGA_MAGIC, DFL_PORT_BASE + 1) + +/** + * FPGA_PORT_GET_REGION_INFO - _IOWR(FPGA_MAGIC, PORT_BASE + 2, + * struct dfl_fpga_port_region_info) + * + * Retrieve information about a device memory region. + * Caller provides struct dfl_fpga_port_region_info with index value set. + * Driver returns the region info in other fields. + * Return: 0 on success, -errno on failure. + */ +struct dfl_fpga_port_region_info { + /* input */ + __u32 argsz; /* Structure length */ + /* Output */ + __u32 flags; /* Access permission */ +#define DFL_PORT_REGION_READ (1 << 0) /* Region is readable */ +#define DFL_PORT_REGION_WRITE (1 << 1) /* Region is writable */ +#define DFL_PORT_REGION_MMAP (1 << 2) /* Can be mmaped to userspace */ + /* Input */ + __u32 index; /* Region index */ +#define DFL_PORT_REGION_INDEX_AFU 0 /* AFU */ +#define DFL_PORT_REGION_INDEX_STP 1 /* Signal Tap */ + __u32 padding; + /* Output */ + __u64 size; /* Region size (bytes) */ + __u64 offset; /* Region offset from start of device fd */ +}; + +#define DFL_FPGA_PORT_GET_REGION_INFO _IO(DFL_FPGA_MAGIC, DFL_PORT_BASE + 2) + +/** + * DFL_FPGA_PORT_DMA_MAP - _IOWR(DFL_FPGA_MAGIC, DFL_PORT_BASE + 3, + * struct dfl_fpga_port_dma_map) + * + * Map the dma memory per user_addr and length which are provided by caller. + * Driver fills the iova in provided struct afu_port_dma_map. + * This interface only accepts page-size aligned user memory for dma mapping. + * Return: 0 on success, -errno on failure. + */ +struct dfl_fpga_port_dma_map { + /* Input */ + __u32 argsz; /* Structure length */ + __u32 flags; /* Zero for now */ + __u64 user_addr; /* Process virtual address */ + __u64 length; /* Length of mapping (bytes)*/ + /* Output */ + __u64 iova; /* IO virtual address */ +}; + +#define DFL_FPGA_PORT_DMA_MAP _IO(DFL_FPGA_MAGIC, DFL_PORT_BASE + 3) + +/** + * DFL_FPGA_PORT_DMA_UNMAP - _IOW(FPGA_MAGIC, PORT_BASE + 4, + * struct dfl_fpga_port_dma_unmap) + * + * Unmap the dma memory per iova provided by caller. + * Return: 0 on success, -errno on failure. + */ +struct dfl_fpga_port_dma_unmap { + /* Input */ + __u32 argsz; /* Structure length */ + __u32 flags; /* Zero for now */ + __u64 iova; /* IO virtual address */ +}; + +#define DFL_FPGA_PORT_DMA_UNMAP _IO(DFL_FPGA_MAGIC, DFL_PORT_BASE + 4) + +/* IOCTLs for FME file descriptor */ + +/** + * DFL_FPGA_FME_PORT_PR - _IOW(DFL_FPGA_MAGIC, DFL_FME_BASE + 0, + * struct dfl_fpga_fme_port_pr) + * + * Driver does Partial Reconfiguration based on Port ID and Buffer (Image) + * provided by caller. + * Return: 0 on success, -errno on failure. + * If DFL_FPGA_FME_PORT_PR returns -EIO, that indicates the HW has detected + * some errors during PR, under this case, the user can fetch HW error info + * from the status of FME's fpga manager. + */ + +struct dfl_fpga_fme_port_pr { + /* Input */ + __u32 argsz; /* Structure length */ + __u32 flags; /* Zero for now */ + __u32 port_id; + __u32 buffer_size; + __u64 buffer_address; /* Userspace address to the buffer for PR */ +}; + +#define DFL_FPGA_FME_PORT_PR _IO(DFL_FPGA_MAGIC, DFL_FME_BASE + 0) + +/** + * DFL_FPGA_FME_PORT_RELEASE - _IOW(DFL_FPGA_MAGIC, DFL_FME_BASE + 1, + * int port_id) + * + * Driver releases the port per Port ID provided by caller. + * Return: 0 on success, -errno on failure. + */ +#define DFL_FPGA_FME_PORT_RELEASE _IOW(DFL_FPGA_MAGIC, DFL_FME_BASE + 1, int) + +/** + * DFL_FPGA_FME_PORT_ASSIGN - _IOW(DFL_FPGA_MAGIC, DFL_FME_BASE + 2, + * int port_id) + * + * Driver assigns the port back per Port ID provided by caller. + * Return: 0 on success, -errno on failure. + */ +#define DFL_FPGA_FME_PORT_ASSIGN _IOW(DFL_FPGA_MAGIC, DFL_FME_BASE + 2, int) + +#endif /* _UAPI_LINUX_FPGA_DFL_H */ diff --git a/opae-libs/plugins/xfpga/hostif.c b/opae-libs/plugins/xfpga/hostif.c new file mode 100644 index 0000000..200322f --- /dev/null +++ b/opae-libs/plugins/xfpga/hostif.c @@ -0,0 +1,89 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include "opae/access.h" +#include "opae/utils.h" +#include "opae/manage.h" +#include "common_int.h" +#include "opae_drv.h" + +//Assign Port to PF from Interface +#define ASSIGN_PORT_TO_PF 0 + +//Release Port from PF and Assign to Interface +#define ASSIGN_PORT_TO_HOST 1 + +//Assign and Release port to a host interface +fpga_result __XFPGA_API__ xfpga_fpgaAssignPortToInterface(fpga_handle fpga, + uint32_t interface_num, + uint32_t slot_num, + int flags) +{ + struct _fpga_handle *_handle = (struct _fpga_handle *)fpga; + fpga_result result = FPGA_OK; + int err; + + result = handle_check_and_lock(_handle); + if (result) + return result; + + if (_handle->fddev < 0) { + OPAE_ERR("Invalid handle file descriptor"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + switch (interface_num) { + case ASSIGN_PORT_TO_PF: + result = opae_fme_port_assign(_handle->fddev, flags, slot_num); + if (result) { + OPAE_ERR("Failed to assign port"); + } + break; + case ASSIGN_PORT_TO_HOST: + result = opae_fme_port_release(_handle->fddev, flags, slot_num); + if (result) { + OPAE_ERR("Failed to releae port"); + } + break; + default: + OPAE_MSG("Unknown port assignment operation: %d", + interface_num); + result = FPGA_INVALID_PARAM; + } + + +out_unlock: + err = pthread_mutex_unlock(&_handle->lock); + if (err) + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + return result; + +} diff --git a/opae-libs/plugins/xfpga/init.c b/opae-libs/plugins/xfpga/init.c new file mode 100644 index 0000000..386efd2 --- /dev/null +++ b/opae-libs/plugins/xfpga/init.c @@ -0,0 +1,47 @@ +// Copyright(c) 2017-2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include "common_int.h" +#include "token_list_int.h" + +#include +#include +#include + +__attribute__((constructor)) +STATIC void fpga_init(void) +{ +} + +__attribute__((destructor)) +STATIC void fpga_release(void) +{ + token_cleanup(); +} diff --git a/opae-libs/plugins/xfpga/intel-fpga.h b/opae-libs/plugins/xfpga/intel-fpga.h new file mode 100644 index 0000000..3fcd742 --- /dev/null +++ b/opae-libs/plugins/xfpga/intel-fpga.h @@ -0,0 +1,364 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + + +#ifndef _UAPI_INTEL_FPGA_H +#define _UAPI_INTEL_FPGA_H + +#include + +#define FPGA_API_VERSION 0 + +/* + * The IOCTL interface for Intel FPGA is designed for extensibility by + * embedding the structure length (argsz) and flags into structures passed + * between kernel and userspace. This design referenced the VFIO IOCTL + * interface (include/uapi/linux/vfio.h). + */ + +#define FPGA_MAGIC 0xB5 + +#define FPGA_BASE 0 +#define PORT_BASE 0x40 +#define FME_BASE 0x80 + +/* Common IOCTLs for both FME and AFU file descriptor */ + +/** + * FPGA_GET_API_VERSION - _IO(FPGA_MAGIC, FPGA_BASE + 0) + * + * Report the version of the driver API. + * Return: Driver API Version. + */ + +#define FPGA_GET_API_VERSION _IO(FPGA_MAGIC, FPGA_BASE + 0) + +/** + * FPGA_CHECK_EXTENSION - _IO(FPGA_MAGIC, FPGA_BASE + 1) + * + * Check whether an extension is supported. + * Return: 0 if not supported, otherwise the extension is supported. + */ + +#define FPGA_CHECK_EXTENSION _IO(FPGA_MAGIC, FPGA_BASE + 1) + +/* IOCTLs for AFU file descriptor */ + +/** + * FPGA_PORT_RESET - _IO(FPGA_MAGIC, PORT_BASE + 0) + * + * Reset the FPGA AFU Port. No parameters are supported. + * Return: 0 on success, -errno of failure + */ + +#define FPGA_PORT_RESET _IO(FPGA_MAGIC, PORT_BASE + 0) + +/** + * FPGA_PORT_GET_INFO - _IOR(FPGA_MAGIC, PORT_BASE + 1, struct fpga_port_info) + * + * Retrieve information about the fpga port. + * Driver fills the info in provided struct fpga_port_info. + * Return: 0 on success, -errno on failure. + */ +struct fpga_port_info { + /* Input */ + __u32 argsz; /* Structure length */ + /* Output */ + __u32 flags; /* Zero for now */ + __u32 capability; /* The capability of port device */ +#define FPGA_PORT_CAP_ERR_IRQ (1 << 0) /* Support port error interrupt */ +#define FPGA_PORT_CAP_UAFU_IRQ (1 << 1) /* Support uafu error interrupt */ + __u32 num_regions; /* The number of supported regions */ + __u32 num_umsgs; /* The number of allocated umsgs */ + __u32 num_uafu_irqs; /* The number of uafu interrupts */ +}; + +#define FPGA_PORT_GET_INFO _IO(FPGA_MAGIC, PORT_BASE + 1) + +/** + * FPGA_PORT_GET_REGION_INFO - _IOWR(FPGA_MAGIC, PORT_BASE + 2, + * struct fpga_port_region_info) + * + * Retrieve information about a device region. + * Caller provides struct fpga_port_region_info with index value set. + * Driver returns the region info in other fields. + * Return: 0 on success, -errno on failure. + */ +struct fpga_port_region_info { + /* input */ + __u32 argsz; /* Structure length */ + /* Output */ + __u32 flags; /* Access permission */ +#define FPGA_REGION_READ (1 << 0) /* Region is readable */ +#define FPGA_REGION_WRITE (1 << 1) /* Region is writable */ +#define FPGA_REGION_MMAP (1 << 2) /* Can be mmaped to userspace */ + /* Input */ + __u32 index; /* Region index */ +#define FPGA_PORT_INDEX_UAFU 0 /* User AFU */ +#define FPGA_PORT_INDEX_STP 1 /* Signal Tap */ + __u32 padding; + /* Output */ + __u64 size; /* Region size (bytes) */ + __u64 offset; /* Region offset from start of device fd */ +}; + +#define FPGA_PORT_GET_REGION_INFO _IO(FPGA_MAGIC, PORT_BASE + 2) + +/** + * FPGA_PORT_DMA_MAP - _IOWR(FPGA_MAGIC, PORT_BASE + 3, + * struct fpga_port_dma_map) + * + * Map the dma memory per user_addr and length which are provided by caller. + * Driver fills the iova in provided struct afu_port_dma_map. + * This interface only accepts page-size aligned user memory for dma mapping. + * + * Setting only one of FPGA_DMA_TO_DEV or FPGA_DMA_FROM_DEV limits + * FPGA-initiated DMA requests to only reads or only writes. In order + * to support legacy drivers that lacked these flags, setting neither + * flag is equivalent to setting both flags: both read and write are + * requests permitted. + * + * Return: 0 on success, -errno on failure. + */ +struct fpga_port_dma_map { + /* Input */ + __u32 argsz; /* Structure length */ + __u32 flags; /* Zero for now */ +#define FPGA_DMA_TO_DEV (1 << 0) /* Region is readable by FPGA */ +#define FPGA_DMA_FROM_DEV (1 << 1) /* Region is writable by FPGA */ + __u64 user_addr; /* Process virtual address */ + __u64 length; /* Length of mapping (bytes)*/ + /* Output */ + __u64 iova; /* IO virtual address */ +}; + +#define FPGA_PORT_DMA_MAP _IO(FPGA_MAGIC, PORT_BASE + 3) + +/** + * FPGA_PORT_DMA_UNMAP - _IOW(FPGA_MAGIC, PORT_BASE + 4, + * struct fpga_port_dma_unmap) + * + * Unmap the dma memory per iova provided by caller. + * Return: 0 on success, -errno on failure. + */ +struct fpga_port_dma_unmap { + /* Input */ + __u32 argsz; /* Structure length */ + __u32 flags; /* Zero for now */ + __u64 iova; /* IO virtual address */ +}; + +#define FPGA_PORT_DMA_UNMAP _IO(FPGA_MAGIC, PORT_BASE + 4) + +/** + * FPGA_PORT_UMSG_ENABLE - _IO(FPGA_MAGIC, PORT_BASE + 5) + * FPGA_PORT_UMSG_DISABLE - _IO(FPGA_MAGIC, PORT_BASE + 6) + * + * Interfaces to control UMSG function. No parameters are supported. + * Return: 0 on success, -errno on failure. + */ + +#define FPGA_PORT_UMSG_ENABLE _IO(FPGA_MAGIC, PORT_BASE + 5) +#define FPGA_PORT_UMSG_DISABLE _IO(FPGA_MAGIC, PORT_BASE + 6) + +/** + * FPGA_PORT_UMSG_SET_MODE - _IOW(FPGA_MAGIC, PORT_BASE + 7, + * struct fpga_port_umsg_cfg) + * + * Set Hint Mode per bitmap provided by caller. One bit for each page + * in hint_bitmap. 0 - Disable and 1 - Enable Hint Mode. + * Return: 0 on success, -errno on failure. + */ +struct fpga_port_umsg_cfg { + /* Input */ + __u32 argsz; /* Structure length */ + __u32 flags; /* Zero for now */ + __u32 hint_bitmap; /* UMSG Hint Mode Bitmap */ +}; + +#define FPGA_PORT_UMSG_SET_MODE _IO(FPGA_MAGIC, PORT_BASE + 7) + +/** + * FPGA_PORT_UMSG_SET_BASE_ADDR - _IOW(FPGA_MAGIC, PORT_BASE + 8, + * struct afu_port_umsg_base_addr) + * + * Set UMSG base address per iova provided by caller. Driver configures the + * UMSG base address with the iova, but only accept iova which get from the + * DMA_MAP IOCTL interface and the DMA region is big enough for all UMSGs + * (num_umsg * PAGE_SIZE) + * Return: 0 on success, -errno on failure. + */ +struct fpga_port_umsg_base_addr { + /* Input */ + __u32 argsz; /* Structure length */ + __u32 flags; /* Zero for now */ + __u64 iova; /* IO virtual address */ +}; + +#define FPGA_PORT_UMSG_SET_BASE_ADDR _IO(FPGA_MAGIC, PORT_BASE + 8) + +/** + * FPGA_PORT_ERR_SET_IRQ - _IOW(FPGA_MAGIC, PORT_BASE + 9, + * struct fpga_port_err_irq_set) + * + * Set fpga port global error interrupt eventfd + * Return: 0 on success, -errno on failure. + */ +struct fpga_port_err_irq_set { + /* Input */ + __u32 argsz; /* Structure length */ + __u32 flags; /* Zero for now */ + __s32 evtfd; /* Eventfd handler */ +}; + +#define FPGA_PORT_ERR_SET_IRQ _IO(FPGA_MAGIC, PORT_BASE + 9) + +/** + * FPGA_PORT_UAFU_SET_IRQ - _IOW(FPGA_MAGIC, PORT_BASE + 10, + * struct fpga_port_uafu_irq_set) + * + * Set fpga UAFU interrupt eventfd + * Return: 0 on success, -errno on failure. + */ +struct fpga_port_uafu_irq_set { + /* Input */ + __u32 argsz; /* Structure length */ + __u32 flags; /* Zero for now */ + __u32 start; /* First irq number */ + __u32 count; /* The number of eventfd handler */ + __s32 evtfd[]; /* Eventfd handler */ +}; + +#define FPGA_PORT_UAFU_SET_IRQ _IO(FPGA_MAGIC, PORT_BASE + 10) + +/* IOCTLs for FME file descriptor */ + +/** + * FPGA_FME_PORT_PR - _IOWR(FPGA_MAGIC, FME_BASE + 0, struct fpga_fme_port_pr) + * + * Driver does Partial Reconfiguration based on Port ID and Buffer (Image) + * provided by caller. + * Return: 0 on success, -errno on failure. + * If FPGA_FME_PORT_PR returns -EIO, that indicates the HW has detected + * some errors during PR, under this case, the user can fetch HW error code + * from fpga_fme_port_pr.status. Each bit on the error code is used as the + * index for the array created by DEFINE_FPGA_PR_ERR_MSG(). + * Otherwise, it is always zero. + */ + +#define DEFINE_FPGA_PR_ERR_MSG(_name_) \ +static const char * const _name_[] = { \ + "PR operation error detected", \ + "PR CRC error detected", \ + "PR incompatiable bitstream error detected", \ + "PR IP protocol error detected", \ + "PR FIFO overflow error detected", \ + "PR timeout error detected", \ + "PR secure load error detected", \ +} + +#define PR_MAX_ERR_NUM 7 + +struct fpga_fme_port_pr { + /* Input */ + __u32 argsz; /* Structure length */ + __u32 flags; /* Zero for now */ + __u32 port_id; + __u32 buffer_size; + __u64 buffer_address; /* Userspace address to the buffer for PR */ + /* Output */ + __u64 status; /* HW error code if ioctl returns -EIO */ +}; + +#define FPGA_FME_PORT_PR _IO(FPGA_MAGIC, FME_BASE + 0) + +/** + * FPGA_FME_PORT_RELEASE - _IOW(FPGA_MAGIC, FME_BASE + 1, + * struct fpga_fme_port_release) + * + * Driver releases the port per Port ID provided by caller. + * Return: 0 on success, -errno on failure. + */ +struct fpga_fme_port_release { + /* Input */ + __u32 argsz; /* Structure length */ + __u32 flags; /* Zero for now */ + __u32 port_id; +}; + +#define FPGA_FME_PORT_RELEASE _IO(FPGA_MAGIC, FME_BASE + 1) + +/** + * FPGA_FME_PORT_ASSIGN - _IOW(FPGA_MAGIC, FME_BASE + 2, + * struct fpga_fme_port_assign) + * + * Driver assigns the port per Port ID provided by caller. + * Return: 0 on success, -errno on failure. + */ +struct fpga_fme_port_assign { + /* Input */ + __u32 argsz; /* Structure length */ + __u32 flags; /* Zero for now */ + __u32 port_id; +}; + +#define FPGA_FME_PORT_ASSIGN _IO(FPGA_MAGIC, FME_BASE + 2) + +/** + * FPGA_FME_GET_INFO - _IOR(FPGA_MAGIC, FME_BASE + 3, struct fpga_fme_info) + * + * Retrieve information about the fpga fme. + * Driver fills the info in provided struct fpga_fme_info. + * Return: 0 on success, -errno on failure. + */ +struct fpga_fme_info { + /* Input */ + __u32 argsz; /* Structure length */ + /* Output */ + __u32 flags; /* Zero for now */ + __u32 capability; /* The capability of FME device */ +#define FPGA_FME_CAP_ERR_IRQ (1 << 0) /* Support fme error interrupt */ +}; + +#define FPGA_FME_GET_INFO _IO(FPGA_MAGIC, FME_BASE + 3) + +/** + * FPGA_FME_ERR_SET_IRQ - _IOW(FPGA_MAGIC, FME_BASE + 4, + * struct fpga_fme_err_irq_set) + * + * Set fpga fme global error interrupt eventfd + * Return: 0 on success, -errno on failure. + */ +struct fpga_fme_err_irq_set { + /* Input */ + __u32 argsz; /* Structure length */ + __u32 flags; /* Zero for now */ + __s32 evtfd; /* Eventfd handler */ +}; + +#define FPGA_FME_ERR_SET_IRQ _IO(FPGA_MAGIC, FME_BASE + 4) + +#endif /* _UAPI_INTEL_FPGA_H */ diff --git a/opae-libs/plugins/xfpga/manage.c b/opae-libs/plugins/xfpga/manage.c new file mode 100644 index 0000000..469372b --- /dev/null +++ b/opae-libs/plugins/xfpga/manage.c @@ -0,0 +1,58 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include "opae/manage.h" +#include "common_int.h" + +fpga_result __XFPGA_API__ xfpga_fpgaAssignToInterface(fpga_handle fpga, fpga_token accelerator, + uint32_t host_interface, int flags) +{ + OPAE_MSG("xfpga_fpgaAssignToInterface not supported"); + fpga_result result = FPGA_NOT_SUPPORTED; + + UNUSED_PARAM(fpga); + UNUSED_PARAM(accelerator); + UNUSED_PARAM(host_interface); + UNUSED_PARAM(flags); + + return result; +} + +fpga_result __XFPGA_API__ xfpga_fpgaReleaseFromInterface(fpga_handle fpga, fpga_token accelerator) +{ + OPAE_MSG("xfpga_fpgaReleaseFromInterface not supported"); + fpga_result result = FPGA_NOT_SUPPORTED; + + UNUSED_PARAM(fpga); + UNUSED_PARAM(accelerator); + + return result; +} + diff --git a/opae-libs/plugins/xfpga/metrics/afu_metrics.c b/opae-libs/plugins/xfpga/metrics/afu_metrics.c new file mode 100644 index 0000000..d1024f8 --- /dev/null +++ b/opae-libs/plugins/xfpga/metrics/afu_metrics.c @@ -0,0 +1,275 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +/** +* \file afu_metrics.c +* \brief Enumerates AFU Metrics BBB & retrives afu metrics values +*/ + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include +#include +#include +#include +#include +#include + +#include "xfpga.h" +#include "common_int.h" +#include "metrics_int.h" +#include "types_int.h" +#include "opae/metrics.h" +#include "metrics/vector.h" + +// AFU BBB GUID +#define METRICS_BBB_GUID "87816958-C148-4CD0-9D73-E8F258E9E3D7" +#define METRICS_BBB_ID_H 0x87816958C1484CD0 +#define METRICS_BBB_ID_L 0x9D73E8F258E9E3D7 + +#define FEATURE_TYPE_BBB 0x2 + +#define METRIC_CSR_OFFSET 0x20 +#define METRIC_NEXT_CSR 0x8 + + +fpga_result discover_afu_metrics_feature(fpga_handle handle, uint64_t *offset) +{ + fpga_result result = FPGA_OK; + feature_definition feature_def; + uint64_t bbs_offset = 0; + + if (offset == NULL) { + OPAE_ERR("Invalid Input Paramters"); + return FPGA_INVALID_PARAM; + } + + memset(&feature_def, 0, sizeof(feature_def)); + + // Read AFU DFH + result = xfpga_fpgaReadMMIO64(handle, 0, 0x0, &(feature_def.dfh.csr)); + if (result != FPGA_OK) { + OPAE_ERR("Invalid handle file descriptor"); + result = FPGA_NOT_SUPPORTED; + return result; + } + + // Serach for AFU Metrics BBB DFH + while (feature_def.dfh.eol != 0 && feature_def.dfh.next_header_offset != 0) { + + bbs_offset = feature_def.dfh.next_header_offset; + + result = xfpga_fpgaReadMMIO64(handle, 0, feature_def.dfh.next_header_offset, &(feature_def.dfh.csr)); + if (result != FPGA_OK) { + OPAE_ERR("Invalid handle file descriptor"); + result = FPGA_NOT_SUPPORTED; + return result; + } + + if (feature_def.dfh.type == FEATURE_TYPE_BBB) { + + result = xfpga_fpgaReadMMIO64(handle, 0, bbs_offset +0x8, &(feature_def.guid[0])); + if (result != FPGA_OK) { + OPAE_ERR("Invalid handle file descriptor"); + result = FPGA_NOT_SUPPORTED; + return result; + } + + result = xfpga_fpgaReadMMIO64(handle, 0, bbs_offset + 0x10, &(feature_def.guid[1])); + if (result != FPGA_OK) { + OPAE_ERR("Invalid handle file descriptor"); + result = FPGA_NOT_SUPPORTED; + return result; + } + + if (feature_def.guid[0] == METRICS_BBB_ID_L && + feature_def.guid[1] == METRICS_BBB_ID_H) { + *offset = bbs_offset; + return FPGA_OK; + } else { + OPAE_ERR(" Metrics BBB Not Found \n "); + } + + } + + } + + OPAE_ERR("AFU Metrics BBB Not Found \n "); + return FPGA_NOT_FOUND; +} + + +fpga_result get_afu_metric_value(fpga_handle handle, + fpga_metric_vector *enum_vector, + uint64_t metric_num, + struct fpga_metric *fpga_metric) +{ + fpga_result result = FPGA_OK; + uint64_t index = 0; + struct metric_bbb_value metric_csr; + struct _fpga_enum_metric *_fpga_enum_metric = NULL; + uint64_t num_enun_metrics = 0; + + if (handle == NULL || + enum_vector == NULL || + fpga_metric == NULL) { + OPAE_ERR("Invalid Input Paramters"); + return FPGA_INVALID_PARAM; + } + + memset(&metric_csr, 0, sizeof(metric_csr)); + + result = fpga_vector_total(enum_vector, &num_enun_metrics); + if (result != FPGA_OK) { + OPAE_ERR("Failed to get metric total"); + return FPGA_NOT_FOUND; + } + + result = FPGA_NOT_FOUND; + for (index = 0; index < num_enun_metrics; index++) { + + _fpga_enum_metric = (struct _fpga_enum_metric *) fpga_vector_get(enum_vector, index); + + if (metric_num == _fpga_enum_metric->metric_num) { + + result = xfpga_fpgaReadMMIO64(handle, 0, _fpga_enum_metric->mmio_offset, &metric_csr.csr); + + fpga_metric->value.ivalue = metric_csr.value; + result = FPGA_OK; + + } + + } + + return result; +} + +fpga_result add_afu_metrics_vector(fpga_metric_vector *vector, + uint64_t *metric_id, + uint64_t group_value, + uint64_t metric_value, + uint64_t metric_offset) +{ + fpga_result result = FPGA_OK; + struct metric_bbb_group group_csr; + struct metric_bbb_value metric_csr; + char group_name[SYSFS_PATH_MAX] = { 0 }; + char metric_name[SYSFS_PATH_MAX] = { 0 }; + char qualifier_name[SYSFS_PATH_MAX] = { 0 }; + char metric_units[SYSFS_PATH_MAX] = { 0 }; + + if (metric_id == NULL || + vector == NULL) { + OPAE_ERR("Invalid Input Paramters"); + return FPGA_INVALID_PARAM; + } + + memset(&group_csr, 0, sizeof(group_csr)); + memset(&metric_csr, 0, sizeof(metric_csr)); + + group_csr.csr = group_value; + metric_csr.csr = metric_value; + + snprintf(group_name, sizeof(group_name), "%x", group_csr.group_id); + snprintf(metric_name, sizeof(metric_name), "%x", metric_csr.counter_id); + + snprintf(qualifier_name, sizeof(qualifier_name), "%s:%x", "AFU", group_csr.group_id); + snprintf(metric_units, sizeof(metric_units), "%x", group_csr.units); + + *metric_id = *metric_id + 1; + + result = add_metric_vector(vector, *metric_id, qualifier_name, group_name, "", + metric_name, "", metric_units, FPGA_METRIC_DATATYPE_INT, FPGA_METRIC_TYPE_AFU, FPGA_HW_MCP, metric_offset); + + return result; +} + + +fpga_result enum_afu_metrics(fpga_handle handle, + fpga_metric_vector *vector, + uint64_t *metric_id, + uint64_t metrics_offset) +{ + fpga_result result = FPGA_NOT_FOUND; + struct metric_bbb_group group_csr; + struct metric_bbb_value metric_csr; + uint64_t value_offset = 0; + uint64_t group_offset = 0; + + if (handle == NULL || + vector == NULL || + metric_id == NULL) { + OPAE_ERR("Invalid Input Paramters"); + return FPGA_INVALID_PARAM; + } + + memset(&group_csr, 0, sizeof(group_csr)); + memset(&metric_csr, 0, sizeof(metric_csr)); + + group_offset = metrics_offset + METRIC_CSR_OFFSET; + + while (true) { + + result = xfpga_fpgaReadMMIO64(handle, 0, group_offset, &group_csr.csr); + + if (group_csr.group_id != 0) { + + value_offset = group_offset + METRIC_NEXT_CSR; + result = xfpga_fpgaReadMMIO64(handle, 0, value_offset, &metric_csr.csr); + + while (metric_csr.counter_id != 0) { + + // add to counter + result = add_afu_metrics_vector(vector, metric_id, group_csr.csr, metric_csr.csr, value_offset); + if (result != FPGA_OK) { + OPAE_ERR("Failed to add metrics vector"); + } + + + if (metric_csr.eol == 0) { + value_offset = value_offset + METRIC_NEXT_CSR; + result = xfpga_fpgaReadMMIO64(handle, 0, value_offset, &metric_csr.csr); + } else { + break; + } + + } // end while + + if (group_offset == group_offset + group_csr.next_group_offset) + break; + + group_offset = group_offset + group_csr.next_group_offset; + + } else { + break; + } + + } // end while + + return result; +} diff --git a/opae-libs/plugins/xfpga/metrics/bmc/CMakeLists.txt b/opae-libs/plugins/xfpga/metrics/bmc/CMakeLists.txt new file mode 100644 index 0000000..5ef7cfd --- /dev/null +++ b/opae-libs/plugins/xfpga/metrics/bmc/CMakeLists.txt @@ -0,0 +1,55 @@ +## Copyright(c) 2018-2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +set(SRC + bmc.c + bmcdata.c + bmc_ioctl.c + bmcinfo.c) + +#opae_add_shared_library(TARGET bmc +# SOURCE ${SRC} +# LIBS +# m +# rt +# dl +# ${CMAKE_THREAD_LIBS_INIT} +# VERSION ${OPAE_VERSION} +# SOVERSION ${OPAE_VERSION_MAJOR} +#) + +#opae_add_static_library(TARGET staticbmc SOURCE ${SRC}) +#set_property(TARGET staticbmc PROPERTY POSITION_INDEPENDENT_CODE ON) + +opae_add_module_library(TARGET modbmc + SOURCE ${SRC} + LIBS + m + rt + dl + ${CMAKE_THREAD_LIBS_INIT} + COMPONENT opaetoolslibs +) diff --git a/opae-libs/plugins/xfpga/metrics/bmc/bmc.c b/opae-libs/plugins/xfpga/metrics/bmc/bmc.c new file mode 100644 index 0000000..7123be6 --- /dev/null +++ b/opae-libs/plugins/xfpga/metrics/bmc/bmc.c @@ -0,0 +1,666 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include "bmc.h" +#define _TIMESPEC_DEFINED +#include "../../types_int.h" +#include "bmcdata.h" +#include +#include +#include +#ifndef _WIN32 +#include +#else +#include +#endif +#include +#include + +#include + +#define NULL_CHECK(x) \ + do { \ + if (NULL == (x)) { \ + return FPGA_INVALID_PARAM; \ + } \ + } while (0) + +fpga_result read_sysfs_file(fpga_token token, const char *file, + void **buf, uint32_t *tot_bytes_ret) +{ + char sysfspath[SYSFS_PATH_MAX] = { 0, }; + struct stat stats; + int fd = 0; + fpga_result res = FPGA_OK; + + NULL_CHECK(token); + NULL_CHECK(buf); + NULL_CHECK(file); + NULL_CHECK(tot_bytes_ret); + + *buf = NULL; + *tot_bytes_ret = 0; + + struct _fpga_token *tok = (struct _fpga_token *)token; + if (FPGA_TOKEN_MAGIC != tok->magic) { + return FPGA_INVALID_PARAM; + } + + if (snprintf(sysfspath, sizeof(sysfspath), + "%s/%s", tok->sysfspath, file) < 0) { + OPAE_ERR("snprintf buffer overflow"); + return FPGA_EXCEPTION; + } + + glob_t pglob; + int gres = glob(sysfspath, GLOB_NOSORT, NULL, &pglob); + if ((gres) || (1 != pglob.gl_pathc)) { + globfree(&pglob); + return FPGA_NOT_FOUND; + } + + fd = open(pglob.gl_pathv[0], O_RDONLY); + globfree(&pglob); + if (fd < 0) { + return FPGA_NOT_FOUND; + } + + if (fstat(fd, &stats) != 0) { + close(fd); + return FPGA_NOT_FOUND; + } + + // fstat for a sysfs file is not accurate for the BMC + // Read the entire file into a temp buffer to get actual size of file + *buf = (void *)calloc(stats.st_size, 1); + + int32_t tot_bytes = 0; + int32_t bytes_read = 0; + do { + bytes_read = (int32_t)read(fd, *buf, stats.st_size); + if (bytes_read < 0) { + if (errno == EINTR) { + bytes_read = 1; // Fool the while loop + continue; + } + } + tot_bytes += bytes_read; + } while ((tot_bytes < stats.st_size) && (bytes_read > 0)); + + close(fd); + + if ((tot_bytes > stats.st_size) || (bytes_read < 0)) { + res = FPGA_EXCEPTION; + free(*buf); + *buf = NULL; + goto out; + } + + *tot_bytes_ret = tot_bytes; + +out: + return res; +} + +fpga_result bmcLoadSDRs(fpga_token token, bmc_sdr_handle *records, + uint32_t *num_sensors) +{ + fpga_result res = FPGA_OK; + size_t len; + + NULL_CHECK(token); + NULL_CHECK(num_sensors); + + struct _sdr_rec *recs = NULL; + + struct _sdr_content *tmp = NULL; + uint32_t tot_bytes; + + res = read_sysfs_file(token, SYSFS_SDR_FILE, (void **)&tmp, &tot_bytes); + if (FPGA_OK != res) { + if (tmp) { + free(tmp); + } + goto out; + } + + uint32_t sz = sizeof(sdr_header) + sizeof(sdr_key) + sizeof(sdr_body); + uint32_t num_of_sensors = (tot_bytes + sz - 1) / sz; + + *num_sensors = num_of_sensors; + if (NULL == records) { + free(tmp); + return FPGA_OK; + } + + *records = (bmc_sdr_handle)calloc(1, sizeof(struct _sdr_rec)); + if (NULL == *records) { + free(tmp); + return FPGA_NO_MEMORY; + } + recs = (struct _sdr_rec *)*records; + + recs->contents = tmp; + + recs->magic = BMC_SDR_MAGIC; + recs->num_records = num_of_sensors; + + struct _fpga_token *tok = (struct _fpga_token *)token; + + len = strnlen(tok->sysfspath, SYSFS_PATH_MAX - 1); + strncpy(recs->sysfs_path, tok->sysfspath, len + 1); + recs->token = token; + +out: + return res; +} + +fpga_result bmcReadSensorValues(bmc_sdr_handle records, bmc_values_handle *values, + uint32_t *num_values) +{ + fpga_result res = FPGA_OK; + struct _bmc_values *vals = NULL; + + NULL_CHECK(records); + struct _sdr_rec *sdr = (struct _sdr_rec *)records; + struct _sensor_reading *tmp = NULL; + + if (BMC_SDR_MAGIC != sdr->magic) { + return FPGA_INVALID_PARAM; + } + + NULL_CHECK(num_values); + + if (NULL == values) { + *num_values = sdr->num_records; + return FPGA_OK; + } + + uint32_t tot_bytes; + + res = read_sysfs_file(sdr->token, SYSFS_SENSOR_FILE, (void **)&tmp, + &tot_bytes); + if ((NULL == tmp) || (FPGA_OK != res)) { + fprintf(stderr, "Cannot read sensor file.\n"); + if (tmp) { + free(tmp); + } + return FPGA_EXCEPTION; + } + + if (tot_bytes != (sdr->num_records * sizeof(sensor_reading))) { + fprintf(stderr, + "Struct / file size mismatch: file size %d," + " struct size %d.\n", + (int)tot_bytes, + (int)(sdr->num_records * sizeof(sensor_reading))); + free(tmp); + return FPGA_EXCEPTION; + } + + *num_values = sdr->num_records; + + *values = (bmc_values_handle)calloc(1, sizeof(struct _bmc_values)); + if (NULL == *values) { + free(tmp); + return FPGA_NO_MEMORY; + } + vals = (struct _bmc_values *)*values; + + vals->contents = tmp; + + vals->magic = BMC_VALUES_MAGIC; + vals->num_records = sdr->num_records; + + vals->values = (Values **)calloc(sdr->num_records, sizeof(Values *)); + if (NULL == vals->values) { + return FPGA_NO_MEMORY; + } + + uint32_t i; + for (i = 0; i < sdr->num_records; i++) { + vals->values[i] = bmc_build_values( + &vals->contents[i], &sdr->contents[i].header, + &sdr->contents[i].key, &sdr->contents[i].body); + vals->values[i]->sdr = &sdr->contents[i]; + } + + return res; +} + +fpga_result bmcGetSensorReading(bmc_values_handle values, + uint32_t sensor_number, uint32_t *is_valid, + double *value) +{ + NULL_CHECK(values); + NULL_CHECK(value); + struct _bmc_values *vals = (struct _bmc_values *)values; + + if (BMC_VALUES_MAGIC != vals->magic) { + return FPGA_INVALID_PARAM; + } + + if (sensor_number >= vals->num_records) { + return FPGA_INVALID_PARAM; + } + + *is_valid = vals->values[sensor_number]->is_valid; + + *value = vals->values[sensor_number]->value.f_val; + + return FPGA_OK; +} + +fpga_result bmcThresholdsTripped(bmc_values_handle values, + tripped_thresholds **tripped, + uint32_t *num_tripped) +{ + fpga_result res = FPGA_OK; + int num_tripd = 0; + + NULL_CHECK(values); + NULL_CHECK(num_tripped); + + struct _bmc_values *vals = (struct _bmc_values *)values; + uint32_t i; + + if (BMC_VALUES_MAGIC != vals->magic) { + res = FPGA_INVALID_PARAM; + goto out; + } + + // Count the number tripped + for (i = 0; i < vals->num_records; i++) { + uint8_t indicators = vals->contents[i].threshold_events._value + & BMC_THRESHOLD_EVENT_MASK; + + if (0 == indicators) { + continue; + } + + num_tripd++; + } + + *num_tripped = num_tripd; + if (0 == num_tripd) { + if (NULL != tripped) { + *tripped = NULL; + } + goto out; + } + + *tripped = (tripped_thresholds *)calloc(num_tripd, + sizeof(tripped_thresholds)); + if (NULL == *tripped) { + return FPGA_NO_MEMORY; + } + tripped_thresholds *rets = *tripped; + int index = 0; + + // Fill in the tripped structures + for (i = 0; i < vals->num_records; i++) { + struct _sdr_content *sdr = vals->values[i]->sdr; + uint8_t indicators = vals->contents[i].threshold_events._value + & BMC_THRESHOLD_EVENT_MASK; + + if (0 == indicators) { + continue; + } + + rets[index].sensor_number = i; + rets[index].sensor_type = SDR_SENSOR_IS_POWER(&sdr->body) + ? BMC_POWER : BMC_THERMAL; + rets[index].which_thresholds = indicators; + index++; + } + +out: + return res; +} + +fpga_result bmcDestroySDRs(bmc_sdr_handle *records) +{ + fpga_result res = FPGA_OK; + + NULL_CHECK(records); + struct _sdr_rec *sdr = (struct _sdr_rec *)*records; + + if (BMC_SDR_MAGIC != sdr->magic) { + res = FPGA_INVALID_PARAM; + goto out; + } + + free(sdr->contents); + + sdr->magic = 0; + free(sdr); + + *records = NULL; + +out: + return res; +} + +fpga_result bmcDestroySensorValues(bmc_values_handle *values) +{ + fpga_result res = FPGA_OK; + + NULL_CHECK(values); + NULL_CHECK(*values); + struct _bmc_values *vals = (struct _bmc_values *)*values; + uint32_t i; + + if (BMC_VALUES_MAGIC != vals->magic) { + res = FPGA_INVALID_PARAM; + goto out; + } + + for (i = 0; i < vals->num_records; i++) { + free(vals->values[i]->name); + free(vals->values[i]); + } + + free(vals->contents); + free(vals->values); + + vals->magic = 0; + free(vals); + + *values = NULL; + +out: + return res; +} + +static void getThresholdValues(sdr_details *details, Values *this_val, + struct _sdr_content *sdr) +{ + uint8_t settable = + (sdr->body.discrete_settable_readable_threshold_mask._value + & 0x3f00) + >> 8; + + details->thresholds.upper_nr_thresh.is_valid = 0; + details->thresholds.upper_c_thresh.is_valid = 0; + details->thresholds.upper_nc_thresh.is_valid = 0; + details->thresholds.lower_nr_thresh.is_valid = 0; + details->thresholds.lower_c_thresh.is_valid = 0; + details->thresholds.lower_nc_thresh.is_valid = 0; + + if (!settable) { + return; + } + + if (settable & (1 << 5)) { + details->thresholds.upper_nr_thresh.is_valid = 1; + details->thresholds.upper_nr_thresh.value = + getvalue(this_val, sdr->body.upper_nr_threshold); + } + + if (settable & (1 << 4)) { + details->thresholds.upper_c_thresh.is_valid = 1; + details->thresholds.upper_c_thresh.value = + getvalue(this_val, sdr->body.upper_c_threshold); + } + + if (settable & (1 << 3)) { + details->thresholds.upper_nc_thresh.is_valid = 1; + details->thresholds.upper_nc_thresh.value = + getvalue(this_val, sdr->body.upper_nc_threshold); + } + + if (settable & (1 << 2)) { + details->thresholds.lower_nr_thresh.is_valid = 1; + details->thresholds.lower_nr_thresh.value = + getvalue(this_val, sdr->body.lower_nr_threshold); + } + + if (settable & (1 << 1)) { + details->thresholds.lower_c_thresh.is_valid = 1; + details->thresholds.lower_c_thresh.value = + getvalue(this_val, sdr->body.lower_c_threshold); + } + + if (settable & (1 << 0)) { + details->thresholds.lower_nc_thresh.is_valid = 1; + details->thresholds.lower_nc_thresh.value = + getvalue(this_val, sdr->body.lower_nc_threshold); + } +} + +fpga_result bmcGetSDRDetails(bmc_values_handle values, uint32_t sensor_number, + sdr_details *details) +{ + fpga_result res = FPGA_OK; + + NULL_CHECK(values); + NULL_CHECK(details); + struct _bmc_values *vals = (struct _bmc_values *)values; + Values *this_val = NULL; + + if (BMC_VALUES_MAGIC != vals->magic) { + res = FPGA_INVALID_PARAM; + goto out; + } + + if (sensor_number >= vals->num_records) { + res = FPGA_INVALID_PARAM; + goto out; + } + + this_val = vals->values[sensor_number]; + + details->sensor_number = sensor_number; + details->sensor_type = this_val->sensor_type; + details->name = this_val->name; + details->units = this_val->units; + details->M = this_val->M; + details->B = this_val->B; + details->accuracy = this_val->accuracy; + details->tolerance = this_val->tolerance; + details->result_exp = this_val->result_exp; + + getThresholdValues(details, this_val, vals->values[sensor_number]->sdr); + +out: + return res; +} + +fpga_result bmcDestroyTripped(tripped_thresholds *tripped) +{ + fpga_result res = FPGA_OK; + + NULL_CHECK(tripped); + + free(tripped); + + return res; +} + +fpga_result bmcGetFirmwareVersion(fpga_token token, uint32_t *version) +{ + fpga_result res = FPGA_OK; + + NULL_CHECK(token); + NULL_CHECK(version); + *version = (uint32_t)-1; + + device_id *tmp = NULL; + uint32_t tot_bytes; + + res = read_sysfs_file(token, SYSFS_DEVID_FILE, (void **)&tmp, + &tot_bytes); + if (FPGA_OK != res) { + goto out; + } + + if (!tmp) { + res = FPGA_EXCEPTION; + goto out; + } + + if (tmp->completion_code != 0) { + res = FPGA_NOT_FOUND; + goto out; + } + + *version = tmp->aux_fw_rev_0_7 | (tmp->aux_fw_rev_8_15 << 8) + | (tmp->aux_fw_rev_16_23 << 16) + | (tmp->aux_fw_rev_24_31 << 24); + +out: + if (tmp) { + free(tmp); + } + + return res; +} + +fpga_result bmcGetLastPowerdownCause(fpga_token token, char **cause) +{ + fpga_result res = FPGA_OK; + + NULL_CHECK(token); + NULL_CHECK(cause); + *cause = NULL; + + powerdown_cause *tmp = NULL; + uint32_t tot_bytes; + + res = read_sysfs_file(token, SYSFS_PWRDN_FILE, (void **)&tmp, + &tot_bytes); + if (FPGA_OK != res) { + goto out; + } + + if (!tmp) { + res = FPGA_EXCEPTION; + goto out; + } + + if (tmp->completion_code != 0) { + res = FPGA_NOT_FOUND; + goto out; + } + + *cause = strndup((const char *)tmp->message, + strnlen((const char *)tmp->message, SYSFS_PATH_MAX)); + +out: + if (tmp) { + free(tmp); + } + + return res; +} + +fpga_result bmcGetLastResetCause(fpga_token token, char **cause) +{ + fpga_result res = FPGA_OK; + + NULL_CHECK(token); + NULL_CHECK(cause); + *cause = NULL; + + reset_cause *tmp = NULL; + uint32_t tot_bytes; + + res = read_sysfs_file(token, SYSFS_RESET_FILE, (void **)&tmp, + &tot_bytes); + if (FPGA_OK != res) { + goto out; + } + + if (!tmp) { + res = FPGA_EXCEPTION; + goto out; + } + + if (tmp->completion_code != 0) { + res = FPGA_NOT_FOUND; + *cause = strndup((const char *)"Unavailable", + strnlen((const char *)"Unavailable", SYSFS_PATH_MAX)); + goto out; + } + + if (0 == tmp->reset_cause) { + *cause = strndup((const char *)"None", + strnlen((const char *)"None", SYSFS_PATH_MAX)); + goto out; + } + + if (tmp->reset_cause & CHIP_RESET_CAUSE_EXTRST) { + *cause = strndup((const char *)"External reset", + strnlen((const char *)"External reset", SYSFS_PATH_MAX)); + goto out; + } + + if (tmp->reset_cause & CHIP_RESET_CAUSE_BOD_IO) { + *cause = strndup((const char *)"Brown-out detected", + strnlen((const char *)"Brown-out detected", SYSFS_PATH_MAX)); + goto out; + } + + if (tmp->reset_cause & CHIP_RESET_CAUSE_OCD) { + *cause = strndup((const char *)"On-chip debug system", + strnlen((const char *)"On-chip debug system", SYSFS_PATH_MAX)); + goto out; + } + + if (tmp->reset_cause & CHIP_RESET_CAUSE_POR) { + *cause = strndup((const char *)"Power-on-reset", + strnlen((const char *)"Power-on-reset", SYSFS_PATH_MAX)); + goto out; + } + + if (tmp->reset_cause & CHIP_RESET_CAUSE_SOFT) { + *cause = strndup((const char *)"Software reset", + strnlen((const char *)"Software reset", SYSFS_PATH_MAX)); + goto out; + } + + if (tmp->reset_cause & CHIP_RESET_CAUSE_SPIKE) { + *cause = strndup((const char *)"Spike detected", + strnlen((const char *)"Spike detected", SYSFS_PATH_MAX)); + goto out; + } + + if (tmp->reset_cause & CHIP_RESET_CAUSE_WDT) { + *cause = strndup((const char *)"Watchdog timeout", + strnlen((const char *)"Watchdog timeout", SYSFS_PATH_MAX)); + goto out; + } + + *cause = strndup((const char *)"Unknown", + strnlen((const char *)"Unknown", SYSFS_PATH_MAX)); + +out: + if (tmp) { + free(tmp); + } + + return res; +} diff --git a/opae-libs/plugins/xfpga/metrics/bmc/bmc.h b/opae-libs/plugins/xfpga/metrics/bmc/bmc.h new file mode 100644 index 0000000..0906fed --- /dev/null +++ b/opae-libs/plugins/xfpga/metrics/bmc/bmc.h @@ -0,0 +1,213 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +/* + * @file fmeinfo.h + * + * @brief + */ +#ifndef BMC_H +#define BMC_H + +#include +#include "bmc_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * Load Sensor Data Records from the BMC on the FPGA. + * + * Query the BMC for descriptions of all sensors it supports. + * + * @note This call creates a new SDR object and allocates memory for it. It + * is the responsibility of the using application to free this memory after use + * by calling bmcDestroySDRs() for the SDR object. + * + * @note Calling this function with a NULL 'records' parameter will return + * the total number of sensors available in 'num_sensors'. + * + * @param[in] token fpga_token object for device (FPGA_DEVICE type) + * @param[out] records bmc_sdr_handle pointer. Can be NULL + * @param[inout] num_sensors The number of sensors available from the BMC + * @returns FPGA_OK on success + */ +fpga_result bmcLoadSDRs(fpga_token token, bmc_sdr_handle *records, + uint32_t *num_sensors); + +/** + * Free Sensor Data Records memory allocated by bmcLoadSDRs. + * + * @note The 'records' value will be set to NULL on success. + * + * @param[in] records bmc_sdr_handle pointer + * @returns FPGA_OK on success + */ +fpga_result bmcDestroySDRs(bmc_sdr_handle *records); + +/** + * Load raw sensor readings from the BMC on the FPGA. + * + * Read all the raw sensor values from the BMC. + * + * @note This call creates a new Values object and allocates memory for it. It + * is the responsibility of the using application to free this memory after use + * by calling bmcDestroySensorValues() for the Values object. + * + * @note This call obtains a snapshot of all the sensor readings, providing + * readings consistent with the time the function was called. + * + * @note Calling this function with a NULL 'values' parameter will return + * the total number of sensor readings available in 'num_values'. + * + * @param[in] records bmc_sdr_handle pointer + * @param[in] values bmc_values_handle pointer (can be NULL) + * @param[inout] num_values The number of sensor readings available from the + * BMC + * @returns FPGA_OK on success + */ +fpga_result bmcReadSensorValues(bmc_sdr_handle records, + bmc_values_handle *values, + uint32_t *num_values); + +/** + * Free Sensor Values memory allocated by bmcReadSensorValues. + * + * @note The 'values' value will be set to NULL on success. + * + * @param[in] values bmc_values_handle pointer + * @returns FPGA_OK on success + */ +fpga_result bmcDestroySensorValues(bmc_values_handle *values); + +/** + * Return a properly scaled value for a sensor. The value will be appropriate + * for comparison or printing in the units specified for the sensor. + * + * @note The raw value of the sensor (for linear sensors) is calculated using + * the "Mx + B * 10^exp" formula and is scaled by the specified result exponent. + * + * @note The accuracy and tolerance values are sensor-dependent and may not + * be supplied. If they are not supplied, the default value is zero for both. + * Tolerance is in number of 1/2 raw sensor reading increments. The accuracy + * is given in units of 1/100%, scaled up by the accuracy exponent (i.e., + * *10^exp). + * + * @param[in] values bmc_values_handle + * @param[in] sensor_number The sensor of interest + * @param[out] is_valid This sensor's reading is unavailable if 0 + * @param[out] value The scaled value of this sensor's reading + * @returns FPGA_OK on success + */ +fpga_result bmcGetSensorReading(bmc_values_handle values, + uint32_t sensor_number, uint32_t *is_valid, + double *value); + +/** + * Return a list of sensors whose thresholds are reported as exceeded by the + * BMC. + * + * @note This call allocates an array of tripped_thresholds structures of + * .size 'num_tripped'. It is the responsibility of the using application to + * free this memory after use by calling bmcDestroyTripped() for the 'tripped' + * object. + * + * @param[in] values bmc_values_handle + * @param[in] tripped Address where a pointer to an array of + * tripped_thresholds structs is stored + * (may be NULL) + * @param[out] num_tripped The number of sensors tripped + * @returns FPGA_OK on success + */ +fpga_result bmcThresholdsTripped(bmc_values_handle values, + tripped_thresholds **tripped, + uint32_t *num_tripped); + +/** + * Free Sensor Values memory allocated by bmcThresholdsTripped. + * + * @note The 'tripped' value will be set to NULL on success. + * + * @param[in] tripped bmc_values_handle pointer + * @returns FPGA_OK on success + */ +fpga_result bmcDestroyTripped(tripped_thresholds *tripped); + +/** + * Return detailed information regarding a sensor. + * + * @param[in] values bmc_values_handle + * @param[in] sensor_number The sensor of interest + * @param[out] details A pointer to a sdr_details structure + * @returns FPGA_OK on success + */ +fpga_result bmcGetSDRDetails(bmc_values_handle values, uint32_t sensor_number, + sdr_details *details); + +/** + * Return BMC reported microcontroller version. + * + * @param[in] token fpga_token object for device (FPGA_DEVICE type) + * @param[out] version BMC microcontroller version + * @returns FPGA_OK on success + */ +fpga_result bmcGetFirmwareVersion(fpga_token token, uint32_t *version); + +/** + * Return BMC reported last powerdown cause. + * + * @param[in] token fpga_token object for device (FPGA_DEVICE type) + * @param[out] cause String describing last powerdown cause + * @returns FPGA_OK on success + */ +fpga_result bmcGetLastPowerdownCause(fpga_token token, char **cause); + +/** + * Return BMC reported last reset cause. + * + * @param[in] token fpga_token object for device (FPGA_DEVICE type) + * @param[out] cause String describing last reset cause + * @returns FPGA_OK on success + */ +fpga_result bmcGetLastResetCause(fpga_token token, char **cause); + +/** + * Set BMC threshold values for a sensor. + * + * @param[in] sdr_h bmc_sdr_handle + * @param[in] sensor The sensor of interest + * @param[in] thresh A pointer to a list of thresholds to set + * @returns FPGA_OK on success + */ +fpga_result bmcSetHWThresholds(bmc_sdr_handle sdr_h, uint32_t sensor, + threshold_list *thresh); + + +#ifdef __cplusplus +} +#endif + +#endif /* !BMC_H */ diff --git a/opae-libs/plugins/xfpga/metrics/bmc/bmc_ioctl.c b/opae-libs/plugins/xfpga/metrics/bmc/bmc_ioctl.c new file mode 100644 index 0000000..b447168 --- /dev/null +++ b/opae-libs/plugins/xfpga/metrics/bmc/bmc_ioctl.c @@ -0,0 +1,293 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include +#include "bmc.h" +#include "bmcdata.h" +#include +#include +#ifndef _WIN32 +#include +#include +#else +#include +#endif +#include +#include +#include "bmc_ioctl.h" + +#include + +#define NULL_CHECK(x) \ + do { \ + if (NULL == (x)) { \ + return FPGA_INVALID_PARAM; \ + } \ + } while (0) + +fpga_result rawFromDouble(Values *details, double dbl, uint8_t *raw) +{ + fpga_result res = FPGA_OK; + + NULL_CHECK(details); + NULL_CHECK(raw); + + int32_t R_exp = -(details->result_exp); + int32_t i; + for (i = 0; i < abs(R_exp); i++) { + if (R_exp < 0) { + dbl /= 10.0; + } else { + dbl *= 10.0; + } + } + + dbl = (dbl - details->B) / details->M; + + *raw = dbl > (double)0xff ? (uint8_t)0xff : (uint8_t)dbl; + + return res; +} + +void fill_set_request(Values *vals, threshold_list *thresh, + bmc_set_thresh_request *req) +{ + fpga_result res = FPGA_OK; + uint8_t mask = 0; + + if (thresh->upper_nr_thresh.is_valid) { + mask |= UNR_thresh; + res += rawFromDouble(vals, thresh->upper_nr_thresh.value, + &req->UNR); + } else { + mask &= ~UNR_thresh; + } + + if (thresh->upper_c_thresh.is_valid) { + mask |= UC_thresh; + res += rawFromDouble(vals, thresh->upper_c_thresh.value, + &req->UC); + } else { + mask &= ~UC_thresh; + } + + if (thresh->upper_nc_thresh.is_valid) { + mask |= UNC_thresh; + res += rawFromDouble(vals, thresh->upper_nc_thresh.value, + &req->UNC); + } else { + mask &= ~UNC_thresh; + } + + if (thresh->lower_nr_thresh.is_valid) { + mask |= LNR_thresh; + res += rawFromDouble(vals, thresh->lower_nr_thresh.value, + &req->LNR); + } else { + mask &= ~LNR_thresh; + } + + if (thresh->lower_c_thresh.is_valid) { + mask |= LC_thresh; + res += rawFromDouble(vals, thresh->lower_c_thresh.value, + &req->LC); + } else { + mask &= ~LC_thresh; + } + + if (thresh->lower_nc_thresh.is_valid) { + mask |= LNC_thresh; + res += rawFromDouble(vals, thresh->lower_nc_thresh.value, + &req->LNC); + } else { + mask &= ~LNC_thresh; + } + + if (FPGA_OK == res) { + req->mask = mask; + } +} + +fpga_result _bmcSetThreshold(int fd, uint32_t sensor, + bmc_set_thresh_request *req) +{ + bmc_xact xact = {0}; + bmc_set_thresh_response resp; + fpga_result res = FPGA_OK; + + xact.argsz = sizeof(xact); + xact.txlen = sizeof(bmc_set_thresh_request); + xact.rxlen = sizeof(bmc_set_thresh_response); + xact.txbuf = (uint64_t)req; + xact.rxbuf = (uint64_t)&resp; + + req->sens_num = sensor; + + req->header[0] = BMC_THRESH_HEADER_0; + req->header[1] = BMC_THRESH_HEADER_1; + req->header[2] = BMC_SET_THRESH_CMD; + + if (ioctl(fd, _IOWR(AVMMI_BMC_MAGIC, 0, struct avmmi_bmc_xact), &xact) + != 0) { + res = FPGA_INVALID_PARAM; + goto out_close; + } + + if (resp.cc) { + res = FPGA_EXCEPTION; + } + +out_close: + return res; +} + +fpga_result _bmcGetThreshold(int fd, uint32_t sensor, + bmc_get_thresh_response *resp) +{ + bmc_xact xact = {0}; + bmc_get_thresh_request req; + fpga_result res = FPGA_OK; + + xact.argsz = sizeof(xact); + xact.txlen = sizeof(bmc_get_thresh_request); + xact.rxlen = sizeof(bmc_get_thresh_response); + xact.txbuf = (uint64_t)&req; + xact.rxbuf = (uint64_t)resp; + + req.sens_num = sensor; + + req.header[0] = BMC_THRESH_HEADER_0; + req.header[1] = BMC_THRESH_HEADER_1; + req.header[2] = BMC_GET_THRESH_CMD; + + if (ioctl(fd, _IOWR(AVMMI_BMC_MAGIC, 0, struct avmmi_bmc_xact), &xact) + != 0) { + res = FPGA_INVALID_PARAM; + goto out_close; + } + + if (resp->cc) { + res = FPGA_EXCEPTION; + } + +out_close: + return res; +} + +fpga_result bmcSetHWThresholds(bmc_sdr_handle sdr_h, uint32_t sensor, + threshold_list *thresh) +{ + fpga_result res = FPGA_OK; + char sysfspath[SYSFS_PATH_MAX] = { 0, }; + int fd = 0; + bmc_set_thresh_request req; + Values *vals; + sensor_reading read; + bmc_get_thresh_response resp; + size_t len; + + NULL_CHECK(sdr_h); + NULL_CHECK(thresh); + struct _sdr_rec *sdr = (struct _sdr_rec *)sdr_h; + + if (BMC_SDR_MAGIC != sdr->magic) { + return FPGA_INVALID_PARAM; + } + + if (sensor >= sdr->num_records) { + return FPGA_INVALID_PARAM; + } + + if (snprintf(sysfspath, sizeof(sysfspath), + "%s/" SYSFS_AVMMI_DIR, sdr->sysfs_path) < 0) { + OPAE_ERR("snprintf buffer overflow"); + return FPGA_EXCEPTION; + } + + glob_t pglob; + int gres = glob(sysfspath, GLOB_NOSORT, NULL, &pglob); + if ((gres) || (1 != pglob.gl_pathc)) { + globfree(&pglob); + return FPGA_NOT_FOUND; + } + + char *avmmi = strrchr(pglob.gl_pathv[0], '/'); + if (NULL == avmmi) { + globfree(&pglob); + return FPGA_NOT_FOUND; + } + + strncpy(sysfspath, "/dev/", 6); + len = strnlen(&avmmi[1], sizeof(sysfspath) - 6); + strncat(sysfspath, &avmmi[1], len + 1); + + fd = open(sysfspath, O_RDWR); + globfree(&pglob); + if (fd < 0) { + return FPGA_NOT_FOUND; + } + + memset(&req, 0, sizeof(req)); + memset(&read, 0, sizeof(read)); + + vals = bmc_build_values(&read, &sdr->contents[sensor].header, + &sdr->contents[sensor].key, + &sdr->contents[sensor].body); + + if (NULL == vals) { + close(fd); + return FPGA_NO_MEMORY; + } + + res = _bmcGetThreshold(fd, sensor, &resp); + if (FPGA_OK != res) { + fprintf(stderr, "Error returned from _bmcGetThreshold\n"); + } + + lseek(fd, 0, SEEK_SET); + + req.mask = resp.mask; + req.LNC = resp.LNC; + req.LC = resp.LC; + req.LNR = resp.LNR; + req.UNC = resp.UNC; + req.UC = resp.UC; + req.UNR = resp.UNR; + + fill_set_request(vals, thresh, &req); + + if (vals->name) + free(vals->name); + + if (vals) + free(vals); + + res = _bmcSetThreshold(fd, sensor, &req); + + close(fd); + + return res; +} diff --git a/opae-libs/plugins/xfpga/metrics/bmc/bmc_ioctl.h b/opae-libs/plugins/xfpga/metrics/bmc/bmc_ioctl.h new file mode 100644 index 0000000..2cdbbd1 --- /dev/null +++ b/opae-libs/plugins/xfpga/metrics/bmc/bmc_ioctl.h @@ -0,0 +1,122 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +/* + * @file bmc_ioctl.h + * + * @brief + */ +#ifndef BMC_IOCTL_H +#define BMC_IOCTL_H + +#include +#include +#include "bmcdata.h" +#ifdef __cplusplus +extern "C" { +#endif + +//#define BMC_IOCTL_MAGIC (0xc0187600) +#define AVMMI_BMC_MAGIC (0x76) + +#define BMC_THRESH_HEADER_0 (0x4 << 2) +#define BMC_THRESH_HEADER_1 (0) +#define BMC_SET_THRESH_CMD (0x26) +#define BMC_GET_THRESH_CMD (0x27) + +#pragma pack(push, 1) + +typedef struct avmmi_bmc_xact { + uint32_t argsz; + uint16_t txlen; + uint16_t rxlen; + uint64_t txbuf; + uint64_t rxbuf; +} bmc_xact; + +typedef struct { + uint8_t header[3]; + uint8_t sens_num; + uint8_t mask; + uint8_t LNC; + uint8_t LC; + uint8_t LNR; + uint8_t UNC; + uint8_t UC; + uint8_t UNR; +} bmc_set_thresh_request; + +typedef struct { + uint8_t header[3]; + uint8_t cc; +} bmc_set_thresh_response; + +typedef struct { + uint8_t header[3]; + uint8_t sens_num; +} bmc_get_thresh_request; + +typedef struct { + uint8_t header[3]; + uint8_t cc; + uint8_t mask; + uint8_t LNC; + uint8_t LC; + uint8_t LNR; + uint8_t UNC; + uint8_t UC; + uint8_t UNR; +} bmc_get_thresh_response; + +#pragma pack(pop) + +typedef enum { + LNC_thresh = 0x01, + LC_thresh = 0x02, + LNR_thresh = 0x04, + UNC_thresh = 0x08, + UC_thresh = 0x10, + UNR_thresh = 0x20, +} Thresh; + +fpga_result rawFromDouble(Values *details, double dbl, uint8_t *raw); + +void fill_set_request(Values *vals, threshold_list *thresh, + bmc_set_thresh_request *req); + +fpga_result _bmcSetThreshold(int fd, uint32_t sensor, + bmc_set_thresh_request *req); + +fpga_result _bmcGetThreshold(int fd, uint32_t sensor, + bmc_get_thresh_response *resp); + +fpga_result bmcSetHWThresholds(bmc_sdr_handle sdr_h, uint32_t sensor, + threshold_list *thresh); + +#ifdef __cplusplus +} +#endif + +#endif /* !BMC_IOCTL_H */ diff --git a/opae-libs/plugins/xfpga/metrics/bmc/bmc_types.h b/opae-libs/plugins/xfpga/metrics/bmc/bmc_types.h new file mode 100644 index 0000000..16c5ce8 --- /dev/null +++ b/opae-libs/plugins/xfpga/metrics/bmc/bmc_types.h @@ -0,0 +1,112 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +/* + * @file fmeinfo.h + * + * @brief + */ +#ifndef BMC_TYPES_H +#define BMC_TYPES_H + +#include +#include + +#ifdef __cplusplus +extern "C" { +#endif + +// Order and values important - see IPMI spec 35.14 +#define BMC_UPPER_NON_RECOVERABLE 0x20 +#define BMC_UPPER_CRITICAL 0x10 +#define BMC_UPPER_NON_CRITICAL 0x08 +#define BMC_LOWER_NON_RECOVERABLE 0x04 +#define BMC_LOWER_CRITICAL 0x02 +#define BMC_LOWER_NON_CRITICAL 0x01 +#define BMC_THRESHOLD_EVENT_MASK \ + (BMC_UPPER_NON_RECOVERABLE | BMC_UPPER_CRITICAL \ + | BMC_UPPER_NON_CRITICAL | BMC_LOWER_NON_RECOVERABLE \ + | BMC_LOWER_CRITICAL | BMC_LOWER_NON_CRITICAL) + +#define BMC_UPPER_NR_TRIPPED(t) \ + (((t).which_thresholds & BMC_UPPER_NON_RECOVERABLE) != 0) +#define BMC_UPPER_C_TRIPPED(t) \ + (((t).which_thresholds & BMC_UPPER_CRITICAL) != 0) +#define BMC_UPPER_NC_TRIPPED(t) \ + (((t).which_thresholds & BMC_UPPER_NON_CRITICAL) != 0) +#define BMC_LOWER_NR_TRIPPED(t) \ + (((t).which_thresholds & BMC_LOWER_NON_RECOVERABLE) != 0) +#define BMC_LOWER_C_TRIPPED(t) \ + (((t).which_thresholds & BMC_LOWER_CRITICAL) != 0) +#define BMC_LOWER_NC_TRIPPED(t) \ + (((t).which_thresholds & BMC_LOWER_NON_CRITICAL) != 0) + +typedef void *bmc_sdr_handle; +typedef void *bmc_values_handle; + +typedef enum { + BMC_THERMAL, + BMC_POWER, + BMC_ALL, +} BMC_SENSOR_TYPE; + +typedef struct { + uint32_t sensor_number; + BMC_SENSOR_TYPE sensor_type; + uint32_t which_thresholds; // bit vector +} tripped_thresholds; + +typedef struct _per_threshold { + uint32_t is_valid; + double value; +} per_thresh; + +typedef struct _thresholds { + per_thresh upper_nr_thresh; + per_thresh upper_c_thresh; + per_thresh upper_nc_thresh; + per_thresh lower_nr_thresh; + per_thresh lower_c_thresh; + per_thresh lower_nc_thresh; +} threshold_list; + +typedef struct _sdr_details { + uint32_t sensor_number; + BMC_SENSOR_TYPE sensor_type; + char *name; + wchar_t *units; + double M; + double B; + double accuracy; + uint32_t tolerance; + int32_t result_exp; + threshold_list thresholds; +} sdr_details; + +#ifdef __cplusplus +} +#endif + +#endif /* !BMC_TYPES_H */ diff --git a/opae-libs/plugins/xfpga/metrics/bmc/bmcdata.c b/opae-libs/plugins/xfpga/metrics/bmc/bmcdata.c new file mode 100644 index 0000000..65ab652 --- /dev/null +++ b/opae-libs/plugins/xfpga/metrics/bmc/bmcdata.c @@ -0,0 +1,104 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include "bmcdata.h" +#include +#ifndef _WIN32 +#include +#include +#endif +#include +#include +#include +#include +#include +#include + +double getvalue(Values *val, uint8_t raw) +{ + int i; + + double res = val->M * raw + val->B; + for (i = 0; i < abs(val->result_exp); i++) { + if (val->result_exp >= 0) { + res *= 10.0; + } else { + res /= 10.0; + } + } + + return res; +} + +void calc_params(sdr_body *body, Values *val) +{ + int32_t i; + int32_t M_val = 0; + int32_t B_val = 0; + uint32_t A_val = 0; + uint32_t T_val = 0; + uint32_t A_exp = 0; + int32_t R_exp = 0; + int32_t B_exp = 0; + +#define SIGN_EXT(val, bitpos) (((val) ^ (1 << (bitpos))) - (1 << (bitpos))) + + B_val = (body->B_accuracy.bits.B_2_msb << 8) | body->B_8_lsb; + B_val = SIGN_EXT(B_val, 9); + + M_val = (body->M_tolerance.bits.M_2_msb << 8) | body->M_8_lsb; + M_val = SIGN_EXT(M_val, 9); + + A_val = (body->accuracy_accexp_sensor_direction.bits.accuracy_4_msb + << 6) + | body->B_accuracy.bits.accuracy_6_lsb; + + T_val = body->M_tolerance.bits.tolerance; + + A_exp = body->accuracy_accexp_sensor_direction.bits.accuracy_exp; + R_exp = body->R_exp_B_exp.bits.R_exp; + R_exp = SIGN_EXT(R_exp, 3); + B_exp = body->R_exp_B_exp.bits.B_exp; + B_exp = SIGN_EXT(B_exp, 3); + + val->M = (double)M_val; + val->tolerance = T_val; + val->B = (double)B_val; + val->result_exp = R_exp; + val->A_exp = A_exp; + for (i = 0; i < abs(B_exp); i++) { + if (B_exp >= 0) { + val->B *= 10.0; + } else { + val->B /= 10.0; + } + } + + val->accuracy = (double)A_val; + for (i = 0; i < (int32_t)A_exp; i++) { + val->accuracy *= 10.0; + } +} diff --git a/opae-libs/plugins/xfpga/metrics/bmc/bmcdata.h b/opae-libs/plugins/xfpga/metrics/bmc/bmcdata.h new file mode 100644 index 0000000..b4f5693 --- /dev/null +++ b/opae-libs/plugins/xfpga/metrics/bmc/bmcdata.h @@ -0,0 +1,61 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +/* + * @file bmcdata.h + * + * @brief + */ +#ifndef BMCDATA_H +#define BMCDATA_H + +#include +#include +#include "bmcinfo.h" + +typedef enum { + CHIP_RESET_CAUSE_POR = 0x01, + CHIP_RESET_CAUSE_EXTRST = 0x02, + CHIP_RESET_CAUSE_BOD_IO = 0x04, + CHIP_RESET_CAUSE_WDT = 0x08, + CHIP_RESET_CAUSE_OCD = 0x10, + CHIP_RESET_CAUSE_SOFT = 0x20, + CHIP_RESET_CAUSE_SPIKE = 0x40, +} ResetCauses; + +#ifdef __cplusplus +extern "C" { +#endif +void calc_params(sdr_body *body, Values *val); + +double getvalue(Values *val, uint8_t raw); + +fpga_result read_sysfs_file(fpga_token token, const char *file, + void **buf, uint32_t *tot_bytes_ret); +#ifdef __cplusplus +} +#endif + +#endif /* !BMCDATA_H */ diff --git a/opae-libs/plugins/xfpga/metrics/bmc/bmcinfo.c b/opae-libs/plugins/xfpga/metrics/bmc/bmcinfo.c new file mode 100644 index 0000000..01c3a93 --- /dev/null +++ b/opae-libs/plugins/xfpga/metrics/bmc/bmcinfo.c @@ -0,0 +1,206 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifndef _WIN32 +#include +#include +#else +#include +#endif +#include +#include +#include +#include +#include +#include +#include "bmcinfo.h" +#include "bmcdata.h" +#include + +static wchar_t *base_units[] = {L"unspecified", + L"\x00b0\x0043", // degrees C + L"\x00b0\x0046", // degrees F + L"\x00b0\x004b", // degrees K + L"Volts", + L"Amps", + L"Watts", + L"Joules", + L"Coulombs", + L"VA", + L"Nits", + L"limen", + L"lux", + L"Candela", + L"kPa", + L"PSI", + L"Newton", + L"CFM", + L"RPM", + L"Hz", + L"microsecond", + L"millisecond", + L"second", + L"minute", + L"hour", + L"day", + L"week", + L"mil", + L"inches", + L"feet", + L"in\x00b3", + L"ft\x00b3", + L"mm", + L"cm", + L"m", + L"cm\x00b3", + L"m\x00b3", + L"liters", + L"fluid ounce", + L"radians", + L"steradians", + L"revolutions", + L"cycles", + L"gravities", + L"ounce", + L"pound", + L"ft-lb", + L"oz-in", + L"gauss", + L"gilberts", + L"henry", + L"millihenry", + L"farad", + L"microfarad", + L"ohms", + L"siemens", + L"mole", + L"becquerel", + L"PPM", + L"reserved", + L"Decibels", + L"DbA", + L"DbC", + L"gray", + L"sievert", + L"color temp \x00b0\x004b", // degrees K + L"bit", + L"kilobit", + L"megabit", + L"gigabit", + L"byte", + L"kilobyte", + L"megabyte", + L"gigabyte", + L"word", + L"dword", + L"qword", + L"line", + L"hit", + L"miss", + L"retry", + L"reset", + L"overrun / overflow", + L"underrun", + L"collision", + L"packets", + L"messages", + L"characters", + L"error", + L"correctable error", + L"uncorrectable error", + L"fatal error", + L"grams"}; + +static size_t max_base_units = (sizeof(base_units) / sizeof(base_units[0])); + +Values *bmc_build_values(sensor_reading *reading, sdr_header *header, + sdr_key *key, sdr_body *body) +{ + Values *val = (Values *)calloc(1, sizeof(Values)); + + (void)header; + + if (NULL == val) + return NULL; + + val->is_valid = true; + + if (!reading->sensor_validity.sensor_state.sensor_scanning_disabled) { + val->annotation_1 = "scanning enabled"; + // val->is_valid = false; + } + if (reading->sensor_validity.sensor_state.reading_state_unavailable) { + val->annotation_2 = "reading state unavailable"; + val->is_valid = false; + } + if (!reading->sensor_validity.sensor_state.event_messages_disabled) { + val->annotation_3 = "event messages enabled"; + } + + if (body->id_string_type_length_code.bits.format == ASCII_8) { + uint8_t len = + body->id_string_type_length_code.bits.len_in_characters; + if ((len == 0x1f) || (len == 0)) { + val->name = strdup("**INVALID**"); + val->is_valid = false; + } else { + val->name = strdup((char *)&body->string_bytes[0]); + } + } else { + val->name = strdup("**String type unimplemented**"); + DBG_PRINT("String type other than ASCII8\n"); + } + + val->sensor_number = key->sensor_number; + val->sensor_type = + SDR_SENSOR_IS_TEMP(body) + ? BMC_THERMAL + : SDR_SENSOR_IS_POWER(body) ? BMC_POWER : BMC_ALL; + + switch (body->sensor_units_1.bits.analog_data_format) { + case 0x0: // unsigned + case 0x1: // 1's compliment (signed) + case 0x2: // 2's complement (signed) + break; + case 0x3: // Does not return a reading + val->is_valid = false; + break; + } + + if (body->sensor_units_2 < max_base_units) { + val->units = base_units[body->sensor_units_2]; + } else { + val->units = L"*OUT OF RANGE*"; + } + + calc_params(body, val); + + val->raw_value = (uint64_t)reading->sens_reading; + val->val_type = SENSOR_FLOAT; + val->value.f_val = getvalue(val, val->raw_value); + + return val; +} diff --git a/opae-libs/plugins/xfpga/metrics/bmc/bmcinfo.h b/opae-libs/plugins/xfpga/metrics/bmc/bmcinfo.h new file mode 100644 index 0000000..f09cd89 --- /dev/null +++ b/opae-libs/plugins/xfpga/metrics/bmc/bmcinfo.h @@ -0,0 +1,550 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +/* + * @file bmcinfo.h + * + * @brief + */ +#ifndef BMCINFO_H +#define BMCINFO_H + +#include +#include +#include "bmc_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +#ifdef DEBUG +#define DBG_PRINT(...) \ + do { \ + fprintf(stderr, __VA_ARGS__); \ + fflush(stdout); \ + fflush(stderr); \ + } while (0) +#else +#define DBG_PRINT(...) \ + do { \ + fflush(stdout); \ + fflush(stderr); \ + } while (0) +#endif + +#define SYSFS_PATH_MAX 256 + +// sysfs file names for power and temperature +#define SYSFS_SDR_FILE "avmmi-bmc.*.auto/bmc_info/sdr" +#define SYSFS_SENSOR_FILE "avmmi-bmc.*.auto/bmc_info/sensors" +#define SYSFS_DEVID_FILE "avmmi-bmc.*.auto/bmc_info/device_id" +#define SYSFS_RESET_FILE "avmmi-bmc.*.auto/bmc_info/reset_cause" +#define SYSFS_PWRDN_FILE "avmmi-bmc.*.auto/bmc_info/power_down_cause" +#define SYSFS_AVMMI_DIR "avmmi-bmc.*.auto" +#define SYSFS_THERMAL_FILE "thermal_mgmt/temperature" + +#pragma pack(push, 1) + +// Structures used to read and decode Sensor Data Records (SDR) +typedef struct _sdr_header { + uint16_t record_id; + uint8_t sdr_version; + uint8_t record_type; + uint8_t record_length; +} sdr_header; + +typedef struct _sdr_key { + uint8_t sensor_owner_id; + uint8_t sensor_owner_lun; + uint8_t sensor_number; +} sdr_key; + +typedef struct _sdr_body { + uint8_t entity_id; + + union _entity_instance { + struct { + uint8_t instance_number : 7; + uint8_t physical_logical : 1; + } bits; + uint8_t _value; + } entity_instance; + + union _sensor_initialization { + struct { + uint8_t scanning_enabled : 1; + uint8_t events_enabled : 1; + uint8_t init_sensor_type : 1; + uint8_t init_hysteresis : 1; + uint8_t init_thresholds : 1; + uint8_t init_events : 1; + uint8_t init_scanning : 1; + uint8_t settable_sensor : 1; + } bits; + uint8_t _value; + } sensor_initialization; + + union _sensor_capabilities { + struct { + uint8_t msg_control_support : 2; + uint8_t threshold_access_support : 2; + uint8_t hysteresis_support : 2; + uint8_t auto_rearm : 1; + uint8_t ignore_sensor : 1; + } bits; + uint8_t _value; + } sensor_capabilities; + + uint8_t sensor_type; +#define SDR_SENSOR_IS_TEMP(psdr) ((psdr)->sensor_type == 0x1) +#define SDR_SENSOR_IS_POWER(psdr) \ + (((psdr)->sensor_type == 0x2) || ((psdr)->sensor_type == 0x3) \ + || ((psdr)->sensor_type == 0x8)) + + uint8_t event_reading_type_code; + + union _assertion_event_lower_threshold_mask { + struct { + uint16_t event_offset_0 : 1; + uint16_t event_offset_1 : 1; + uint16_t event_offset_2 : 1; + uint16_t event_offset_3 : 1; + uint16_t event_offset_4 : 1; + uint16_t event_offset_5 : 1; + uint16_t event_offset_6 : 1; + uint16_t event_offset_7 : 1; + uint16_t event_offset_8 : 1; + uint16_t event_offset_9 : 1; + uint16_t event_offset_10 : 1; + uint16_t event_offset_11 : 1; + uint16_t event_offset_12 : 1; + uint16_t event_offset_13 : 1; + uint16_t event_offset_14 : 1; + uint16_t _reserved : 1; + } assertion_event_mask; + struct { + uint16_t _unused : 12; + uint16_t lower_nc_thresh_comparison : 1; + uint16_t lower_c_thresh_comparison : 1; + uint16_t lower_nr_thresh_comparison : 1; + uint16_t _reserved : 1; + } lower_threshold_mask; + struct { + uint16_t + assertion_event_lower_nc_going_low_supported : 1; + uint16_t + assertion_event_lower_nc_going_high_supported : 1; + uint16_t + assertion_event_lower_c_going_low_supported : 1; + uint16_t + assertion_event_lower_c_going_high_supported : 1; + uint16_t + assertion_event_lower_nr_going_low_supported : 1; + uint16_t + assertion_event_lower_nr_going_high_supported : 1; + uint16_t + assertion_event_upper_nc_going_low_supported : 1; + uint16_t + assertion_event_upper_nc_going_high_supported : 1; + uint16_t + assertion_event_upper_c_going_low_supported : 1; + uint16_t + assertion_event_upper_c_going_high_supported : 1; + uint16_t + assertion_event_upper_nr_going_low_supported : 1; + uint16_t + assertion_event_upper_nr_going_high_supported : 1; + uint16_t _unused : 4; + } threshold_assertion_event_mask; + uint16_t _value; + } assertion_event_lower_threshold_mask; + + union _deassertion_event_upper_threshold_mask { + struct { + uint16_t event_offset_0 : 1; + uint16_t event_offset_1 : 1; + uint16_t event_offset_2 : 1; + uint16_t event_offset_3 : 1; + uint16_t event_offset_4 : 1; + uint16_t event_offset_5 : 1; + uint16_t event_offset_6 : 1; + uint16_t event_offset_7 : 1; + uint16_t event_offset_8 : 1; + uint16_t event_offset_9 : 1; + uint16_t event_offset_10 : 1; + uint16_t event_offset_11 : 1; + uint16_t event_offset_12 : 1; + uint16_t event_offset_13 : 1; + uint16_t event_offset_14 : 1; + uint16_t _reserved : 1; + } deassertion_event_mask; + struct { + uint16_t _unused : 12; + uint16_t upper_nc_thresh_comparison : 1; + uint16_t upper_c_thresh_comparison : 1; + uint16_t upper_nr_thresh_comparison : 1; + uint16_t _reserved : 1; + } upper_threshold_mask; + struct { + uint16_t + deassertion_event_lower_nc_going_low_supported : 1; + uint16_t + deassertion_event_lower_nc_going_high_supported : 1; + uint16_t + deassertion_event_lower_c_going_low_supported : 1; + uint16_t + deassertion_event_lower_c_going_high_supported : 1; + uint16_t + deassertion_event_lower_nr_going_low_supported : 1; + uint16_t + deassertion_event_lower_nr_going_high_supported : 1; + uint16_t + deassertion_event_upper_nc_going_low_supported : 1; + uint16_t + deassertion_event_upper_nc_going_high_supported : 1; + uint16_t + deassertion_event_upper_c_going_low_supported : 1; + uint16_t + deassertion_event_upper_c_going_high_supported : 1; + uint16_t + deassertion_event_upper_nr_going_low_supported : 1; + uint16_t + deassertion_event_upper_nr_going_high_supported : 1; + uint16_t _unused : 4; + } threshold_deassertion_event_mask; + uint16_t _value; + } deassertion_event_upper_threshold_mask; + + union _discrete_settable_readable_threshold_mask { + struct { + uint16_t discrete_state_enable_0 : 1; + uint16_t discrete_state_enable_1 : 1; + uint16_t discrete_state_enable_2 : 1; + uint16_t discrete_state_enable_3 : 1; + uint16_t discrete_state_enable_4 : 1; + uint16_t discrete_state_enable_5 : 1; + uint16_t discrete_state_enable_6 : 1; + uint16_t discrete_state_enable_7 : 1; + uint16_t discrete_state_enable_8 : 1; + uint16_t discrete_state_enable_9 : 1; + uint16_t discrete_state_enable_10 : 1; + uint16_t discrete_state_enable_11 : 1; + uint16_t discrete_state_enable_12 : 1; + uint16_t discrete_state_enable_13 : 1; + uint16_t discrete_state_enable_14 : 1; + uint16_t _reserved : 1; + } discrete_reading_mask; + struct { + uint16_t _unused : 8; + uint16_t lower_nc_thresh_settable : 1; + uint16_t lower_c_thresh_settable : 1; + uint16_t lower_nr_thresh_settable : 1; + uint16_t upper_nc_thresh_settable : 1; + uint16_t upper_c_thresh_settable : 1; + uint16_t upper_nr_thresh_settable : 1; + uint16_t _reserved : 2; + } settable_threshold_mask; + struct { + uint16_t lower_nc_thresh_readable : 1; + uint16_t lower_c_thresh_readable : 1; + uint16_t lower_nr_thresh_readable : 1; + uint16_t upper_nc_thresh_readable : 1; + uint16_t upper_c_thresh_readable : 1; + uint16_t upper_nr_thresh_readable : 1; + uint16_t _unused : 10; + } readable_threshold_mask; + uint16_t _value; + } discrete_settable_readable_threshold_mask; + + union _sensor_units_1 { + struct { + uint8_t percentage : 1; + uint8_t modifier_unit : 2; + uint8_t rate_unit : 3; + uint8_t analog_data_format : 2; + } bits; + uint8_t _value; + } sensor_units_1; + + uint8_t sensor_units_2; + uint8_t sensor_units_3; + + union _linearization { + struct { + uint8_t linearity_enum : 7; + uint8_t _reserved : 1; + } bits; + uint8_t _value; + } linearization; + + uint8_t M_8_lsb; + + union _M_tolerance { + struct { + uint8_t tolerance : 6; + uint8_t M_2_msb : 2; + } bits; + uint8_t _value; + } M_tolerance; + + uint8_t B_8_lsb; + + union _B_accuracy { + struct { + uint8_t accuracy_6_lsb : 6; + uint8_t B_2_msb : 2; + } bits; + uint8_t _value; + } B_accuracy; + + union _accuracy_accexp_sensor_direction { + struct { + uint8_t sensor_direction : 2; + uint8_t accuracy_exp : 2; + uint8_t accuracy_4_msb : 4; + } bits; + uint8_t _value; + } accuracy_accexp_sensor_direction; + + union _R_exp_B_exp { + struct { + uint8_t B_exp : 4; + uint8_t R_exp : 4; + } bits; + uint8_t _value; + } R_exp_B_exp; + + union _analog_characteristic_flags { + struct { + uint8_t nominal_reading_specified : 1; + uint8_t normal_max_specified : 1; + uint8_t normal_min_specified : 1; + uint8_t _reserved : 5; + } bits; + uint8_t _value; + } analog_characteristic_flags; + + uint8_t nominal_reading; + uint8_t normal_maximum; + uint8_t normal_minimum; + uint8_t sensor_maximum_reading; + uint8_t sensor_minimum_reading; + uint8_t upper_nr_threshold; + uint8_t upper_c_threshold; + uint8_t upper_nc_threshold; + uint8_t lower_nr_threshold; + uint8_t lower_c_threshold; + uint8_t lower_nc_threshold; + uint8_t pos_going_threshold_hysteresis_val; + uint8_t neg_going_threshold_hysteresis_val; + + uint8_t _reserved0; + uint8_t _reserved1; + uint8_t oem; + + union _id_string_type_length_code { + struct { + uint8_t len_in_characters : 5; // 11111b reserved, + // 00000b means none + // following + uint8_t _reserved : 1; + uint8_t format : 2; // using TLC_FORMAT enum + } bits; + uint8_t _value; + } id_string_type_length_code; + + uint8_t string_bytes[26]; // Interpreted based on type/length code +} sdr_body; + +typedef enum _TLC_FORMAT { + unicode = 0x0, + BCD_plus = 0x1, + ASCII_6 = 0x2, // packed + ASCII_8 = 0x3 +} TLC_FORMAT; + +extern uint8_t bcd_plus[]; + +extern uint8_t ASCII_6_bit_translation[]; + +typedef struct _sensor_reading { + uint8_t _header[3]; // Ignored + uint8_t completion_code; + uint8_t sens_reading; + union { + struct { + uint8_t _unused : 5; + uint8_t reading_state_unavailable : 1; + uint8_t sensor_scanning_disabled : 1; + uint8_t event_messages_disabled : 1; + } sensor_state; + uint8_t _value; + } sensor_validity; + union { + struct { + uint8_t at_or_below_lower_nc_threshold : 1; + uint8_t at_or_below_lower_c_threshold : 1; + uint8_t at_or_below_lower_nr_threshold : 1; + uint8_t at_or_above_upper_nc_threshold : 1; + uint8_t at_or_above_upper_c_threshold : 1; + uint8_t at_or_above_upper_nr_threshold : 1; + uint8_t _unused : 2; + } threshold_sensors; + struct { + uint8_t state_asserted_0 : 1; + uint8_t state_asserted_1 : 1; + uint8_t state_asserted_2 : 1; + uint8_t state_asserted_3 : 1; + uint8_t state_asserted_4 : 1; + uint8_t state_asserted_5 : 1; + uint8_t state_asserted_6 : 1; + uint8_t state_asserted_7 : 1; + } discrete_sensors; + uint8_t _value; + } threshold_events; +} sensor_reading; + +typedef struct _device_id { + uint8_t _header[3]; // Ignored + uint8_t completion_code; + uint8_t device_id; + union { + struct { + uint8_t device_revision : 3; + uint8_t _unused : 3; + uint8_t provides_sdrs : 2; + } bits; + uint8_t _value; + } device_revision; + union { + struct { + uint8_t device_available : 7; + uint8_t major_fw_revision : 1; + } bits; + uint8_t _value; + } firmware_revision_1; + uint8_t firmware_revision_2; + uint8_t ipmi_version; + union { + struct { + uint8_t sensor_device : 1; + uint8_t sdr_repository_device : 1; + uint8_t sel_device : 1; + uint8_t fru_inventory_device : 1; + uint8_t ipmb_event_receiver : 1; + uint8_t ipmb_event_generator : 1; + uint8_t bridge : 1; + uint8_t chassis_device : 1; + } bits; + uint8_t _value; + } additional_device_support; + uint8_t manufacturer_id_0_7; + uint8_t manufacturer_id_8_15; + uint8_t manufacturer_id_16_23; + uint8_t product_id_0_7; + uint8_t product_id_8_15; + uint8_t aux_fw_rev_0_7; + uint8_t aux_fw_rev_8_15; + uint8_t aux_fw_rev_16_23; + uint8_t aux_fw_rev_24_31; +} device_id; + +typedef struct _powerdown_cause { + uint8_t _header[3]; // Ignored + uint8_t completion_code; + uint8_t iana[3]; + uint8_t count; + uint8_t message[40]; +} powerdown_cause; + +typedef struct _reset_cause { + uint8_t _header[3]; // Ignored + uint8_t completion_code; + uint8_t iana[3]; + uint8_t reset_cause; // * TODO: Not sure about this +} reset_cause; + +struct _sdr_content { + sdr_header header; + sdr_key key; + sdr_body body; +}; + +#pragma pack(pop) + +typedef enum { SENSOR_INT, SENSOR_FLOAT } sensor_value_type; + +typedef struct _Values { + struct _Values *next; + char *name; + wchar_t *units; + char *annotation_1; + char *annotation_2; + char *annotation_3; + uint8_t raw_value; + uint8_t is_valid; + uint32_t tolerance; + double accuracy; + double M; + double B; + int32_t A_exp; + int32_t result_exp; + union { + double f_val; + uint64_t i_val; + } value; + uint8_t sensor_number; + BMC_SENSOR_TYPE sensor_type; + sensor_value_type val_type; + struct _sdr_content *sdr; +} Values; + +#define BMC_SDR_MAGIC (0x4922ab56) + +struct _sdr_rec { + uint32_t magic; + fpga_token token; + uint32_t num_records; + char sysfs_path[SYSFS_PATH_MAX]; + struct _sdr_content *contents; +}; + +#define BMC_VALUES_MAGIC (0x493afb56) + +struct _bmc_values { + uint32_t magic; + uint32_t num_records; + sensor_reading *contents; + Values **values; +}; + +Values *bmc_build_values(sensor_reading *reading, sdr_header *header, + sdr_key *key, sdr_body *body); + +#ifdef __cplusplus +} +#endif + +#endif /* !BMCINFO_H */ diff --git a/opae-libs/plugins/xfpga/metrics/max10_metadata.h b/opae-libs/plugins/xfpga/metrics/max10_metadata.h new file mode 100644 index 0000000..f81d322 --- /dev/null +++ b/opae-libs/plugins/xfpga/metrics/max10_metadata.h @@ -0,0 +1,115 @@ +// Copyright(c) 2018-2019, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAG + +/** +* \file max10_metadata.h +* \brief fpga metrics max10 metadata +*/ + +#ifndef __FPGA_MAX10_METADATA_H__ +#define __FPGA_MAX10_METADATA_H__ + +#include +#include +#include "opae/fpga.h" + + +#define MAX10_MDATA_SIZE 22 + +fpga_metric_metadata fpga_max10_metric_metadata[] = { + + // POWER + { .group_name = "power_mgmt", .metric_name = "Board Power", + .data_type = FPGA_METRIC_DATATYPE_DOUBLE, .metric_units = "Watts" }, + + { .group_name = "power_mgmt", .metric_name = "12V Backplane Current", + .data_type = FPGA_METRIC_DATATYPE_DOUBLE, .metric_units = "Amps" }, + + { .group_name = "power_mgmt", .metric_name = "12V Backplane Voltage", + .data_type = FPGA_METRIC_DATATYPE_DOUBLE, .metric_units = "Volts" }, + + { .group_name = "power_mgmt", .metric_name = "1.2V Voltage", + .data_type = FPGA_METRIC_DATATYPE_BOOL, .metric_units = "Volts" }, + + { .group_name = "power_mgmt", .metric_name = "1.8V Voltage", + .data_type = FPGA_METRIC_DATATYPE_BOOL, .metric_units = "Volts" }, + + { .group_name = "power_mgmt", .metric_name = "3.3V Voltage", + .data_type = FPGA_METRIC_DATATYPE_BOOL, .metric_units = "Volts" }, + + { .group_name = "power_mgmt", .metric_name = "FPGA Core Voltage", + .data_type = FPGA_METRIC_DATATYPE_DOUBLE, .metric_units = "Volts" }, + + { .group_name = "power_mgmt", .metric_name = "FPGA Core Current", + .data_type = FPGA_METRIC_DATATYPE_DOUBLE, .metric_units = "Amps" }, + + {.group_name = "power_mgmt", .metric_name = "12V AUX Current", + .data_type = FPGA_METRIC_DATATYPE_DOUBLE, .metric_units = "Amps" }, + + {.group_name = "power_mgmt", .metric_name = "12V AUX Voltage", + .data_type = FPGA_METRIC_DATATYPE_DOUBLE, .metric_units = "Volts" }, + + {.group_name = "power_mgmt", .metric_name = "QSFP0 Supply Voltage", + .data_type = FPGA_METRIC_DATATYPE_DOUBLE, .metric_units = "Volts" }, + + + {.group_name = "power_mgmt", .metric_name = "12V AUX Current", + .data_type = FPGA_METRIC_DATATYPE_DOUBLE, .metric_units = "Amps" }, + + {.group_name = "power_mgmt", .metric_name = "12V AUX Current", + .data_type = FPGA_METRIC_DATATYPE_DOUBLE, .metric_units = "Amps" }, + + {.group_name = "power_mgmt", .metric_name = "QSFP1 Supply Voltage", + .data_type = FPGA_METRIC_DATATYPE_DOUBLE, .metric_units = "Volts" }, + + // THERMAL + { .group_name = "thermal_mgmt", .metric_name = "FPGA Die Temperature", + .data_type = FPGA_METRIC_DATATYPE_DOUBLE, .metric_units = "Centigrade" }, + + { .group_name = "thermal_mgmt", .metric_name = "Board Temperature", + .data_type = FPGA_METRIC_DATATYPE_DOUBLE, .metric_units = "Centigrade" }, + + {.group_name = "thermal_mgmt", .metric_name = "QSFP0 Temperature", + .data_type = FPGA_METRIC_DATATYPE_DOUBLE, .metric_units = "Centigrade" }, + + { .group_name = "thermal_mgmt", .metric_name = "QSFP1 Temperature", + .data_type = FPGA_METRIC_DATATYPE_DOUBLE, .metric_units = "Centigrade" }, + + { .group_name = "thermal_mgmt", .metric_name = "PKVL0 Core Temperature", + .data_type = FPGA_METRIC_DATATYPE_DOUBLE, .metric_units = "Centigrade" }, + + { .group_name = "thermal_mgmt", .metric_name = "PKVL0 SerDes Temperature", + .data_type = FPGA_METRIC_DATATYPE_DOUBLE, .metric_units = "Centigrade " }, + + { .group_name = "thermal_mgmt", .metric_name = "PKVL1 Core Temperature", + .data_type = FPGA_METRIC_DATATYPE_DOUBLE, .metric_units = "Centigrade" }, + + { .group_name = "thermal_mgmt", .metric_name = "PKVL1 SerDes Temperature", + .data_type = FPGA_METRIC_DATATYPE_DOUBLE, .metric_units = "Centigrade" }, + +}; + +#endif //__FPGA_MAX10_METADATA_H__ diff --git a/opae-libs/plugins/xfpga/metrics/mcp_metadata.h b/opae-libs/plugins/xfpga/metrics/mcp_metadata.h new file mode 100644 index 0000000..46b688f --- /dev/null +++ b/opae-libs/plugins/xfpga/metrics/mcp_metadata.h @@ -0,0 +1,288 @@ +// Copyright(c) 2018-2019, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAG + +/** +* \file mcp_metadata.h +* \brief fpga metrics mcp fpga metadata +*/ + +#ifndef __FPGA_INTEGRATED_METADATA_H__ +#define __FPGA_INTEGRATED_METADATA_H__ + +#include +#include +#include "opae/fpga.h" + + +#define MCP_MDATA_SIZE 67 + +fpga_metric_metadata mcp_metric_metadata[] = { + + { .group_name = "power_mgmt", .metric_name = "consumed", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "Watts" }, + + { .group_name = "power_mgmt", .metric_name = "threshold1", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "Watts" }, + + { .group_name = "power_mgmt", .metric_name = "threshold2", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "Watts" }, + + { .group_name = "power_mgmt", .metric_name = "threshold1_status", + .data_type = FPGA_METRIC_DATATYPE_BOOL, .metric_units = "" }, + + { .group_name = "power_mgmt", .metric_name = "threshold2_status", + .data_type = FPGA_METRIC_DATATYPE_BOOL, .metric_units = "" }, + + { .group_name = "power_mgmt", .metric_name = "rtl", + .data_type = FPGA_METRIC_DATATYPE_BOOL, .metric_units = "" }, + + { .group_name = "power_mgmt", .metric_name = "fpga_limit", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "Watts" }, + + { .group_name = "power_mgmt", .metric_name = "xeon_limit", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "Watts" }, + + { .group_name = "power_mgmt", .metric_name = "power1_crit", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "Micro Watts" }, + + { .group_name = "power_mgmt", .metric_name = "power1_crit_alarm", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "power_mgmt", .metric_name = "power1_fpga_limit", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "Micro Watts" }, + + { .group_name = "power_mgmt", .metric_name = "power1_input", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "Micro Watts" }, + + { .group_name = "power_mgmt", .metric_name = "power1_ltr", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "power_mgmt", .metric_name = "power1_max", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "Micro Watts" }, + + { .group_name = "power_mgmt", .metric_name = "power1_max_alarm", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "power_mgmt", .metric_name = "power1_xeon_limit", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "Micro Watts" }, + + { .group_name = "thermal_mgmt", .metric_name = "temp1_crit", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "Milli Centigrade" }, + + { .group_name = "thermal_mgmt", .metric_name = "temp1_crit_alarm", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "thermal_mgmt", .metric_name = "temperature", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "Milli Centigrade" }, + + { .group_name = "thermal_mgmt", .metric_name = "temp1_emergency", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "thermal_mgmt", .metric_name = "temp1_input", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "Milli Centigrade" }, + + { .group_name = "thermal_mgmt", .metric_name = "temp1_max", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "Milli Centigrade" }, + + { .group_name = "thermal_mgmt", .metric_name = "temp1_max_alarm", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "thermal_mgmt", .metric_name = "temp1_max_policy", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + + // THERMAL + { .group_name = "thermal_mgmt", .metric_name = "temperature", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "Centigrade" }, + + { .group_name = "thermal_mgmt", .metric_name = "threshold1", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "Centigrade" }, + + { .group_name = "thermal_mgmt", .metric_name = "threshold2", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "Centigrade" }, + + { .group_name = "thermal_mgmt", .metric_name = "threshold_trip", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "Centigrade" }, + + { .group_name = "thermal_mgmt", .metric_name = "threshold1_reached", + .data_type = FPGA_METRIC_DATATYPE_BOOL, .metric_units = "" }, + + { .group_name = "thermal_mgmt", .metric_name = "threshold2_reached", + .data_type = FPGA_METRIC_DATATYPE_BOOL, .metric_units = "" }, + + { .group_name = "thermal_mgmt", .metric_name = "threshold1_policy", + .data_type = FPGA_METRIC_DATATYPE_BOOL, .metric_units = "" }, + + // pef cache + { .group_name = "performance", .metric_name = "clock", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "Hz" }, + + { .group_name = "performance:cache", .metric_name = "data_write_port_contention", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:cache", .metric_name = "hold_request", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:cache", .metric_name = "read_hit", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:cache", .metric_name = "read_miss", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:cache", .metric_name = "rx_eviction", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:cache", .metric_name = "rx_req_stall", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:cache", .metric_name = "tag_write_port_contention", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:cache", .metric_name = "tx_req_stall", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:cache", .metric_name = "write_hit", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:cache", .metric_name = "write_miss", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + // pef fabric + + { .group_name = "performance:fabric", .metric_name = "mmio_read", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:fabric", .metric_name = "mmio_write", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:fabric", .metric_name = "pcie0_read", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:fabric", .metric_name = "pcie0_write", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:fabric", .metric_name = "pcie1_read", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:fabric", .metric_name = "pcie1_write", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:fabric", .metric_name = "upi_read", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:fabric", .metric_name = "upi_write", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + // perf fabric port0 + + { .group_name = "performance:fabric:port0", .metric_name = "mmio_read", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:fabric:port0", .metric_name = "mmio_write", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:fabric:port0", .metric_name = "pcie0_read", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:fabric:port0", .metric_name = "pcie0_write", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:fabric:port0", .metric_name = "pcie1_read", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:fabric:port0", .metric_name = "pcie1_write", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:fabric:port0", .metric_name = "upi_read", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:fabric:port0", .metric_name = "upi_write", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + + // perf iommu + { .group_name = "performance:iommu", .metric_name = "iotlb_1g_hit", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:iommu", .metric_name = "iotlb_1g_miss", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:iommu", .metric_name = "iotlb_2m_hit", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" },\ + + { .group_name = "performance:iommu", .metric_name = "iotlb_2m_miss", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:iommu", .metric_name = "iotlb_4k_hit", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:iommu", .metric_name = "iotlb_4k_miss", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:iommu", .metric_name = "rcc_hit", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:iommu", .metric_name = "rcc_miss", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:iommu", .metric_name = "slpwc_l3_hit", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:iommu", .metric_name = "slpwc_l3_miss", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:iommu", .metric_name = "slpwc_l4_hit", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:iommu", .metric_name = "slpwc_l4_miss", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + + // perf iommu afu0 + { .group_name = "performance:iommu:afu0", .metric_name = "devtlb_1g_fill", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:iommu:afu0", .metric_name = "devtlb_2m_fill", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:iommu:afu0", .metric_name = "devtlb_4k_fill", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:iommu:afu0", .metric_name = "devtlb_read_hit", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:iommu:afu0", .metric_name = "devtlb_write_hit", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:iommu:afu0", .metric_name = "read_transaction", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" }, + + { .group_name = "performance:iommu:afu0", .metric_name = "write_transaction", + .data_type = FPGA_METRIC_DATATYPE_INT, .metric_units = "" } + +}; + +#endif //__FPGA_INTEGRATED_METADATA_H__ \ No newline at end of file diff --git a/opae-libs/plugins/xfpga/metrics/metrics.c b/opae-libs/plugins/xfpga/metrics/metrics.c new file mode 100644 index 0000000..9deda80 --- /dev/null +++ b/opae-libs/plugins/xfpga/metrics/metrics.c @@ -0,0 +1,422 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +/** +* \file metrics.c +* \brief xfpgs fpga metrics API +*/ + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include "opae/access.h" +#include "opae/utils.h" +#include "common_int.h" +#include "types_int.h" +#include "opae/metrics.h" +#include "metrics/vector.h" +#include "metrics/metrics_int.h" + +//Wrong search string invalid array index +#define METRIC_ARRAY_INVALID_INDEX 0xFFFFFF + +fpga_result __XFPGA_API__ xfpga_fpgaGetNumMetrics(fpga_handle handle, + uint64_t *num_metrics) +{ + fpga_result result = FPGA_OK; + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + int err = 0; + uint64_t num_enun_metrics = 0; + + if (_handle == NULL) { + OPAE_ERR("NULL fpga handle"); + return FPGA_INVALID_PARAM; + } + + result = handle_check_and_lock(_handle); + if (result) + return result; + + if (_handle->fddev < 0) { + OPAE_ERR("Invalid handle file descriptor"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + if (num_metrics == NULL) { + OPAE_ERR("Invalid Input parameters"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + result = enum_fpga_metrics(handle); + if (result != FPGA_OK) { + OPAE_ERR("Failed to Discover Metrics"); + result = FPGA_NOT_FOUND; + goto out_unlock; + } + + result = fpga_vector_total(&(_handle->fpga_enum_metric_vector), &num_enun_metrics); + if (result != FPGA_OK) { + OPAE_ERR("Failed to get metric total"); + goto out_unlock; + } + + + if (num_enun_metrics == 0) + result = FPGA_NOT_FOUND; + + *num_metrics = num_enun_metrics; + +out_unlock: + err = pthread_mutex_unlock(&_handle->lock); + if (err) { + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + } + + return result; +} + +fpga_result __XFPGA_API__ xfpga_fpgaGetMetricsInfo(fpga_handle handle, + fpga_metric_info *metric_info, + uint64_t *num_metrics) +{ + + fpga_result result = FPGA_OK; + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + int err = 0; + uint64_t i = 0; + uint64_t num_enun_metrics = 0; + struct _fpga_enum_metric *fpga_enum_metric = NULL; + + if (_handle == NULL) { + OPAE_ERR("NULL fpga handle"); + return FPGA_INVALID_PARAM; + } + + result = handle_check_and_lock(_handle); + if (result) + return result; + + if (_handle->fddev < 0) { + OPAE_ERR("Invalid handle file descriptor"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + if (metric_info == NULL || + num_metrics == NULL) { + OPAE_ERR("Invalid Input parameters"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + result = enum_fpga_metrics(handle); + if (result != FPGA_OK) { + OPAE_ERR("Failed to enum Metrics"); + result = FPGA_NOT_FOUND; + goto out_unlock; + } + + result = fpga_vector_total(&(_handle->fpga_enum_metric_vector), &num_enun_metrics); + if (result != FPGA_OK) { + OPAE_ERR("Failed to get metric total"); + goto out_unlock; + } + + // get metric info + for (i = 0; i < *num_metrics; i++) { + + if (*num_metrics <= num_enun_metrics) { + + fpga_enum_metric = (struct _fpga_enum_metric *) fpga_vector_get(&(_handle->fpga_enum_metric_vector), i); + result = add_metric_info(fpga_enum_metric, &metric_info[i]); + if (result != FPGA_OK) { + OPAE_MSG("Failed to add metric info"); + continue; + } + + } + } + +out_unlock: + err = pthread_mutex_unlock(&_handle->lock); + if (err) { + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + } + + return result; +} + + +fpga_result __XFPGA_API__ xfpga_fpgaGetMetricsByIndex(fpga_handle handle, + uint64_t *metric_num, + uint64_t num_metric_indexes, + fpga_metric *metrics) +{ + fpga_result result = FPGA_OK; + uint64_t found = 0; + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + int err = 0; + uint64_t i = 0; + fpga_objtype objtype; + + if (_handle == NULL) { + OPAE_ERR("NULL fpga handle"); + return FPGA_INVALID_PARAM; + } + + result = handle_check_and_lock(_handle); + if (result) + return result; + + if (_handle->fddev < 0) { + OPAE_ERR("Invalid handle file descriptor"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + if (metrics == NULL || + metric_num == NULL) { + OPAE_ERR("Invalid Input parameters"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + result = enum_fpga_metrics(handle); + if (result != FPGA_OK) { + OPAE_ERR("Failed to Discover Metrics"); + result = FPGA_NOT_FOUND; + goto out_unlock; + } + + result = get_fpga_object_type(handle, &objtype); + if (result != FPGA_OK) { + OPAE_ERR("Failed to init vector"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + if (objtype == FPGA_ACCELERATOR) { + // get AFU metrics + for (i = 0; i < num_metric_indexes; i++) { + + result = get_afu_metric_value(handle, + &(_handle->fpga_enum_metric_vector), + metric_num[i], + &metrics[i]); + if (result != FPGA_OK) { + OPAE_MSG("Failed to get metric value at Index = %ld", metric_num[i]); + metrics[i].metric_num = metric_num[i]; + continue; + } else { + // found metrics num + found++; + } + } + + // API returns not found if doesnot found any metric + if (found == 0 || num_metric_indexes == 0) { + result = FPGA_NOT_FOUND; + } else { + result = FPGA_OK; + } + + } else if (objtype == FPGA_DEVICE) { + // get FME metrics + for (i = 0; i < num_metric_indexes; i++) { + + result = get_fme_metric_value(handle, + &(_handle->fpga_enum_metric_vector), + metric_num[i], + &metrics[i]); + if (result != FPGA_OK) { + OPAE_MSG("Failed to get metric value at Index = %ld", metric_num[i]); + metrics[i].metric_num = metric_num[i]; + continue; + } else { + // found metrics num + found++; + } + } + + // API returns not found if doesnot found any metric + if (found == 0 || num_metric_indexes == 0) { + result = FPGA_NOT_FOUND; + } else { + result = FPGA_OK; + } + + } else { + result = FPGA_INVALID_PARAM; + } + +out_unlock: + + clear_cached_values(_handle); + err = pthread_mutex_unlock(&_handle->lock); + if (err) { + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + } + + return result; +} + +fpga_result __XFPGA_API__ xfpga_fpgaGetMetricsByName(fpga_handle handle, + char **metrics_names, + uint64_t num_metric_names, + fpga_metric *metrics) +{ + fpga_result result = FPGA_OK; + uint64_t found = 0; + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + int err = 0; + uint64_t i = 0; + uint64_t metric_num = 0; + fpga_objtype objtype; + + if (_handle == NULL) { + OPAE_ERR("NULL fpga handle"); + return FPGA_INVALID_PARAM; + } + + result = handle_check_and_lock(_handle); + if (result) + return result; + + if (_handle->fddev < 0) { + OPAE_ERR("Invalid handle file descriptor"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + if (metrics_names == NULL || + metrics == NULL) { + OPAE_ERR("Invalid Input parameters"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + if (num_metric_names == 0) { + OPAE_ERR("Invalid Input parameters"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + result = enum_fpga_metrics(handle); + if (result != FPGA_OK) { + OPAE_ERR("Failed to Discover Metrics"); + result = FPGA_NOT_FOUND; + goto out_unlock; + } + + + result = get_fpga_object_type(handle, &objtype); + if (result != FPGA_OK) { + OPAE_ERR("Failed to init vector"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + if (objtype == FPGA_ACCELERATOR) { + // get AFU metrics + for (i = 0; i < num_metric_names; i++) { + result = parse_metric_num_name(metrics_names[i], + &(_handle->fpga_enum_metric_vector), + &metric_num); + if (result != FPGA_OK) { + OPAE_MSG("Invalid input metrics string= %s", metrics_names[i]); + metrics[i].metric_num = METRIC_ARRAY_INVALID_INDEX; + continue; + } + + result = get_afu_metric_value(handle, &(_handle->fpga_enum_metric_vector), + metric_num, + &metrics[i]); + if (result != FPGA_OK) { + OPAE_MSG("Failed to get metric value for metric = %s", metrics_names[i]); + metrics[i].metric_num = METRIC_ARRAY_INVALID_INDEX; + continue; + } else { + // found metrics num + found++; + } + } + + // API returns not found if doesnot found any metric + if (found == 0 || num_metric_names == 0) { + result = FPGA_NOT_FOUND; + } else { + result = FPGA_OK; + } + } else if (objtype == FPGA_DEVICE) { + // get FME metrics + for (i = 0; i < num_metric_names; i++) { + + result = parse_metric_num_name(metrics_names[i], + &(_handle->fpga_enum_metric_vector), + &metric_num); + if (result != FPGA_OK) { + OPAE_ERR("Invalid input metrics string= %s", metrics_names[i]); + metrics[i].metric_num = METRIC_ARRAY_INVALID_INDEX; + continue; + } + + result = get_fme_metric_value(handle, + &(_handle->fpga_enum_metric_vector), + metric_num, + &metrics[i]); + if (result != FPGA_OK) { + OPAE_ERR("Failed to get metric value for metric = %s \n", metrics_names[i]); + metrics[i].metric_num = METRIC_ARRAY_INVALID_INDEX; + continue; + } else { + // found metrics num + found++; + } + } + + // API returns not found if doesnot found any metric + if (found == 0 || num_metric_names == 0) { + result = FPGA_NOT_FOUND; + } else { + result = FPGA_OK; + } + } else { + result = FPGA_INVALID_PARAM; + } + +out_unlock: + + clear_cached_values(_handle); + + err = pthread_mutex_unlock(&_handle->lock); + if (err) { + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + } + return result; +} diff --git a/opae-libs/plugins/xfpga/metrics/metrics_int.h b/opae-libs/plugins/xfpga/metrics/metrics_int.h new file mode 100644 index 0000000..047518e --- /dev/null +++ b/opae-libs/plugins/xfpga/metrics/metrics_int.h @@ -0,0 +1,278 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +/** +* \file metrics_int.h +* \brief fpga metrics utils functions +*/ + +#ifndef __FPGA_METRICS_INT_H__ +#define __FPGA_METRICS_INT_H__ + +#include "vector.h" +#include "opae/metrics.h" +#include "metrics_metadata.h" +#include "metrics/bmc/bmc.h" +#include "types_int.h" +// Power,Thermal & Performance definations + +#define PERF "*perf" + + +#define PWRMGMT "power_mgmt" +#define THERLGMT "thermal_mgmt" +#define REVISION "revision" +#define PERF_FREEZE "freeze" +#define PERF_ENABLE "enable" +#define PERF_CACHE "cache" +#define PERF_FABRIC "fabric" +#define PERF_IOMMU "iommu" +#define PERFORMANCE "performance" +#define FPGA_LIMIT "fpga_limit" +#define XEON_LIMIT "xeon_limit" +#define TEMP "Centigrade" + +#define TEMPERATURE "Temperature" +#define VOLTAGE "Voltage" +#define CURRENT "Current" +#define POWER "Power" +#define CLOCK "Clock" + + +#define TEMPERATURE_UNITS "Celsius" +#define VOLTAGE_UNITS "Volts" +#define CURRENT_UNITS "Amps" +#define POWER_UNITS "Watts" +#define CLOCK_UNITS "Hz" + +#define MAX10_SYSFS_PATH "spi-*/spi_master/spi*/spi*.*" +#define MAX10_SENSOR_SYSFS_PATH "spi-*/spi_master/spi*/spi*.*/sensor*" + +#define SENSOR_SYSFS_NAME "name" +#define SENSOR_SYSFS_TYPE "type" +#define SENSOR_SYSFS_ID "id" +#define SENSOR_SYSFS_VALUE "value" +#define MILLI 1000 + +#define FPGA_DISCRETE_VC_DEVICEID 0x0B30 + +#define FPGA_DISCRETE_DC_DEVICEID 0x0B2B + +#define BMC_LIB "libmodbmc.so" + +// AFU DFH Struct +struct DFH { + union { + uint64_t csr; + struct { + uint64_t id:12; + uint64_t revision:4; + uint64_t next_header_offset:24; + uint64_t eol:1; + uint64_t reserved:19; + uint64_t type:4; + }; + }; +}; + +struct NEXT_AFU { + union { + uint64_t csr; + struct { + uint32_t next_afu:24; + uint64_t reserved:40; + }; + }; +}; + +typedef struct { + struct DFH dfh; + uint64_t guid[2]; + struct NEXT_AFU next_afu; +} feature_definition; + +// metric group csr +struct metric_bbb_group { + union { + uint64_t csr; + struct { + uint64_t reserved:28; + uint64_t units:8; + uint64_t group_id:8; + uint64_t eol:1; + uint64_t next_group_offset:16; + uint64_t reset:1; + uint64_t reset_access:2; + }; + }; +}; + +// metric value csr +struct metric_bbb_value { + union { + uint64_t csr; + struct { + uint64_t reserved:7; + uint64_t eol:1; + uint64_t counter_id:8; + uint64_t value:48; + }; + }; +}; + +// Metrics utils functions +fpga_result metric_sysfs_path_is_file(const char *path); + +fpga_result metric_sysfs_path_is_dir(const char *path); + +fpga_result add_metric_vector(fpga_metric_vector *vector, + uint64_t metric_id, + const char *qualifier_name, + const char *group_name, + const char *group_sysfs, + const char *metric_name, + const char *metric_sysfs, + const char *metric_units, + enum fpga_metric_datatype metric_datatype, + enum fpga_metric_type metric_type, + enum fpga_hw_type hw_type, + uint64_t mmio_offset); + +fpga_result enum_thermalmgmt_metrics(fpga_metric_vector *vector, + uint64_t *metric_id, + const char *sysfspath, + enum fpga_hw_type hw_type); + +fpga_result enum_powermgmt_metrics(fpga_metric_vector *vector, + uint64_t *metric_id, + const char *sysfspath, + enum fpga_hw_type hw_type); + +fpga_result enum_perf_counter_items(fpga_metric_vector *vector, + uint64_t *metric_id, + const char *qualifier_name, + const char *sysfspath, + const char *sysfs_name, + enum fpga_metric_type metric_type, + enum fpga_hw_type hw_type); + +fpga_result enum_perf_counter_metrics(fpga_metric_vector *vector, + uint64_t *metric_id, + const char *sysfspath, + enum fpga_hw_type hw_type); + +fpga_result enum_fpga_metrics(fpga_handle handle); + + +fpga_result get_fme_metric_value(fpga_handle handle, + fpga_metric_vector *enum_vector, + uint64_t metric_id, + struct fpga_metric *fpga_metric); + +fpga_result add_metric_info(struct _fpga_enum_metric *_enum_metrics, + struct fpga_metric_info *fpga_metric_info); + +fpga_result free_fpga_enum_metrics_vector(struct _fpga_handle *_handle); + + +fpga_result parse_metric_num_name(const char *search_string, + fpga_metric_vector *fpga_enum_metrics_vector, + uint64_t *metric_num); + +fpga_result enum_bmc_metrics_info(struct _fpga_handle *_handle, + fpga_metric_vector *vector, + uint64_t *metric_id, + enum fpga_hw_type hw_type); + +fpga_result get_fpga_object_type(fpga_handle handle, fpga_objtype *objtype); + +fpga_result get_pwr_thermal_value(const char *sysfs_path, uint64_t *value); + +fpga_result clear_cached_values(fpga_handle handle); + + +fpga_result get_performance_counter_value(const char *group_sysfs, + const char *metric_sysfs, + uint64_t *value); + +fpga_result get_bmc_metrics_values(fpga_handle handle, + struct _fpga_enum_metric *_fpga_enum_metric, + struct fpga_metric *fpga_metric); + +// AFU Metric +fpga_result enum_afu_metrics(fpga_handle handle, + fpga_metric_vector *vector, + uint64_t *metric_id, + uint64_t metrics_offset); + +fpga_result get_afu_metric_value(fpga_handle handle, + fpga_metric_vector *enum_vector, + uint64_t metric_num, + struct fpga_metric *fpga_metric); + +fpga_result add_afu_metrics_vector(fpga_metric_vector *vector, + uint64_t *metric_id, + uint64_t group_value, + uint64_t metric_value, + uint64_t metric_offset); + +fpga_result discover_afu_metrics_feature(fpga_handle handle, uint64_t *offset); + +fpga_result get_metric_data_info(const char *group_name, + const char *metric_name, + fpga_metric_metadata *metric_data_search, + uint64_t size, + fpga_metric_metadata *metric_data); + +fpga_result xfpga_bmcLoadSDRs(struct _fpga_handle *_handle, + bmc_sdr_handle *records, + uint32_t *num_sensors); + +fpga_result xfpga_bmcDestroySDRs(struct _fpga_handle *_handle, + bmc_sdr_handle *records); + +fpga_result xfpga_bmcReadSensorValues(struct _fpga_handle *_handle, + bmc_sdr_handle records, + bmc_values_handle *values, + uint32_t *num_values); + +fpga_result xfpga_bmcDestroySensorValues(struct _fpga_handle *_handle, + bmc_values_handle *values); + +fpga_result xfpga_bmcGetSensorReading(struct _fpga_handle *_handle, + bmc_values_handle values, + uint32_t sensor_number, + uint32_t *is_valid, + double *value); + +fpga_result xfpga_bmcGetSDRDetails(struct _fpga_handle *_handle, + bmc_values_handle values, + uint32_t sensor_number, + sdr_details *details); + +void *metrics_load_bmc_lib(void); + +#endif // __FPGA_METRICS_INT_H__ diff --git a/opae-libs/plugins/xfpga/metrics/metrics_max10.c b/opae-libs/plugins/xfpga/metrics/metrics_max10.c new file mode 100644 index 0000000..32e4ae5 --- /dev/null +++ b/opae-libs/plugins/xfpga/metrics/metrics_max10.c @@ -0,0 +1,394 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + + +/** +* \file metrics_max10.h +* \brief fpga metrics max10 functions +*/ + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + + +#include +#include +#include +#ifndef _WIN32 +#include +#else +#include +#endif +#include +#include +#include +#include + + +#include "common_int.h" +#include "metrics_int.h" +#include "types_int.h" +#include "sysfs_int.h" +#include "opae/metrics.h" +#include "metrics/vector.h" +#include "xfpga.h" +#include "metrics/metrics_metadata.h" +#include "metrics/max10_metadata.h" + +// Max10 Metric limits +#define THERMAL_HIGH_LIMIT 300.00 +#define THERMAL_LOW_LIMIT -273.00 +#define POWER_HIGH_LIMIT 1000.00 +#define POWER_LOW_LIMIT 0.00 +#define VOLTAMP_HIGH_LIMIT 500.00 +#define VOLTAMP_LOW_LIMIT 0.00 + + +fpga_result read_sensor_sysfs_file(const char *sysfs, const char *file, + void **buf, uint32_t *tot_bytes_ret) +{ + char sysfspath[SYSFS_PATH_MAX] = { 0, }; + struct stat stats; + int fd = 0; + fpga_result res = FPGA_OK; + + if (sysfs == NULL || + file == NULL || + buf == NULL || + tot_bytes_ret == NULL) { + OPAE_ERR("Invalid Input parameters"); + return FPGA_INVALID_PARAM; + } + *buf = NULL; + *tot_bytes_ret = 0; + + snprintf(sysfspath, sizeof(sysfspath), + "%s/%s", sysfs, file); + + glob_t pglob; + int gres = glob(sysfspath, GLOB_NOSORT, NULL, &pglob); + if ((gres) || (1 != pglob.gl_pathc)) { + globfree(&pglob); + return FPGA_NOT_FOUND; + } + + fd = open(pglob.gl_pathv[0], O_RDONLY); + globfree(&pglob); + if (fd < 0) { + return FPGA_NOT_FOUND; + } + + if (fstat(fd, &stats) != 0) { + close(fd); + return FPGA_NOT_FOUND; + } + + // fstat for a sysfs file is not accurate for the BMC + // Read the entire file into a temp buffer to get actual size of file + *buf = (void *)calloc(stats.st_size, 1); + + int32_t tot_bytes = 0; + int32_t bytes_read = 0; + do { + bytes_read = (int32_t)read(fd, *buf, stats.st_size); + if (bytes_read < 0) { + if (errno == EINTR) { + bytes_read = 1; // Fool the while loop + continue; + } + } + tot_bytes += bytes_read; + } while ((tot_bytes < stats.st_size) && (bytes_read > 0)); + + close(fd); + + if ((tot_bytes > stats.st_size) || (bytes_read < 0)) { + res = FPGA_EXCEPTION; + free(*buf); + *buf = NULL; + goto out; + } + + *tot_bytes_ret = tot_bytes; + +out: + return res; +} + + +fpga_result enum_max10_metrics_info(struct _fpga_handle *_handle, + fpga_metric_vector *vector, + uint64_t *metric_num, + enum fpga_hw_type hw_type) +{ + fpga_result result = FPGA_OK; + struct _fpga_token *_token = NULL; + size_t i = 0; + char *tmp = NULL; + uint32_t tot_bytes = 0; + enum fpga_metric_type metric_type = FPGA_METRIC_TYPE_POWER; + char sysfspath[SYSFS_PATH_MAX] = { 0, }; + char metrics_sysfs_path[SYSFS_PATH_MAX] = { 0, }; + char metric_name[SYSFS_PATH_MAX] = { 0, }; + char group_name[SYSFS_PATH_MAX] = { 0, }; + char group_sysfs[SYSFS_PATH_MAX] = { 0, }; + char qualifier_name[SYSFS_PATH_MAX] = { 0, }; + char metric_units[SYSFS_PATH_MAX] = { 0, }; + glob_t pglob; + size_t len; + + if (_handle == NULL || + vector == NULL || + metric_num == NULL) { + OPAE_ERR("Invalid Input parameters"); + return FPGA_INVALID_PARAM; + } + + _token = (struct _fpga_token *)_handle->token; + if (_token == NULL) { + OPAE_ERR("Invalid token within handle"); + return FPGA_INVALID_PARAM; + } + + // metrics group + if (snprintf(sysfspath, sizeof(sysfspath), + "%s/%s", _token->sysfspath, MAX10_SYSFS_PATH) < 0) { + OPAE_ERR("snprintf failed"); + return FPGA_EXCEPTION; + } + + int gres = glob(sysfspath, GLOB_NOSORT, NULL, &pglob); + if ((gres) || (1 != pglob.gl_pathc)) { + OPAE_ERR("Failed pattern match %s: %s", sysfspath, strerror(errno)); + globfree(&pglob); + return FPGA_NOT_FOUND; + } + + len = strnlen(pglob.gl_pathv[0], sizeof(group_sysfs) - 1); + memcpy(group_sysfs, pglob.gl_pathv[0], len); + group_sysfs[len] = '\0'; + globfree(&pglob); + + // Enum sensors + if (snprintf(sysfspath, sizeof(sysfspath), + "%s/%s", _token->sysfspath, MAX10_SENSOR_SYSFS_PATH) < 0) { + OPAE_ERR("snprintf failed"); + return FPGA_EXCEPTION; + } + + gres = glob(sysfspath, GLOB_NOSORT, NULL, &pglob); + if (gres) { + OPAE_ERR("Failed pattern match %s: %s", sysfspath, strerror(errno)); + globfree(&pglob); + return FPGA_NOT_FOUND; + } + + + // for loop + for (i = 0; i < pglob.gl_pathc; i++) { + + // Sensor name + result = read_sensor_sysfs_file(pglob.gl_pathv[i], SENSOR_SYSFS_NAME, (void **)&tmp, &tot_bytes); + if (FPGA_OK != result || !tmp) { + if (tmp) { + free(tmp); + } + continue; + } + + memset(&metric_name, 0, sizeof(metric_name)); + + len = strnlen(tmp, sizeof(metric_name) - 1); + memcpy(metric_name, tmp, len); + metric_name[len] = '\0'; + + if (tmp) { + free(tmp); + } + + // Metrics typw + result = read_sensor_sysfs_file(pglob.gl_pathv[i], SENSOR_SYSFS_TYPE, (void **)&tmp, &tot_bytes); + if (FPGA_OK != result || !tmp) { + if (tmp) { + free(tmp); + continue; + } + + } + + // Metrics group name and qualifier name + if (tmp && (strstr(tmp, VOLTAGE) || strstr(tmp, CURRENT) || strstr(tmp, POWER))) { + metric_type = FPGA_METRIC_TYPE_POWER; + + // group name + len = strnlen(PWRMGMT, sizeof(group_name) - 1); + memcpy(group_name, PWRMGMT, len); + group_name[len] = '\0'; + + //qualifier name + if (snprintf(qualifier_name, sizeof(qualifier_name), + "%s:%s", PWRMGMT, metric_name) < 0) { + OPAE_ERR("snprintf failed"); + result = FPGA_EXCEPTION; + if (tmp) + free(tmp); + goto out; + } + + } else if (tmp && strstr(tmp, TEMPERATURE)) { + metric_type = FPGA_METRIC_TYPE_THERMAL; + + // group name + len = strnlen(THERLGMT, sizeof(group_name) - 1); + memcpy(group_name, THERLGMT, len); + group_name[len] = '\0'; + + //qualifier name + if (snprintf(qualifier_name, sizeof(qualifier_name), + "%s:%s", THERLGMT, metric_name) < 0) { + OPAE_ERR("snprintf failed"); + result = FPGA_EXCEPTION; + if (tmp) + free(tmp); + goto out; + } + + } else { + printf("FPGA_METRIC_TYPE_UNKNOWN \n"); + metric_type = FPGA_METRIC_TYPE_UNKNOWN; + } + + if (tmp) { + free(tmp); + } + + // Metric Units + if (strstr(metric_name, POWER)) { + + len = strnlen(POWER_UNITS, sizeof(metric_units) - 1); + memcpy(metric_units, POWER_UNITS, len); + metric_units[len] = '\0'; + + } else if (strstr(metric_name, VOLTAGE)) { + + len = strnlen(VOLTAGE_UNITS, sizeof(metric_units) - 1); + memcpy(metric_units, VOLTAGE_UNITS, len); + metric_units[len] = '\0'; + + } else if (strstr(metric_name, CURRENT)) { + + len = strnlen(CURRENT_UNITS, sizeof(metric_units) - 1); + memcpy(metric_units, CURRENT_UNITS, len); + metric_units[len] = '\0'; + + } else if (strstr(metric_name, TEMPERATURE)) { + + len = strnlen(TEMPERATURE_UNITS, sizeof(metric_units) - 1); + memcpy(metric_units, TEMPERATURE_UNITS, len); + metric_units[len] = '\0'; + + } else if (strstr(metric_name, CLOCK)) { + + len = strnlen(CLOCK_UNITS, sizeof(metric_units) - 1); + memcpy(metric_units, CLOCK_UNITS, len); + metric_units[len] = '\0'; + + } else { + + strncpy(metric_units, "N/A", 4); + + } + + // value sysfs path + snprintf(metrics_sysfs_path, sizeof(metrics_sysfs_path), + "%s/%s", pglob.gl_pathv[i], SENSOR_SYSFS_VALUE); + + result = add_metric_vector(vector, *metric_num, qualifier_name, + group_name, group_sysfs, metric_name, + metrics_sysfs_path, metric_units, + FPGA_METRIC_DATATYPE_DOUBLE, metric_type, hw_type, 0); + if (result != FPGA_OK) { + OPAE_ERR("Failed to add metrics"); + goto out; + } + + *metric_num = *metric_num + 1; + + } // end for loop + +out: + globfree(&pglob); + return result; +} + + + +fpga_result read_max10_value(struct _fpga_enum_metric *_fpga_enum_metric, + double *dvalue) +{ + fpga_result result = FPGA_OK; + uint64_t value = 0; + + if (_fpga_enum_metric == NULL || + dvalue == NULL) { + OPAE_ERR("Invalid Input Parameters"); + return FPGA_INVALID_PARAM; + } + + result = sysfs_read_u64(_fpga_enum_metric->metric_sysfs, &value); + if (result != FPGA_OK) { + OPAE_MSG("Failed to read Metrics values"); + return result; + } + + *dvalue = ((double)value / MILLI); + + // Check for limits + if (strstr(_fpga_enum_metric->metric_name, POWER)) { + + if (*dvalue < POWER_LOW_LIMIT || *dvalue > POWER_HIGH_LIMIT) + result = FPGA_EXCEPTION; + + } else if (strstr(_fpga_enum_metric->metric_name, VOLTAGE)) { + + if (*dvalue < VOLTAMP_LOW_LIMIT || *dvalue > VOLTAMP_HIGH_LIMIT) + result = FPGA_EXCEPTION; + + } else if (strstr(_fpga_enum_metric->metric_name, CURRENT)) { + + if (*dvalue < VOLTAMP_LOW_LIMIT || *dvalue > VOLTAMP_HIGH_LIMIT) + result = FPGA_EXCEPTION; + + } else if (strstr(_fpga_enum_metric->metric_name, TEMPERATURE)) { + + if (*dvalue < THERMAL_LOW_LIMIT || *dvalue > THERMAL_HIGH_LIMIT) + result = FPGA_EXCEPTION; + + } + + return result; +} diff --git a/opae-libs/plugins/xfpga/metrics/metrics_max10.h b/opae-libs/plugins/xfpga/metrics/metrics_max10.h new file mode 100644 index 0000000..1890b2d --- /dev/null +++ b/opae-libs/plugins/xfpga/metrics/metrics_max10.h @@ -0,0 +1,46 @@ +// Copyright(c) 2018-2019, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +/** +* \file metrics_max10.h +* \brief fpga metrics max10 functions +*/ + +#ifndef __FPGA_METRICS_MAX10_H__ +#define __FPGA_METRICS_MAX10_H__ + +fpga_result read_sensor_sysfs_file(char *sysfs, const char *file, + void **buf, uint32_t *tot_bytes_ret); + +fpga_result enum_max10_metrics_info(struct _fpga_handle *_handle, + fpga_metric_vector *vector, + uint64_t *metric_num, + enum fpga_hw_type hw_type); + +fpga_result read_max10_value(struct _fpga_enum_metric *_fpga_enum_metric, + double *dvalue); + +#endif // __FPGA_METRICS_MAX10_H__ \ No newline at end of file diff --git a/opae-libs/plugins/xfpga/metrics/metrics_metadata.h b/opae-libs/plugins/xfpga/metrics/metrics_metadata.h new file mode 100644 index 0000000..2d2363a --- /dev/null +++ b/opae-libs/plugins/xfpga/metrics/metrics_metadata.h @@ -0,0 +1,54 @@ +// Copyright(c) 2018-2019, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAG + +/** +* \file metrics_metadata.h +* \brief fpga metrics metadata +*/ + +#ifndef __FPGA_METRICS_METADATA_H__ +#define __FPGA_METRICS_METADATA_H__ + +#include +#include +#include "opae/fpga.h" + +typedef struct fpga_metric_metadata { + + char group_name[FPGA_METRIC_STR_SIZE]; + char metric_name[FPGA_METRIC_STR_SIZE]; + char qualifier_name[FPGA_METRIC_STR_SIZE]; + + enum fpga_metric_datatype data_type; + enum fpga_metric_type metric_type; + char metric_units[FPGA_METRIC_STR_SIZE]; + uint64_t mmio_offset; + + uint32_t range_start; + uint32_t range_end; + +} fpga_metric_metadata; +#endif //__FPGA_METRICS_METADATA_H__ \ No newline at end of file diff --git a/opae-libs/plugins/xfpga/metrics/metrics_utils.c b/opae-libs/plugins/xfpga/metrics/metrics_utils.c new file mode 100644 index 0000000..943e77e --- /dev/null +++ b/opae-libs/plugins/xfpga/metrics/metrics_utils.c @@ -0,0 +1,1507 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +/** +* \file metrics_utils.c +* \brief fpga metrics utils functions +*/ + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include +#include +#include +#include +#include +#include +#include +#include + +#include "common_int.h" +#include "metrics_int.h" +#include "types_int.h" +#include "opae/metrics.h" +#include "metrics/vector.h" +#include "xfpga.h" +#include "metrics/bmc/bmc.h" +#include "metrics/metrics_metadata.h" +#include "mcp_metadata.h" +#include "metrics_max10.h" + +fpga_result metric_sysfs_path_is_dir(const char *path) +{ + struct stat astats; + + if (path == NULL) { + return FPGA_INVALID_PARAM; + } + + if ((stat(path, &astats)) != 0) { + return FPGA_NOT_FOUND; + } + + if (S_ISDIR(astats.st_mode)) { + return FPGA_OK; + } + + return FPGA_NOT_FOUND; +} + +fpga_result metric_sysfs_path_is_file(const char *path) +{ + struct stat astats; + + if (path == NULL) { + return FPGA_INVALID_PARAM; + } + + if ((stat(path, &astats)) != 0) { + return FPGA_NOT_FOUND; + } + + if (S_ISREG(astats.st_mode)) { + return FPGA_OK; + } + + return FPGA_NOT_FOUND; +} + +// Adds Metrics info to vector +fpga_result add_metric_vector(fpga_metric_vector *vector, + uint64_t metric_num, + const char *qualifier_name, + const char *group_name, + const char *group_sysfs, + const char *metric_name, + const char *metric_sysfs, + const char *metric_units, + enum fpga_metric_datatype metric_datatype, + enum fpga_metric_type metric_type, + enum fpga_hw_type hw_type, + uint64_t mmio_offset) +{ + + fpga_result result = FPGA_OK; + struct _fpga_enum_metric *fpga_enum_metric = NULL; + size_t len; + + if (vector == NULL || + group_name == NULL || + group_sysfs == NULL || + metric_name == NULL || + metric_sysfs == NULL || + qualifier_name == NULL || + metric_units == NULL) { + OPAE_ERR("Invalid Input parameters"); + return FPGA_INVALID_PARAM; + } + + fpga_enum_metric = (struct _fpga_enum_metric *)malloc(sizeof(struct _fpga_enum_metric)); + if (fpga_enum_metric == NULL) { + OPAE_ERR("Failed to allocate memory"); + return FPGA_NO_MEMORY; + } + + len = strnlen(group_name, SYSFS_PATH_MAX - 1); + memcpy(fpga_enum_metric->group_name, group_name, len); + fpga_enum_metric->group_name[len] = '\0'; + + len = strnlen(group_sysfs, SYSFS_PATH_MAX - 1); + memcpy(fpga_enum_metric->group_sysfs, group_sysfs, len); + fpga_enum_metric->group_sysfs[len] = '\0'; + + len = strnlen(metric_name, SYSFS_PATH_MAX - 1); + memcpy(fpga_enum_metric->metric_name, metric_name, len); + fpga_enum_metric->metric_name[len] = '\0'; + + len = strnlen(metric_sysfs, SYSFS_PATH_MAX - 1); + memcpy(fpga_enum_metric->metric_sysfs, metric_sysfs, len); + fpga_enum_metric->metric_sysfs[len] = '\0'; + + len = strnlen(qualifier_name, SYSFS_PATH_MAX - 1); + memcpy(fpga_enum_metric->qualifier_name, qualifier_name, len); + fpga_enum_metric->qualifier_name[len] = '\0'; + + len = strnlen(metric_units, SYSFS_PATH_MAX - 1); + memcpy(fpga_enum_metric->metric_units, metric_units, len); + fpga_enum_metric->metric_units[len] = '\0'; + + fpga_enum_metric->metric_type = metric_type; + fpga_enum_metric->metric_datatype = metric_datatype; + fpga_enum_metric->hw_type = hw_type; + fpga_enum_metric->metric_num = metric_num; + fpga_enum_metric->mmio_offset = mmio_offset; + + fpga_vector_push(vector, fpga_enum_metric); + + return result; +} + +fpga_result get_metric_data_info(const char *group_name, + const char *metric_name, + fpga_metric_metadata *metric_data_serach, + uint64_t size, + fpga_metric_metadata *metric_data) +{ + fpga_result result = FPGA_OK; + uint64_t i = 0; + int group_indicator = 0; + int metric_indicator = 0; + + if (group_name == NULL || + metric_name == NULL || + metric_data_serach == NULL || + metric_data == NULL) { + OPAE_ERR("Invalid Input Paramters"); + return FPGA_INVALID_PARAM; + } + + for (i = 0; i < size; i++) { + + group_indicator = strcasecmp(metric_data_serach[i].group_name, + group_name); + + metric_indicator = strcasecmp(metric_data_serach[i].metric_name, + metric_name); + + if (group_indicator == 0 && + metric_indicator == 0) { + *metric_data = (struct fpga_metric_metadata)metric_data_serach[i]; + return result; + } + + } + + return FPGA_NOT_SUPPORTED; +} + +// enumerates thermal metrics info +fpga_result enum_thermalmgmt_metrics(fpga_metric_vector *vector, + uint64_t *metric_num, + const char *sysfspath, + enum fpga_hw_type hw_type) +{ + fpga_result result = FPGA_OK; + fpga_metric_metadata metric_data; + size_t i = 0; + glob_t pglob; + + memset(&metric_data, 0, sizeof(metric_data)); + + if (vector == NULL || + sysfspath == NULL || + metric_num == NULL) { + OPAE_ERR("Invalid Input parameters"); + return FPGA_INVALID_PARAM; + } + + int gres = glob(sysfspath, GLOB_NOSORT, NULL, &pglob); + if (gres) { + OPAE_ERR("Failed pattern match %s: %s", sysfspath, strerror(errno)); + //TODO refactor to common function + switch (gres) { + case GLOB_NOSPACE: + result = FPGA_NO_MEMORY; + break; + case GLOB_NOMATCH: + result = FPGA_NOT_FOUND; + break; + default: + result = FPGA_EXCEPTION; + } + + if (pglob.gl_pathv) { + globfree(&pglob); + } + return result; + } + + for (i = 0; i < pglob.gl_pathc; i++) { + + if (!pglob.gl_pathv) { + OPAE_ERR("No matching pattern"); + break; + } + + char *dir_name = strrchr(pglob.gl_pathv[i], '/'); + + if (!dir_name) + continue; + + if (!strcmp((dir_name + 1), REVISION)) + continue; + + result = get_metric_data_info(THERLGMT, (dir_name + 1), mcp_metric_metadata, MCP_MDATA_SIZE, &metric_data); + if (result != FPGA_OK) { + OPAE_MSG("Failed to get metric metadata "); + } + + result = add_metric_vector(vector, *metric_num, THERLGMT, THERLGMT, sysfspath, (dir_name + 1), pglob.gl_pathv[i], metric_data.metric_units, + FPGA_METRIC_DATATYPE_INT, FPGA_METRIC_TYPE_THERMAL, hw_type, 0); + if (result != FPGA_OK) { + OPAE_MSG("Failed to add metrics"); + if (pglob.gl_pathv) { + globfree(&pglob); + } + return result; + } + *metric_num = *metric_num + 1; + } + + if (pglob.gl_pathv) { + globfree(&pglob); + } + return result; +} + +// enumerates power metrics info +fpga_result enum_powermgmt_metrics(fpga_metric_vector *vector, + uint64_t *metric_num, + const char *sysfspath, + enum fpga_hw_type hw_type) +{ + fpga_result result = FPGA_OK; + size_t i = 0; + fpga_metric_metadata metric_data; + glob_t pglob; + + memset(&metric_data, 0, sizeof(metric_data)); + + if (vector == NULL || + sysfspath == NULL || + metric_num == NULL) { + OPAE_ERR("Invalid Input parameters"); + return FPGA_INVALID_PARAM; + } + + int gres = glob(sysfspath, GLOB_NOSORT, NULL, &pglob); + if (gres) { + OPAE_ERR("Failed pattern match %s: %s", sysfspath, strerror(errno)); + //TODO refactor to common function + switch (gres) { + case GLOB_NOSPACE: + result = FPGA_NO_MEMORY; + break; + case GLOB_NOMATCH: + result = FPGA_NOT_FOUND; + break; + default: + result = FPGA_EXCEPTION; + } + + if (pglob.gl_pathv) { + globfree(&pglob); + } + return result; + } + + for (i = 0; i < pglob.gl_pathc; i++) { + + if (!pglob.gl_pathv) { + OPAE_ERR("No matching pattern"); + break; + } + + char *dir_name = strrchr(pglob.gl_pathv[i], '/'); + + if (!dir_name) + continue; + + if (!strcmp((dir_name + 1), REVISION)) + continue; + + result = get_metric_data_info(PWRMGMT, (dir_name + 1), mcp_metric_metadata, MCP_MDATA_SIZE, &metric_data); + if (result != FPGA_OK) { + OPAE_MSG("Failed to get metric metadata "); + } + + result = add_metric_vector(vector, *metric_num, PWRMGMT, PWRMGMT, sysfspath, (dir_name + 1), pglob.gl_pathv[i], metric_data.metric_units, + FPGA_METRIC_DATATYPE_INT, FPGA_METRIC_TYPE_POWER, hw_type, 0); + if (result != FPGA_OK) { + OPAE_MSG("Failed to add metrics"); + if (pglob.gl_pathv) { + globfree(&pglob); + } + return result; + } + *metric_num = *metric_num + 1; + } + + if (pglob.gl_pathv) { + globfree(&pglob); + } + + return result; +} + +// enumerates performance counters metrics info +fpga_result enum_perf_counter_items(fpga_metric_vector *vector, + uint64_t *metric_num, + const char *qualifier_name, + const char *sysfspath, + const char *sysfs_name, + enum fpga_metric_type metric_type, + enum fpga_hw_type hw_type) +{ + fpga_result result = FPGA_OK; + DIR *dir = NULL; + struct dirent *dirent = NULL; + char sysfs_path[SYSFS_PATH_MAX] = { 0, }; + char metric_sysfs[SYSFS_PATH_MAX] = { 0, }; + char qname[SYSFS_PATH_MAX] = { 0, }; + + if (vector == NULL || + sysfspath == NULL || + sysfs_name == NULL || + qualifier_name == NULL || + metric_num == NULL) { + OPAE_ERR("Invalid Input parameters"); + return FPGA_INVALID_PARAM; + } + + snprintf(sysfs_path, sizeof(sysfs_path), + "%s/%s", sysfspath, sysfs_name); + + dir = opendir(sysfs_path); + if (NULL == dir) { + OPAE_MSG("can't find dir %s ", strerror(errno)); + return FPGA_NOT_FOUND; + } + + while ((dirent = readdir(dir)) != NULL) { + if (!strcmp(dirent->d_name, ".")) + continue; + if (!strcmp(dirent->d_name, "..")) + continue; + if (!strcmp(dirent->d_name, PERF_ENABLE)) + continue; + + if (!strcmp(dirent->d_name, PERF_FREEZE)) + continue; + + if (dirent->d_type == DT_DIR) { + + if (snprintf(qname, sizeof(qname), + "%s:%s", qualifier_name, dirent->d_name) < 0) { + OPAE_ERR("snprintf buffer overflow"); + continue; + } + + result = enum_perf_counter_items(vector, metric_num, qname, sysfs_path, dirent->d_name, metric_type, hw_type); + if (result != FPGA_OK) { + OPAE_MSG("Failed to add metrics"); + } + continue; + + } + + if (snprintf(metric_sysfs, sizeof(metric_sysfs), + "%s/%s", sysfs_path, dirent->d_name) < 0) { + OPAE_ERR("snprintf buffer overflow"); + closedir(dir); + return FPGA_EXCEPTION; + } + + result = add_metric_vector(vector, *metric_num, qualifier_name, "performance", sysfs_path, dirent->d_name, + metric_sysfs, "", FPGA_METRIC_DATATYPE_INT, metric_type, hw_type, 0); + if (result != FPGA_OK) { + OPAE_MSG("Failed to add metrics"); + closedir(dir); + return result; + } + + *metric_num = *metric_num + 1; + } + closedir(dir); + return result; + +} + +// enumerates performance counters metrics info +fpga_result enum_perf_counter_metrics(fpga_metric_vector *vector, + uint64_t *metric_num, + const char *sysfspath, + enum fpga_hw_type hw_type) +{ + fpga_result result = FPGA_OK; + DIR *dir = NULL; + struct dirent *dirent = NULL; + char sysfs_path[SYSFS_PATH_MAX] = { 0, }; + char qualifier_name[SYSFS_PATH_MAX] = { 0, }; + glob_t pglob; + size_t len; + + if (vector == NULL || + sysfspath == NULL || + metric_num == NULL) { + OPAE_ERR("Invalid Input parameters"); + return FPGA_INVALID_PARAM; + } + + int gres = glob(sysfspath, GLOB_NOSORT, NULL, &pglob); + if (gres) { + OPAE_ERR("Failed pattern match %s: %s", sysfspath, strerror(errno)); + if (pglob.gl_pathv) { + globfree(&pglob); + } + return FPGA_NOT_FOUND; + } + + len = strnlen(pglob.gl_pathv[0], sizeof(sysfs_path) - 1); + memcpy(sysfs_path, pglob.gl_pathv[0], len); + sysfs_path[len] = '\0'; + globfree(&pglob); + + dir = opendir(sysfs_path); + if (NULL == dir) { + OPAE_MSG("can't find dirt %s ", strerror(errno)); + return FPGA_NOT_FOUND; + } + + while ((dirent = readdir(dir)) != NULL) { + + if (!strcmp(dirent->d_name, ".")) + continue; + if (!strcmp(dirent->d_name, "..")) + continue; + if (!strcmp(dirent->d_name, REVISION)) + continue; + + + if (strcmp(dirent->d_name, PERF_CACHE) == 0) { + + snprintf(qualifier_name, sizeof(qualifier_name), + "%s:%s", PERFORMANCE, PERF_CACHE); + + result = enum_perf_counter_items(vector, + metric_num, qualifier_name, + sysfs_path, dirent->d_name, + FPGA_METRIC_TYPE_PERFORMANCE_CTR, hw_type); + if (result != FPGA_OK) { + OPAE_MSG("Failed to add metrics"); + } + + } + + if (strcmp(dirent->d_name, PERF_FABRIC) == 0) { + + snprintf(qualifier_name, sizeof(qualifier_name), + "%s:%s", PERFORMANCE, PERF_FABRIC); + + result = enum_perf_counter_items(vector, metric_num, + qualifier_name, sysfs_path, + dirent->d_name, FPGA_METRIC_TYPE_PERFORMANCE_CTR, hw_type); + if (result != FPGA_OK) { + OPAE_MSG("Failed to add metrics"); + } + + } + + if (strcmp(dirent->d_name, PERF_IOMMU) == 0) { + + snprintf(qualifier_name, sizeof(qualifier_name), + "%s:%s", PERFORMANCE, PERF_IOMMU); + + result = enum_perf_counter_items(vector, metric_num, + qualifier_name, sysfs_path, dirent->d_name, + FPGA_METRIC_TYPE_PERFORMANCE_CTR, hw_type); + if (result != FPGA_OK) { + OPAE_MSG("Failed to add metrics"); + } + + } + + } + closedir(dir); + return result; +} + +fpga_result xfpga_bmcLoadSDRs(struct _fpga_handle *_handle, + bmc_sdr_handle *records, + uint32_t *num_sensors) +{ + fpga_result result = FPGA_NOT_FOUND; + fpga_result(*bmcLoadSDRs)(fpga_token token, bmc_sdr_handle *records, + uint32_t *num_sensors); + if (_handle->bmc_handle != NULL) { + + bmcLoadSDRs = dlsym(_handle->bmc_handle, "bmcLoadSDRs"); + if (bmcLoadSDRs) + result = bmcLoadSDRs(_handle->token, records, num_sensors); + else + result = FPGA_EXCEPTION; + + } + return result; +} + +fpga_result xfpga_bmcDestroySDRs(struct _fpga_handle *_handle, + bmc_sdr_handle *records) +{ + fpga_result result = FPGA_NOT_FOUND; + fpga_result(*bmcDestroySDRs)(bmc_sdr_handle *records); + + if (_handle->bmc_handle != NULL) { + + bmcDestroySDRs = dlsym(_handle->bmc_handle, "bmcDestroySDRs"); + if (bmcDestroySDRs) + result = bmcDestroySDRs(records); + else + result = FPGA_EXCEPTION; + + } + return result; +} + + +fpga_result xfpga_bmcReadSensorValues(struct _fpga_handle *_handle, + bmc_sdr_handle records, + bmc_values_handle *values, + uint32_t *num_values) +{ + fpga_result result = FPGA_NOT_FOUND; + fpga_result(*bmcReadSensorValues)(bmc_sdr_handle records, bmc_values_handle *values, uint32_t *num_values); + + if (_handle->bmc_handle != NULL) { + + bmcReadSensorValues = dlsym(_handle->bmc_handle, "bmcReadSensorValues"); + if (bmcReadSensorValues) + result = bmcReadSensorValues(records, values, num_values); + else + result = FPGA_EXCEPTION; + + } + return result; +} + + +fpga_result xfpga_bmcDestroySensorValues(struct _fpga_handle *_handle, + bmc_values_handle *values) +{ + fpga_result result = FPGA_NOT_FOUND; + fpga_result(*bmcDestroySensorValues)(bmc_values_handle *values); + + if (_handle->bmc_handle != NULL) { + + bmcDestroySensorValues = dlsym(_handle->bmc_handle, "bmcDestroySensorValues"); + if (bmcDestroySensorValues) + result = bmcDestroySensorValues(values); + else + result = FPGA_EXCEPTION; + + } + return result; +} + +fpga_result xfpga_bmcGetSensorReading(struct _fpga_handle *_handle, + bmc_values_handle values, + uint32_t sensor_number, + uint32_t *is_valid, + double *value) +{ + fpga_result result = FPGA_NOT_FOUND; + fpga_result(*bmcGetSensorReading)(bmc_values_handle values, + uint32_t sensor_number, uint32_t *is_valid, + double *value); + + if (_handle->bmc_handle != NULL) { + + bmcGetSensorReading = dlsym(_handle->bmc_handle, "bmcGetSensorReading"); + if (bmcGetSensorReading) + result = bmcGetSensorReading(values, sensor_number, is_valid, value); + else + result = FPGA_EXCEPTION; + + } + return result; +} + +fpga_result xfpga_bmcGetSDRDetails(struct _fpga_handle *_handle, + bmc_values_handle values, + uint32_t sensor_number, + sdr_details *details) +{ + fpga_result result = FPGA_NOT_FOUND; + fpga_result(*bmcGetSDRDetails)(bmc_values_handle values, uint32_t sensor_number, + sdr_details *details); + + if (_handle->bmc_handle != NULL) { + + bmcGetSDRDetails = dlsym(_handle->bmc_handle, "bmcGetSDRDetails"); + if (bmcGetSDRDetails) + result = bmcGetSDRDetails(values, sensor_number, details); + else + result = FPGA_EXCEPTION; + + } + return result; +} + + +// enumerates bmc power & theraml metrics info +fpga_result enum_bmc_metrics_info(struct _fpga_handle *_handle, + fpga_metric_vector *vector, + uint64_t *metric_num, + enum fpga_hw_type hw_type) +{ + fpga_result result = FPGA_OK; + uint32_t x = 0; + uint32_t num_sensors = 0; + uint32_t num_values = 0; + enum fpga_metric_type metric_type = FPGA_METRIC_TYPE_POWER; + char group_name[SYSFS_PATH_MAX] = { 0, }; + char qualifier_name[SYSFS_PATH_MAX] = { 0, }; + char units[SYSFS_PATH_MAX] = { 0, }; + sdr_details details; + bmc_sdr_handle records; + bmc_values_handle values; + size_t len; + + if (vector == NULL || + metric_num == NULL) { + OPAE_ERR("Invalid input"); + return result; + } + result = xfpga_bmcLoadSDRs(_handle, &records, &num_sensors); + if (result != FPGA_OK) { + OPAE_ERR("Failed to load BMC SDR."); + return result; + } + + result = xfpga_bmcReadSensorValues(_handle, records, &values, &num_values); + if (result != FPGA_OK) { + OPAE_ERR("Failed to read BMC sensor values."); + return result; + } + + for (x = 0; x < num_sensors; x++) { + result = xfpga_bmcGetSDRDetails(_handle, values, x, &details); + + + if (details.sensor_type == BMC_THERMAL) { + + metric_type = FPGA_METRIC_TYPE_THERMAL; + + len = strnlen(THERLGMT, sizeof(group_name) - 1); + memcpy(group_name, THERLGMT, len); + group_name[len] = '\0'; + + len = strnlen(TEMP, sizeof(units) - 1); + memcpy(units, TEMP, len); + units[len] = '\0'; + + snprintf(qualifier_name, sizeof(qualifier_name), + "%s:%s", THERLGMT, details.name); + + } else if (details.sensor_type == BMC_POWER) { + + metric_type = FPGA_METRIC_TYPE_POWER; + + len = strnlen(PWRMGMT, sizeof(group_name) - 1); + memcpy(group_name, PWRMGMT, len); + group_name[len] = '\0'; + + snprintf(qualifier_name, sizeof(qualifier_name), + "%s:%s", PWRMGMT, details.name); + + snprintf(units, sizeof(units), "%ls", details.units); + } else { + continue; + } + + result = add_metric_vector(vector, *metric_num, + qualifier_name, group_name, "", + details.name, "", units, FPGA_METRIC_DATATYPE_DOUBLE, + metric_type, hw_type, 0); + if (result != FPGA_OK) { + OPAE_MSG("Failed to add metrics"); + return result; + } + + *metric_num = *metric_num + 1; + } + + result = xfpga_bmcDestroySensorValues(_handle, &values); + if (result != FPGA_OK) { + OPAE_MSG("Failed to Destroy Sensor value."); + } + + result = xfpga_bmcDestroySDRs(_handle, &records); + if (result != FPGA_OK) { + OPAE_ERR("Failed to Destroy SDR."); + return result; + } + + return result; +} + +// frees metrics info vector +fpga_result free_fpga_enum_metrics_vector(struct _fpga_handle *_handle) +{ + fpga_result result = FPGA_OK; + uint64_t i = 0; + uint64_t num_enun_metrics = 0; + + if (_handle == NULL) { + OPAE_ERR("Invalid handle "); + return FPGA_INVALID_PARAM; + } + + if (_handle->magic != FPGA_HANDLE_MAGIC) { + OPAE_MSG("Invalid handle"); + return FPGA_INVALID_PARAM; + } + + result = fpga_vector_total(&(_handle->fpga_enum_metric_vector), &num_enun_metrics); + if (result != FPGA_OK) { + OPAE_MSG("Failed to get metric total"); + return FPGA_INVALID_PARAM; + } + + for (i = 0; i < num_enun_metrics; i++) { + fpga_vector_delete(&(_handle->fpga_enum_metric_vector), i); + } + + fpga_vector_free(&(_handle->fpga_enum_metric_vector)); + + if (_handle->bmc_handle) { + dlclose(_handle->bmc_handle); + _handle->bmc_handle = NULL; + } + + clear_cached_values(_handle); + _handle->metric_enum_status = false; + + return result; +} + +// retrives fpga object type +fpga_result get_fpga_object_type(fpga_handle handle, + fpga_objtype *objtype) +{ + fpga_result result = FPGA_OK; + fpga_result resval = FPGA_OK; + fpga_properties prop; + + result = xfpga_fpgaGetPropertiesFromHandle(handle, &prop); + if (result != FPGA_OK) { + OPAE_ERR("Failed to get properties"); + return result; + } + + result = fpgaPropertiesGetObjectType(prop, objtype); + if (result != FPGA_OK) { + OPAE_ERR("Failed to object type."); + } + + resval = (result != FPGA_OK) ? result : resval; + result = fpgaDestroyProperties(&prop); + if (result != FPGA_OK) { + OPAE_ERR("Failed to destroy properties"); + } + + resval = (result != FPGA_OK) ? result : resval; + + return resval; +} + +void *metrics_load_bmc_lib(void) +{ + char plugin_path[PATH_MAX] = { 0, }; + const char *search_paths[] = { OPAE_MODULE_SEARCH_PATHS }; + unsigned i; + void *dl_handle; + + for (i = 0 ; + i < sizeof(search_paths) / sizeof(search_paths[0]) ; + ++i) { + + snprintf(plugin_path, sizeof(plugin_path), + "%s%s", search_paths[i], BMC_LIB); + + dl_handle = dlopen(plugin_path, RTLD_LAZY | RTLD_LOCAL); + if (dl_handle) + return dl_handle; + } + + return NULL; +} + +// enumerates FME & AFU metrics info +fpga_result enum_fpga_metrics(fpga_handle handle) +{ + fpga_result result = FPGA_OK; + struct _fpga_token *_token = NULL; + enum fpga_hw_type hw_type = FPGA_HW_UNKNOWN; + uint64_t mmio_offset = 0; + uint64_t metric_num = 0; + char metrics_path[SYSFS_PATH_MAX] = { 0 }; + + fpga_objtype objtype; + + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + + if (_handle == NULL) { + OPAE_ERR("Invalid handle "); + return FPGA_INVALID_PARAM; + } + + if (_handle->metric_enum_status) + return FPGA_OK; + + _token = (struct _fpga_token *)_handle->token; + if (_token == NULL) { + OPAE_ERR("Invalid token within handle"); + return FPGA_INVALID_PARAM; + } + + result = get_fpga_object_type(handle, &objtype); + if (result != FPGA_OK) { + OPAE_ERR("Failed to init vector"); + return result; + } + + // Init vector + result = fpga_vector_init(&(_handle->fpga_enum_metric_vector)); + if (result != FPGA_OK) { + OPAE_ERR("Failed to init vector"); + return result; + } + + if (objtype == FPGA_ACCELERATOR) { + // enum AFU + result = discover_afu_metrics_feature(handle, &mmio_offset); + if (result != FPGA_OK) { + OPAE_ERR("Failed to discover AFU Metrics BBB"); + return result; + } + + + result = enum_afu_metrics(handle, + &(_handle->fpga_enum_metric_vector), + &metric_num, + mmio_offset); + if (result != FPGA_OK) { + OPAE_ERR("Failed to enum AFU metrics BBB"); + return result; + } + + + } else if (objtype == FPGA_DEVICE) { + // enum FME + + // get fpga hw type. + result = get_fpga_hw_type(_handle, &hw_type); + if (result != FPGA_OK) { + OPAE_ERR("Failed to discover hardware type."); + return result; + } + + + switch (hw_type) { + // MCP + case FPGA_HW_MCP: { + + memset(metrics_path, 0, SYSFS_PATH_MAX); + + if (sysfs_get_fme_pwr_path(_token, metrics_path) == FPGA_OK) { + result = enum_powermgmt_metrics(&(_handle->fpga_enum_metric_vector), &metric_num, metrics_path, FPGA_HW_MCP); + if (result != FPGA_OK) { + OPAE_ERR("Failed to Enum Power metrics."); + } + } + + memset(metrics_path, 0, SYSFS_PATH_MAX); + if (sysfs_get_fme_temp_path(_token, metrics_path) == FPGA_OK) { + result = enum_thermalmgmt_metrics(&(_handle->fpga_enum_metric_vector), &metric_num, metrics_path, FPGA_HW_MCP); + if (result != FPGA_OK) { + OPAE_ERR("Failed to Enum Thermal metrics."); + } + } + + memset(metrics_path, 0, SYSFS_PATH_MAX); + if (sysfs_get_fme_perf_path(_token, metrics_path) == FPGA_OK) { + result = enum_perf_counter_metrics(&(_handle->fpga_enum_metric_vector), &metric_num, metrics_path, FPGA_HW_MCP); + if (result != FPGA_OK) { + OPAE_ERR("Failed to Enum Performance metrics."); + } + } + + } + break; + + // DCP RC + case FPGA_HW_DCP_RC: { + + memset(metrics_path, 0, SYSFS_PATH_MAX); + if (sysfs_get_fme_perf_path(_token, metrics_path) == FPGA_OK) { + + result = enum_perf_counter_metrics(&(_handle->fpga_enum_metric_vector), &metric_num, metrics_path, FPGA_HW_DCP_RC); + if (result != FPGA_OK) { + OPAE_ERR("Failed to Enum Performance metrics."); + } + } + + memset(metrics_path, 0, SYSFS_PATH_MAX); + if (sysfs_get_bmc_path(_token, metrics_path) == FPGA_OK) { + + if (_handle->bmc_handle == NULL) + _handle->bmc_handle = metrics_load_bmc_lib(); + + if (_handle->bmc_handle) { + result = enum_bmc_metrics_info(_handle, &(_handle->fpga_enum_metric_vector), &metric_num, FPGA_HW_DCP_RC); + if (result != FPGA_OK) { + OPAE_ERR("Failed to enumerate BMC metrics."); + } + + } + } + + } + break; + + // DCP VC DC + case FPGA_HW_DCP_DC: + case FPGA_HW_DCP_VC: { + + memset(metrics_path, 0, SYSFS_PATH_MAX); + if (sysfs_get_max10_path(_token, metrics_path) == FPGA_OK) { + + // Max10 Power & Thermal + result = enum_max10_metrics_info(_handle, + &(_handle->fpga_enum_metric_vector), + &metric_num, + hw_type); + if (result != FPGA_OK) { + OPAE_ERR("Failed to Enum Power and Thermal metrics."); + } + } + + memset(metrics_path, 0, SYSFS_PATH_MAX); + if (sysfs_get_fme_perf_path(_token, metrics_path) == FPGA_OK) { + + // Perf Counters + result = enum_perf_counter_metrics(&(_handle->fpga_enum_metric_vector), &metric_num, _token->sysfspath, hw_type); + if (result != FPGA_OK) { + OPAE_ERR("Failed to Enum Performance metrics."); + } + } + } + break; + + default: + OPAE_MSG("Unknown hardware type."); + result = FPGA_EXCEPTION; + } + + } // if Object type + + if (result != FPGA_OK) + free_fpga_enum_metrics_vector(_handle); + + _handle->metric_enum_status = true; + + return result; +} + + +fpga_result add_metric_info(struct _fpga_enum_metric *_enum_metrics, + struct fpga_metric_info *fpga_metric_info) +{ + fpga_result result = FPGA_OK; + size_t len; + + if (_enum_metrics == NULL || + fpga_metric_info == NULL) { + + OPAE_ERR("Invalid Input Paramters"); + return FPGA_INVALID_PARAM; + } + + len = strnlen(_enum_metrics->group_name, SYSFS_PATH_MAX - 1); + memcpy(fpga_metric_info->group_name, _enum_metrics->group_name, len); + fpga_metric_info->group_name[len] = '\0'; + + len = strnlen(_enum_metrics->metric_name, SYSFS_PATH_MAX - 1); + memcpy(fpga_metric_info->metric_name, _enum_metrics->metric_name, len); + fpga_metric_info->metric_name[len] = '\0'; + + len = strnlen(_enum_metrics->qualifier_name, SYSFS_PATH_MAX - 1); + memcpy(fpga_metric_info->qualifier_name, _enum_metrics->qualifier_name, len); + fpga_metric_info->qualifier_name[len] = '\0'; + + len = strnlen(_enum_metrics->metric_units, SYSFS_PATH_MAX - 1); + memcpy(fpga_metric_info->metric_units, _enum_metrics->metric_units, len); + fpga_metric_info->metric_units[len] = '\0'; + + fpga_metric_info->metric_num = _enum_metrics->metric_num; + fpga_metric_info->metric_type = _enum_metrics->metric_type; + fpga_metric_info->metric_datatype = _enum_metrics->metric_datatype; + + return result; +} + + +// Reads bmc metric value +fpga_result get_bmc_metrics_values(fpga_handle handle, + struct _fpga_enum_metric *_fpga_enum_metric, + struct fpga_metric *fpga_metric) +{ + fpga_result result = FPGA_OK; + uint32_t num_sensors = 0; + uint32_t num_values = 0; + uint32_t x = 0; + uint32_t is_valid = 0; + double tmp = 0; + int metric_indicator = 0; + bmc_sdr_handle records; + bmc_values_handle values; + sdr_details details; + size_t len; + + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + + if (_handle->_bmc_metric_cache_value) { + + for (x = 0; x < _handle->num_bmc_metric; x++) { + + metric_indicator = strcasecmp(_handle->_bmc_metric_cache_value[x].metric_name, + _fpga_enum_metric->metric_name); + + if (metric_indicator == 0) { + fpga_metric->value.dvalue = _handle->_bmc_metric_cache_value[x].fpga_metric.value.dvalue; + return result; + } + } + return FPGA_NOT_FOUND; + } + + result = xfpga_bmcLoadSDRs(_handle, &records, &num_sensors); + if (result != FPGA_OK) { + OPAE_ERR("Failed to load BMC SDR."); + return result; + } + + if (_handle->_bmc_metric_cache_value == NULL) { + _handle->_bmc_metric_cache_value = calloc(sizeof(struct _fpga_bmc_metric), num_sensors); + if (_handle->_bmc_metric_cache_value == NULL) { + OPAE_ERR("Failed to allocate memory"); + result = FPGA_NO_MEMORY; + goto out_destroy; + } + _handle->num_bmc_metric = num_sensors; + } + + result = xfpga_bmcReadSensorValues(_handle, records, &values, &num_values); + if (result != FPGA_OK) { + OPAE_ERR("Failed to read BMC sensor values."); + goto out_destroy; + } + + for (x = 0; x < num_sensors; x++) { + + result = xfpga_bmcGetSDRDetails(_handle, values, x, &details); + if (result != FPGA_OK) { + OPAE_MSG("Failed to get SDR details."); + } + + result = xfpga_bmcGetSensorReading(_handle, values, x, &is_valid, &tmp); + if (result != FPGA_OK) { + OPAE_MSG("Failed to read sensor readings."); + continue; + } + + if (!is_valid) { + continue; + } + + len = strnlen(details.name, sizeof(_handle->_bmc_metric_cache_value[x].metric_name) - 1); + memcpy(_handle->_bmc_metric_cache_value[x].metric_name, details.name, len); + _handle->_bmc_metric_cache_value[x].metric_name[len] = '\0'; + + _handle->_bmc_metric_cache_value[x].fpga_metric.value.dvalue = tmp; + + metric_indicator = strcasecmp(details.name, _fpga_enum_metric->metric_name); + if (metric_indicator == 0) { + fpga_metric->value.dvalue = tmp; + } + + } + + + result = xfpga_bmcDestroySensorValues(_handle, &values); + if (result != FPGA_OK) { + OPAE_MSG("Failed to Destroy Sensor value."); + } + +out_destroy: + result = xfpga_bmcDestroySDRs(_handle, &records); + if (result != FPGA_OK) { + OPAE_ERR("Failed to Destroy SDR."); + return result; + } + + return result; +} + +// Reads mcp power & thermal metric value +fpga_result get_pwr_thermal_max10_value(const char *sysfs_path, + double *dvalue) +{ + fpga_result result = FPGA_OK; + + uint64_t value; + + if (sysfs_path == NULL || + dvalue == NULL) { + OPAE_ERR("Invalid Input Paramters"); + return FPGA_INVALID_PARAM; + } + + result = sysfs_read_u64(sysfs_path, &value); + if (result != FPGA_OK) { + OPAE_MSG("Failed to read Metrics values"); + return result; + } + + *dvalue = ((double)value / MILLI); + + return result; +} + +// Reads mcp power & thermal metric value +fpga_result get_pwr_thermal_value(const char *sysfs_path, + uint64_t *value) +{ + fpga_result result = FPGA_OK; + char *ptr = NULL; + + if (sysfs_path == NULL || + value == NULL) { + OPAE_ERR("Invalid Input Paramters"); + return FPGA_INVALID_PARAM; + } + + result = sysfs_read_u64(sysfs_path, value); + if (result != FPGA_OK) { + OPAE_ERR("Failed to read Metrics values"); + return result; + } + + ptr = strstr(sysfs_path, FPGA_LIMIT); + if (ptr) + *value = *value / 8; + + ptr = NULL; + ptr = strstr(sysfs_path, XEON_LIMIT); + if (ptr) + *value = *value / 8; + + return result; +} + +// Reads mcp power & thermal metric value +fpga_result get_performance_counter_value(const char *group_sysfs, + const char *metric_sysfs, + uint64_t *value) +{ + fpga_result result = FPGA_OK; + char sysfs_path[SYSFS_PATH_MAX] = { 0, }; + uint64_t val = 0; + + if (group_sysfs == NULL || + metric_sysfs == NULL || + value == NULL) { + OPAE_ERR("Invalid Input Paramters"); + return FPGA_INVALID_PARAM; + } + + snprintf(sysfs_path, sizeof(sysfs_path), + "%s/%s", group_sysfs, PERF_ENABLE); + + result = metric_sysfs_path_is_file(sysfs_path); + if (result == FPGA_OK) { + result = sysfs_read_u64(sysfs_path, &val); + if (result != FPGA_OK) { + OPAE_ERR("Failed to read perf fabric enable"); + } + + if (val == 0x0) { + // Writer Fabric Enable + result = sysfs_write_u64_decimal(sysfs_path, 1);; + if (result != FPGA_OK) { + OPAE_ERR("Failed to read perf fabric enable"); + } + + } + } + + snprintf(sysfs_path, sizeof(sysfs_path), + "%s/%s", group_sysfs, PERF_FREEZE); + + result = metric_sysfs_path_is_file(sysfs_path); + if (result == FPGA_OK) { + + result = sysfs_read_u64(sysfs_path, &val); + if (result != FPGA_OK) { + OPAE_ERR("Failed to read perf fabric freeze"); + } + + if (val != 0x1) { + // Write Fabric Freeze + result = sysfs_write_u64(sysfs_path, 1); + if (result != FPGA_OK) { + OPAE_ERR("Failed to write perf fabric freeze"); + } + + } + } + + *value = 0; + result = sysfs_read_u64(metric_sysfs, value); + if (result != FPGA_OK) { + OPAE_ERR("--Failed to read Metrics values"); + return result; + } + + snprintf(sysfs_path, sizeof(sysfs_path), + "%s/%s", group_sysfs, PERF_FREEZE); + + result = metric_sysfs_path_is_file(sysfs_path); + if (result == FPGA_OK) { + + result = sysfs_read_u64(sysfs_path, &val); + if (result != FPGA_OK) { + OPAE_ERR("Failed to read perf fabric freeze"); + + } + + if (val == 0x1) { + // Write Fabric Freeze + result = sysfs_write_u64(sysfs_path, 0); + if (result != FPGA_OK) { + OPAE_ERR("Failed to write perf fabric freeze"); + } + + } + } + + result = FPGA_OK; + return result; +} + +// Reads fme metric value +fpga_result get_fme_metric_value(fpga_handle handle, + fpga_metric_vector *enum_vector, + uint64_t metric_num, + struct fpga_metric *fpga_metric) +{ + fpga_result result = FPGA_OK; + uint64_t index = 0; + struct _fpga_enum_metric *_fpga_enum_metric = NULL; + uint64_t num_enun_metrics = 0; + metric_value value = {0}; + + if (enum_vector == NULL || + fpga_metric == NULL) { + OPAE_ERR("Invalid Input Paramters"); + return FPGA_INVALID_PARAM; + } + + result = fpga_vector_total(enum_vector, &num_enun_metrics); + if (result != FPGA_OK) { + OPAE_ERR("Failed to get metric total"); + return FPGA_NOT_FOUND; + } + + fpga_metric->isvalid = false; + result = FPGA_NOT_FOUND; + for (index = 0; index < num_enun_metrics ; index++) { + + _fpga_enum_metric = (struct _fpga_enum_metric *) fpga_vector_get(enum_vector, index); + + if (metric_num == _fpga_enum_metric->metric_num) { + + // Found Metic + memset(&value, 0, sizeof(value)); + + // DCP Power & Thermal + if ((_fpga_enum_metric->hw_type == FPGA_HW_DCP_RC) && + ((_fpga_enum_metric->metric_type == FPGA_METRIC_TYPE_POWER) || + (_fpga_enum_metric->metric_type == FPGA_METRIC_TYPE_THERMAL))) { + + + result = get_bmc_metrics_values(handle, _fpga_enum_metric, fpga_metric); + if (result != FPGA_OK) { + OPAE_MSG("Failed to get BMC metric value"); + } else { + fpga_metric->isvalid = true; + } + fpga_metric->metric_num = metric_num; + + } + + if ((_fpga_enum_metric->hw_type == FPGA_HW_MCP) && + ((_fpga_enum_metric->metric_type == FPGA_METRIC_TYPE_POWER) || + (_fpga_enum_metric->metric_type == FPGA_METRIC_TYPE_THERMAL))) { + + result = get_pwr_thermal_value(_fpga_enum_metric->metric_sysfs, &value.ivalue); + if (result != FPGA_OK) { + OPAE_MSG("Failed to get BMC metric value"); + } else { + fpga_metric->isvalid = true; + } + fpga_metric->value = value; + fpga_metric->metric_num = metric_num; + + } + + // Read power theraml values from Max10 + if (((_fpga_enum_metric->hw_type == FPGA_HW_DCP_DC) || + (_fpga_enum_metric->hw_type == FPGA_HW_DCP_VC)) && + ((_fpga_enum_metric->metric_type == FPGA_METRIC_TYPE_POWER) || + (_fpga_enum_metric->metric_type == FPGA_METRIC_TYPE_THERMAL))) { + + result = read_max10_value(_fpga_enum_metric, &value.dvalue); + if (result != FPGA_OK) { + OPAE_MSG("Failed to get Max10 metric value"); + } else { + fpga_metric->isvalid = true; + } + fpga_metric->value = value; + fpga_metric->metric_num = metric_num; + + } + + + if (_fpga_enum_metric->metric_type == FPGA_METRIC_TYPE_PERFORMANCE_CTR) { + + + result = get_performance_counter_value(_fpga_enum_metric->group_sysfs, _fpga_enum_metric->metric_sysfs, &value.ivalue); + if (result != FPGA_OK) { + OPAE_MSG("Failed to get perf metric value"); + } else { + fpga_metric->isvalid = true; + } + fpga_metric->value = value; + fpga_metric->metric_num = metric_num; + + } + + break; + } + } + + return result; +} + + +// parses metric name strings +fpga_result parse_metric_num_name(const char *search_string, + fpga_metric_vector *fpga_enum_metrics_vector, + uint64_t *metric_num) +{ + fpga_result result = FPGA_OK; + char *str = NULL; + char *str_last = NULL; + uint64_t i = 0; + struct _fpga_enum_metric *fpga_enum_metric = NULL; + char qualifier_name[SYSFS_PATH_MAX] = { 0, }; + char metrics_name[SYSFS_PATH_MAX] = { 0, }; + int qualifier_indicator = 0; + int metric_indicator = 0; + uint64_t num_enun_metrics = 0; + size_t len; + + if (search_string == NULL || + fpga_enum_metrics_vector == NULL || + metric_num == NULL) { + OPAE_ERR("Invalid Input Paramters"); + return FPGA_INVALID_PARAM; + } + + str = strrchr(search_string, ':'); + if (!str) { + OPAE_ERR("Invalid Input Paramters"); + return FPGA_INVALID_PARAM; + } + + // Metric Name + len = strnlen(str + 1, FPGA_METRIC_STR_SIZE - 1); + memcpy(metrics_name, str + 1, len); + metrics_name[len] = '\0'; + + // qualifier_name + str_last = strrchr(search_string, ':'); + if (!str_last) { + OPAE_ERR("Invalid Input Paramters"); + return FPGA_INVALID_PARAM; + } + + memcpy(qualifier_name, search_string, str_last - search_string); + qualifier_name[str_last - search_string] = '\0'; + + result = fpga_vector_total(fpga_enum_metrics_vector, &num_enun_metrics); + if (result != FPGA_OK) { + OPAE_ERR("Failed to get metric total"); + return FPGA_NOT_FOUND; + } + + + for (i = 0; i < num_enun_metrics; i++) { + fpga_enum_metric = (struct _fpga_enum_metric *) fpga_vector_get(fpga_enum_metrics_vector, i); + + qualifier_indicator = strcasecmp(fpga_enum_metric->qualifier_name, qualifier_name); + metric_indicator = strcasecmp(fpga_enum_metric->metric_name, metrics_name); + + if (qualifier_indicator == 0 && + metric_indicator == 0) { + + *metric_num = fpga_enum_metric->metric_num; + return result; + } + + } // end of for loop + + return FPGA_NOT_FOUND; +} + +// clears BMC values +fpga_result clear_cached_values(fpga_handle handle) +{ + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + fpga_result result = FPGA_OK; + + if (_handle->_bmc_metric_cache_value) { + free(_handle->_bmc_metric_cache_value); + _handle->_bmc_metric_cache_value = NULL; + } + + _handle->num_bmc_metric = 0; + return result; +} diff --git a/opae-libs/plugins/xfpga/metrics/threshold.c b/opae-libs/plugins/xfpga/metrics/threshold.c new file mode 100644 index 0000000..5773746 --- /dev/null +++ b/opae-libs/plugins/xfpga/metrics/threshold.c @@ -0,0 +1,495 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + + +/** +* \file threshold.c +* \brief fpga sensor threshold functions +*/ + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + + +#include +#include +#include +#include +#include +#include + +#include "types_int.h" +#include "metrics_int.h" +#include "common_int.h" +#include "metrics/bmc/bmc.h" +#include "metrics_int.h" +#include "metrics_max10.h" +#include "threshold.h" + + +fpga_result xfpga_fpgaGetMetricsThresholdInfo(fpga_handle handle, + metric_threshold *metric_thresholds, + uint32_t *num_thresholds) +{ + fpga_result result = FPGA_OK; + struct _fpga_token *_token = NULL; + enum fpga_hw_type hw_type = FPGA_HW_UNKNOWN; + fpga_objtype objtype; + + + if (handle == NULL || + (metric_thresholds == NULL && + num_thresholds == NULL)) { + OPAE_ERR("Invalid input parameters"); + return FPGA_INVALID_PARAM; + } + + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + + _token = (struct _fpga_token *)_handle->token; + if (_token == NULL) { + OPAE_ERR("Invalid token within handle"); + return FPGA_INVALID_PARAM; + } + + result = get_fpga_object_type(handle, &objtype); + if (result != FPGA_OK) { + OPAE_ERR("Failed to object type"); + return result; + } + + if (objtype != FPGA_DEVICE) { + OPAE_ERR("FPGA object type is not FPGA DEVICE "); + return result; + } + + // get fpga hw type. + result = get_fpga_hw_type(_handle, &hw_type); + if (result != FPGA_OK) { + OPAE_ERR("Failed to discover hardware type."); + return result; + } + + switch (hw_type) { + // MCP + case FPGA_HW_MCP: { + OPAE_ERR("Not Supported MCP thresholds."); + result = FPGA_EXCEPTION; + } + break; + + // DCP RC + case FPGA_HW_DCP_RC: { + + result = get_bmc_threshold_info(handle, + metric_thresholds, num_thresholds); + if (result != FPGA_OK) { + OPAE_ERR("Failed to get bmc thresholds."); + return result; + } + + } + break; + + // VC DC + case FPGA_HW_DCP_DC: + case FPGA_HW_DCP_VC: { + // Max10 + result = get_max10_threshold_info(handle, + metric_thresholds, num_thresholds); + if (result != FPGA_OK) { + OPAE_ERR("Failed to get max10 thresholds."); + return result; + } + + } + break; + + default: + OPAE_ERR("Unknown Device ID."); + result = FPGA_EXCEPTION; + } + + return result; +} + +fpga_result get_bmc_threshold_info(fpga_handle handle, + metric_threshold *metric_thresholds, + uint32_t *num_thresholds) +{ + fpga_result result = FPGA_OK; + fpga_result resval = FPGA_OK; + uint32_t num_sensors = 0; + uint32_t num_values = 0; + uint32_t x = 0; + + sdr_details details; + bmc_sdr_handle records; + bmc_values_handle values; + size_t len; + + if (handle == NULL || + num_thresholds == NULL) { + OPAE_ERR("Invalid input parameters"); + return FPGA_INVALID_PARAM; + } + + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + + if (pthread_mutex_lock(&_handle->lock)) { + OPAE_ERR("pthread_mutex_lock failed"); + return FPGA_EXCEPTION; + } + + if (_handle->bmc_handle == NULL) + _handle->bmc_handle = metrics_load_bmc_lib(); + if (!_handle->bmc_handle) { + OPAE_ERR("Failed to load BMC module %s", dlerror()); + if (pthread_mutex_unlock(&_handle->lock)) { + OPAE_ERR("pthread_mutex_unlock failed"); + } + return FPGA_EXCEPTION; + } + if (pthread_mutex_unlock(&_handle->lock)) { + OPAE_ERR("pthread_mutex_unlock failed"); + } + + result = xfpga_bmcLoadSDRs(_handle, &records, &num_sensors); + if (result != FPGA_OK) { + OPAE_ERR("Failed to load BMC SDR."); + return result; + } + + result = xfpga_bmcReadSensorValues(_handle, records, &values, &num_values); + if (result != FPGA_OK) { + OPAE_ERR("Failed to read BMC sensor values."); + goto destroy_sdr; + } + + // Return number of thresholds. + if (metric_thresholds == NULL && num_thresholds != NULL) { + *num_thresholds = num_values; + goto destroy_values; + } + + // Return number of threshold info and value. + if (metric_thresholds != NULL && num_thresholds != NULL) { + + for (x = 0; x < num_sensors; x++) { + + // Sensor Name + result = xfpga_bmcGetSDRDetails(_handle, values, x, &details); + if (result != FPGA_OK) { + OPAE_MSG("Failed to read sensor readings."); + continue; + } + + len = strnlen(details.name, sizeof(metric_thresholds[x].metric_name) - 1); + memcpy(metric_thresholds[x].metric_name, details.name, len); + metric_thresholds[x].metric_name[len] = '\0'; + + // Upper Non-Recoverable Threshold + if (details.thresholds.upper_nr_thresh.is_valid) { + + len = strnlen(UPPER_NR_THRESHOLD, + sizeof(metric_thresholds[x].upper_nr_threshold.threshold_name) - 1); + memcpy(metric_thresholds[x].upper_nr_threshold.threshold_name, + UPPER_NR_THRESHOLD, len); + metric_thresholds[x].upper_nr_threshold.threshold_name[len] = '\0'; + + metric_thresholds[x].upper_nr_threshold.value = details.thresholds.upper_nr_thresh.value; + metric_thresholds[x].upper_nr_threshold.is_valid = true; + + } + + + // Upper Critical Threshold + if (details.thresholds.upper_c_thresh.is_valid) { + + len = strnlen(UPPER_C_THRESHOLD, + sizeof(metric_thresholds[x].upper_c_threshold.threshold_name) - 1); + memcpy(metric_thresholds[x].upper_c_threshold.threshold_name, + UPPER_C_THRESHOLD, len); + metric_thresholds[x].upper_c_threshold.threshold_name[len] = '\0'; + + metric_thresholds[x].upper_c_threshold.value = details.thresholds.upper_c_thresh.value; + metric_thresholds[x].upper_c_threshold.is_valid = true; + } + + + // Upper Non-Critical Threshold + if (details.thresholds.upper_nc_thresh.is_valid) { + + len = strnlen(UPPER_NC_THRESHOLD, + sizeof(metric_thresholds[x].upper_nc_threshold.threshold_name) - 1); + memcpy(metric_thresholds[x].upper_nc_threshold.threshold_name, + UPPER_NC_THRESHOLD, len); + metric_thresholds[x].upper_nc_threshold.threshold_name[len] = '\0'; + + metric_thresholds[x].upper_nc_threshold.value = details.thresholds.upper_nc_thresh.value; + metric_thresholds[x].upper_nc_threshold.is_valid = true; + } + + + // Lower Non-Recoverable Threshold + if (details.thresholds.lower_nr_thresh.is_valid) { + + len = strnlen(LOWER_NR_THRESHOLD, + sizeof(metric_thresholds[x].lower_nr_threshold.threshold_name) - 1); + memcpy(metric_thresholds[x].lower_nr_threshold.threshold_name, + LOWER_NR_THRESHOLD, len); + metric_thresholds[x].lower_nr_threshold.threshold_name[len] = '\0'; + + metric_thresholds[x].lower_nr_threshold.value = details.thresholds.lower_nr_thresh.value; + metric_thresholds[x].lower_nr_threshold.is_valid = true; + } + + + // Lower Critical Threshold + if (details.thresholds.lower_c_thresh.is_valid) { + + len = strnlen(LOWER_C_THRESHOLD, + sizeof(metric_thresholds[x].lower_c_threshold.threshold_name) - 1); + memcpy(metric_thresholds[x].lower_c_threshold.threshold_name, + LOWER_C_THRESHOLD, len); + metric_thresholds[x].lower_c_threshold.threshold_name[len] = '\0'; + + metric_thresholds[x].lower_c_threshold.value = details.thresholds.lower_c_thresh.value; + metric_thresholds[x].lower_c_threshold.is_valid = true; + } + + // Lower Non-Critical Threshold + if (details.thresholds.lower_nc_thresh.is_valid) { + + len = strnlen(LOWER_NC_THRESHOLD, + sizeof(metric_thresholds[x].lower_nc_threshold.threshold_name) - 1); + memcpy(metric_thresholds[x].lower_nc_threshold.threshold_name, + LOWER_NC_THRESHOLD, len); + metric_thresholds[x].lower_nc_threshold.threshold_name[len] = '\0'; + + metric_thresholds[x].lower_nc_threshold.value = details.thresholds.lower_nc_thresh.value; + metric_thresholds[x].lower_nc_threshold.is_valid = true; + } + + } // for loop end + + } // endif + +destroy_values: + resval = (result != FPGA_OK) ? result : resval; + + result = xfpga_bmcDestroySensorValues(_handle, &values); + if (result != FPGA_OK) { + OPAE_MSG("Failed to Destroy Sensor value."); + } + +destroy_sdr: + resval = (result != FPGA_OK) ? result : resval; + + result = xfpga_bmcDestroySDRs(_handle, &records); + if (result != FPGA_OK) { + OPAE_ERR("Failed to Destroy SDR."); + } + + resval = (result != FPGA_OK) ? result : resval; + return resval; +} + + +fpga_result get_max10_threshold_info(fpga_handle handle, + metric_threshold *metric_thresholds, + uint32_t *num_thresholds) +{ + fpga_result result = FPGA_OK; + fpga_result resval = FPGA_OK; + char sysfspath[SYSFS_PATH_MAX] = { 0, }; + size_t i = 0; + struct _fpga_token *_token = NULL; + char *tmp = NULL; + uint32_t tot_bytes = 0; + uint64_t value = 0; + glob_t pglob; + size_t len; + + if (handle == NULL || + num_thresholds == NULL) { + OPAE_ERR("Invalid input parameters"); + return FPGA_INVALID_PARAM; + } + + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + + _token = (struct _fpga_token *)_handle->token; + if (_token == NULL) { + OPAE_ERR("Invalid token within handle"); + return FPGA_INVALID_PARAM; + } + + // Sensor path + if (snprintf(sysfspath, sizeof(sysfspath), + "%s/%s", _token->sysfspath, MAX10_SYSFS_PATH) < 0) { + OPAE_ERR("buffer overflow in snprintf"); + return FPGA_EXCEPTION; + } + + int gres = glob(sysfspath, GLOB_NOSORT, NULL, &pglob); + if ((gres) || (1 != pglob.gl_pathc)) { + OPAE_ERR("Failed pattern match %s: %s", sysfspath, strerror(errno)); + globfree(&pglob); + return FPGA_NOT_FOUND; + } + globfree(&pglob); + + + // scan sensors + if (snprintf(sysfspath, sizeof(sysfspath), + "%s/%s", _token->sysfspath, MAX10_SENSOR_SYSFS_PATH) < 0) { + OPAE_ERR("buffer overflow in snprintf"); + return FPGA_EXCEPTION; + } + + gres = glob(sysfspath, GLOB_NOSORT, NULL, &pglob); + if (gres) { + OPAE_ERR("Failed pattern match %s: %s", sysfspath, strerror(errno)); + globfree(&pglob); + return FPGA_NOT_FOUND; + } + + + if (metric_thresholds == NULL && num_thresholds != NULL) { + *num_thresholds = pglob.gl_pathc; + goto out; + } + + // read thresholds + for (i = 0; i < pglob.gl_pathc; i++) { + + // Sensor name + result = read_sensor_sysfs_file(pglob.gl_pathv[i], SENSOR_SYSFS_NAME, (void **)&tmp, &tot_bytes); + if (FPGA_OK != result || !tmp) { + if (tmp) { + free(tmp); + tmp = NULL; + } + continue; + } + + memset(&metric_thresholds[i].metric_name, 0, sizeof(metric_thresholds[i].metric_name)); + len = strnlen(tmp, sizeof(metric_thresholds[i].metric_name) - 1); + memcpy(metric_thresholds[i].metric_name, tmp, len); + metric_thresholds[i].metric_name[len] = '\0'; + if (tmp) { + free(tmp); + tmp = NULL; + } + + // Upper Critical Threshold + len = strnlen(UPPER_C_THRESHOLD, + sizeof(metric_thresholds[i].upper_c_threshold.threshold_name) - 1); + memcpy(metric_thresholds[i].upper_c_threshold.threshold_name, + UPPER_C_THRESHOLD, len); + metric_thresholds[i].upper_c_threshold.threshold_name[len] = '\0'; + + snprintf(sysfspath, sizeof(sysfspath), + "%s/%s", pglob.gl_pathv[i], SYSFS_HIGH_FATAL); + + resval = sysfs_read_u64(sysfspath, &value); + if (resval == FPGA_OK) { + metric_thresholds[i].upper_c_threshold.value = ((double)value / MILLI); + metric_thresholds[i].upper_c_threshold.is_valid = true; + } + + // Upper Non-Critical Threshold + len = strnlen(UPPER_NC_THRESHOLD, + sizeof(metric_thresholds[i].upper_nc_threshold.threshold_name) - 1); + memcpy(metric_thresholds[i].upper_nc_threshold.threshold_name, + UPPER_NC_THRESHOLD, len); + metric_thresholds[i].upper_nc_threshold.threshold_name[len] = '\0'; + + snprintf(sysfspath, sizeof(sysfspath), + "%s/%s", pglob.gl_pathv[i], SYSFS_HIGH_WARN); + + resval = sysfs_read_u64(sysfspath, &value); + if (resval == FPGA_OK) { + metric_thresholds[i].upper_nc_threshold.value = ((double)value / MILLI); + metric_thresholds[i].upper_nc_threshold.is_valid = true; + } + + // Lower Critical Threshold + len = strnlen(LOWER_C_THRESHOLD, + sizeof(metric_thresholds[i].upper_nc_threshold.threshold_name) - 1); + memcpy(metric_thresholds[i].upper_nc_threshold.threshold_name, + LOWER_C_THRESHOLD, len); + metric_thresholds[i].upper_nc_threshold.threshold_name[len] = '\0'; + + snprintf(sysfspath, sizeof(sysfspath), + "%s/%s", pglob.gl_pathv[i], SYSFS_LOW_FATAL); + + resval = sysfs_read_u64(sysfspath, &value); + if (resval == FPGA_OK) { + metric_thresholds[i].lower_c_threshold.value = ((double)value / MILLI); + metric_thresholds[i].lower_c_threshold.is_valid = true; + } + + // Lower Non-Critical Threshold + len = strnlen(LOWER_NC_THRESHOLD, + sizeof(metric_thresholds[i].lower_nc_threshold.threshold_name) - 1); + memcpy(metric_thresholds[i].lower_nc_threshold.threshold_name, + LOWER_NC_THRESHOLD, len); + metric_thresholds[i].lower_nc_threshold.threshold_name[len] = '\0'; + + snprintf(sysfspath, sizeof(sysfspath), + "%s/%s", pglob.gl_pathv[i], SYSFS_LOW_WARN); + + resval = sysfs_read_u64(sysfspath, &value); + if (resval == FPGA_OK) { + metric_thresholds[i].lower_nc_threshold.value = ((double)value / MILLI); + metric_thresholds[i].lower_nc_threshold.is_valid = true; + } + + // Lower Non-Critical Threshold + len = strnlen(SYSFS_HYSTERESIS, + sizeof(metric_thresholds[i].hysteresis.threshold_name) - 1); + memcpy(metric_thresholds[i].hysteresis.threshold_name, + SYSFS_HYSTERESIS, len); + metric_thresholds[i].hysteresis.threshold_name[len] = '\0'; + + snprintf(sysfspath, sizeof(sysfspath), + "%s/%s", pglob.gl_pathv[i], SYSFS_HYSTERESIS); + + resval = sysfs_read_u64(sysfspath, &value); + if (resval == FPGA_OK) { + metric_thresholds[i].hysteresis.value = ((double)value / MILLI); + metric_thresholds[i].hysteresis.is_valid = true; + } + + } //end for loop + +out: + globfree(&pglob); + return result; +} diff --git a/opae-libs/plugins/xfpga/metrics/threshold.h b/opae-libs/plugins/xfpga/metrics/threshold.h new file mode 100644 index 0000000..9cad126 --- /dev/null +++ b/opae-libs/plugins/xfpga/metrics/threshold.h @@ -0,0 +1,63 @@ +// Copyright(c) 2018-2019, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +/* + * @file fmeinfo.h + * + * @brief + */ +#ifndef FPGA_THRESHOLD_H +#define FPGA_THRESHOLD_H + +#include +#include "bmc/bmc_types.h" + + +#define UPPER_NR_THRESHOLD "Upper Non-Recoverable Threshold" +#define UPPER_C_THRESHOLD "Upper Critical Threshold" +#define UPPER_NC_THRESHOLD "Upper Non-Critical Threshold" + +#define LOWER_NR_THRESHOLD "Lower Non-Recoverable Threshold" +#define LOWER_C_THRESHOLD "Lower Critical Threshold" +#define LOWER_NC_THRESHOLD "Lower Non-Critical Threshold" + +#define HYSTERESIS "Hysteresis" + +#define SYSFS_HIGH_FATAL "high_fatal" +#define SYSFS_HIGH_WARN "high_warn" +#define SYSFS_HYSTERESIS "hysteresis" +#define SYSFS_LOW_FATAL "low_fatal" +#define SYSFS_LOW_WARN "low_warn" + + +fpga_result get_bmc_threshold_info(fpga_handle handle, + metric_threshold *metric_thresholds, + uint32_t *num_thresholds); + +fpga_result get_max10_threshold_info(fpga_handle handle, + metric_threshold *metric_thresholds, + uint32_t *num_thresholds); + +#endif /* !FPGA_THRESHOLD_H */ diff --git a/opae-libs/plugins/xfpga/metrics/vector.c b/opae-libs/plugins/xfpga/metrics/vector.c new file mode 100644 index 0000000..a700f99 --- /dev/null +++ b/opae-libs/plugins/xfpga/metrics/vector.c @@ -0,0 +1,180 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +/** +* \file vector.c +* \brief fpga metrics vector +*/ + +#include "vector.h" +#include "opae/access.h" +#include "opae/utils.h" +#include "opae/manage.h" +#include "opae/enum.h" +#include "opae/properties.h" +#include "common_int.h" + +#define FPGA_VECTOR_CAPACITY 20 + +fpga_result fpga_vector_init(fpga_metric_vector *vector) +{ + fpga_result result = FPGA_OK; + + if (vector == NULL) + return FPGA_INVALID_PARAM; + + vector->capacity = FPGA_VECTOR_CAPACITY; + vector->total = 0; + vector->fpga_metric_item = malloc(sizeof(void *) * vector->capacity); + + if (vector->fpga_metric_item == NULL) + return FPGA_NO_MEMORY; + + return result; +} + +fpga_result fpga_vector_free(fpga_metric_vector *vector) +{ + fpga_result result = FPGA_OK; + uint64_t i = 0; + if (vector == NULL) { + OPAE_ERR("Invalid parm"); + return FPGA_INVALID_PARAM; + } + for (i = 0; i < vector->total; i++) { + if (vector->fpga_metric_item[i]) { + free(vector->fpga_metric_item[i]); + vector->fpga_metric_item[i] = NULL; + } + } + if (vector->fpga_metric_item) + free(vector->fpga_metric_item); + + vector->fpga_metric_item = NULL; + + return result; +} + +fpga_result fpga_vector_total(fpga_metric_vector *vector, uint64_t *total) +{ + + if (vector == NULL || + total == NULL) { + OPAE_ERR("Invalid parm"); + return FPGA_EXCEPTION; + } + *total = vector->total; + + return FPGA_OK; +} + +fpga_result fpga_vector_resize(fpga_metric_vector *vector, uint64_t capacity) +{ + fpga_result result = FPGA_OK; + + if (vector == NULL) { + OPAE_ERR("Invalid parm"); + return FPGA_INVALID_PARAM; + } + + void **fpga_metric_item = realloc(vector->fpga_metric_item, sizeof(void *) * capacity); + + if (fpga_metric_item == NULL) { + OPAE_ERR("Invalid parm"); + return FPGA_NO_MEMORY; + } + + if (fpga_metric_item) { + vector->fpga_metric_item = fpga_metric_item; + vector->capacity = capacity; + } + return result; +} + +fpga_result fpga_vector_push(fpga_metric_vector *vector, void *fpga_metric_item) +{ + fpga_result result = FPGA_OK; + + if ((vector == NULL) || + (fpga_metric_item == NULL)) { + OPAE_ERR("Invalid parm"); + return FPGA_INVALID_PARAM; + } + + if (vector->capacity == vector->total) { + + result = fpga_vector_resize(vector, vector->capacity * 2); + if (result != FPGA_OK) + return result; + } + vector->fpga_metric_item[vector->total++] = fpga_metric_item; + + + return result; +} + + +fpga_result fpga_vector_delete(fpga_metric_vector *vector, uint64_t index) +{ + fpga_result result = FPGA_OK; + uint64_t i = 0; + + if (vector == NULL) { + OPAE_ERR("Invalid parm"); + return FPGA_INVALID_PARAM; + } + if (index >= vector->total) + return FPGA_INVALID_PARAM; + + if (vector->fpga_metric_item[index]) + free(vector->fpga_metric_item[index]); + + vector->fpga_metric_item[index] = NULL; + + for (i = index; i < vector->total - 1; i++) { + vector->fpga_metric_item[i] = vector->fpga_metric_item[i + 1]; + vector->fpga_metric_item[i + 1] = NULL; + } + + vector->total--; + + if (vector->total > 0 && vector->total == vector->capacity / 4) + fpga_vector_resize(vector, vector->capacity / 2); + + return result; +} + +void *fpga_vector_get(fpga_metric_vector *vector, uint64_t index) +{ + if (vector == NULL) { + OPAE_ERR("Invalid parm"); + return NULL; + } + + if (index < vector->total) + return vector->fpga_metric_item[index]; + return NULL; +} diff --git a/opae-libs/plugins/xfpga/metrics/vector.h b/opae-libs/plugins/xfpga/metrics/vector.h new file mode 100644 index 0000000..ab32745 --- /dev/null +++ b/opae-libs/plugins/xfpga/metrics/vector.h @@ -0,0 +1,66 @@ +// Copyright(c) 2018-2019, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +/** +* \file vector.h +* \brief fpga metrics vector +*/ + +#ifndef __FPGA_METRICS_VECTOR_H__ +#define __FPGA_METRICS_VECTOR_H__ + +#include +#include +#include +#include +#include +#include +#include +#include + + +typedef struct fpga_metric_vector { + void **fpga_metric_item; + uint64_t capacity; + uint64_t total; +} fpga_metric_vector; + + +fpga_result fpga_vector_init(fpga_metric_vector *vector); + +fpga_result fpga_vector_free(fpga_metric_vector *vector); + +fpga_result fpga_vector_total(fpga_metric_vector *vector, uint64_t *total); + +fpga_result fpga_vector_resize(fpga_metric_vector *vector, uint64_t capacity); + +fpga_result fpga_vector_push(fpga_metric_vector *vector, void *fpga_metric_item); + +void *fpga_vector_get(fpga_metric_vector *vector, uint64_t value); + +fpga_result fpga_vector_delete(fpga_metric_vector *v, uint64_t index); + +#endif // __FPGA_METRICS_VECTOR_H__ diff --git a/opae-libs/plugins/xfpga/mmap.c b/opae-libs/plugins/xfpga/mmap.c new file mode 100644 index 0000000..5abdcc1 --- /dev/null +++ b/opae-libs/plugins/xfpga/mmap.c @@ -0,0 +1,81 @@ +// Copyright(c) 2017, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include +#include "mmap_int.h" +#include "common_int.h" + +void *alloc_buffer(uint64_t len) +{ + void *addr = NULL; + + /* For buffer > 2M, use 1G-hugepage to ensure pages are continuous */ + if (len > 2 * MB) + addr = mmap(ADDR, len, PROTECTION, FLAGS_1G, 0, 0); + else if (len > 4 * KB) + addr = mmap(ADDR, len, PROTECTION, FLAGS_2M, 0, 0); + else + addr = mmap(ADDR, len, PROTECTION, FLAGS_4K, 0, 0); + if (addr == MAP_FAILED) { + OPAE_ERR("mmap failed"); + addr = NULL; + } + + return addr; +} + +int free_buffer(void *addr, uint64_t len) +{ + /* If the buffer allocation was backed by hugepages, then + * len must be rounded up to the nearest hugepage size, + * otherwise munmap will fail. + * + * Buffer with size larger than 2MB is backed by 1GB page(s), + * round up the size to the nearest GB boundary. + * + * Buffer with size smaller than 2MB but larger than 4KB is + * backed by a 2MB pages, round up the size to 2MB. + * + * Buffer with size smaller than 4KB is backed by a 4KB page, + * and its size is already 4KB aligned. + */ + + if (len > 2 * MB) + len = (len + (1 * GB - 1)) & (~(1 * GB - 1)); + else if (len > 4 * KB) + len = 2 * MB; + if (munmap(addr, len)) { + OPAE_ERR("munmap failed"); + return FPGA_INVALID_PARAM; + } + + return FPGA_OK; +} + diff --git a/opae-libs/plugins/xfpga/mmap_int.h b/opae-libs/plugins/xfpga/mmap_int.h new file mode 100644 index 0000000..eb681f0 --- /dev/null +++ b/opae-libs/plugins/xfpga/mmap_int.h @@ -0,0 +1,49 @@ +// Copyright(c) 2017-2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifndef __FPGA_MMAP_INT_H__ +#define __FPGA_MMAP_INT_H__ + +#include "opae/types.h" + +/* + * Allocate a buffer of length 'len' using huge pages + */ +void *alloc_buffer(uint64_t len); + +/* + * Free a previously allocated buffer of len 'len' + */ +int free_buffer(void *addr, + uint64_t len); + +/* + * Free the UMsg buffer allocated for resource 'handle' + * Implemented in umsg.c + */ +fpga_result free_umsg_buffer(fpga_handle handle); + +#endif // ___FPGA_MMAP_INT_H__ diff --git a/opae-libs/plugins/xfpga/mmio.c b/opae-libs/plugins/xfpga/mmio.c new file mode 100644 index 0000000..98865e9 --- /dev/null +++ b/opae-libs/plugins/xfpga/mmio.c @@ -0,0 +1,441 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include "opae/access.h" +#include "opae/utils.h" +#include "common_int.h" +#include "opae_drv.h" +#include "intel-fpga.h" + +#include +#include +#include +#include +#include +#include + +/* Port UAFU */ +#define AFU_PERMISSION (FPGA_REGION_READ | FPGA_REGION_WRITE | FPGA_REGION_MMAP) +#define AFU_SIZE 0x40000 +#define AFU_OFFSET 0 + +STATIC fpga_result port_mmap_region(fpga_handle handle, + void **vaddr, + uint64_t size, + uint32_t flags, + uint64_t offset, + uint32_t mmio_num) +{ + void *addr; + int err; + struct _fpga_handle *_handle = (struct _fpga_handle *) handle; + fpga_result result = FPGA_OK; + + UNUSED_PARAM(mmio_num); + + /* Assure returning pointer contains allocated memory */ + ASSERT_NOT_NULL(vaddr); + + result = handle_check_and_lock(_handle); + if (result) + return result; + + /* Map MMIO memory */ + addr = (void *) mmap(NULL, size, flags, MAP_SHARED, _handle->fddev, offset); + if (addr == MAP_FAILED) { + OPAE_MSG("Unable to map MMIO region. Error value is : %s", + strerror(errno)); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + /* Save return address */ + *vaddr = addr; + +out_unlock: + err = pthread_mutex_unlock(&_handle->lock); + if (err) { + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + } + return result; +} + +STATIC fpga_result map_mmio_region(fpga_handle handle, uint32_t mmio_num) +{ + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + void *addr = NULL; + uint64_t wsid = 0; + fpga_result result = FPGA_OK; + opae_port_region_info rinfo = { 0 }; + + result = opae_get_port_region_info(_handle->fddev, mmio_num, &rinfo); + // TODO: process result seperately of rinfo.flags + if (result || (rinfo.flags != AFU_PERMISSION)) { + OPAE_MSG("Invalid MMIO permission flags"); + result = FPGA_NO_ACCESS; + return result; + } + + /* Map UAFU MMIO */ + result = port_mmap_region(handle, + (void **) &addr, + rinfo.size, + PROT_READ | PROT_WRITE, + rinfo.offset, + mmio_num); + if (result != FPGA_OK) + return result; + + /* Add to MMIO list */ + wsid = wsid_gen(); + if (!wsid_add(_handle->mmio_root, + wsid, + (uint64_t) addr, + (uint64_t) NULL, + rinfo.size, + (uint64_t) addr, + mmio_num, + 0)) { + if (munmap(addr, rinfo.size)) { + OPAE_MSG("munmap failed. Error value is : %s", + strerror(errno)); + return FPGA_INVALID_PARAM; + } else { + OPAE_MSG("Failed to add MMIO id: %d", mmio_num); + return FPGA_NO_MEMORY; + } + } + + return FPGA_OK; +} + +/* Lazy mapping of MMIO region (only map if not already mapped) */ +STATIC fpga_result find_or_map_wm(fpga_handle handle, uint32_t mmio_num, + struct wsid_map **wm_out) +{ + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + struct wsid_map *wm = NULL; + fpga_result result = FPGA_OK; + + wm = wsid_find_by_index(_handle->mmio_root, mmio_num); + if (!wm) { + result = map_mmio_region(handle, mmio_num); + if (result != FPGA_OK) { + OPAE_ERR("failed to map mmio region %d", mmio_num); + return result; + } + wm = wsid_find_by_index(_handle->mmio_root, mmio_num); + if (!wm) { + OPAE_ERR("unable to map wsid for mmio region %d", mmio_num); + return FPGA_NO_MEMORY; + } + } + + *wm_out = wm; + return FPGA_OK; +} + +fpga_result __XFPGA_API__ xfpga_fpgaWriteMMIO32(fpga_handle handle, + uint32_t mmio_num, + uint64_t offset, + uint32_t value) +{ + + int err; + struct _fpga_handle *_handle = (struct _fpga_handle *) handle; + struct wsid_map *wm = NULL; + fpga_result result = FPGA_OK; + + if (offset % sizeof(uint32_t) != 0) { + OPAE_MSG("Misaligned MMIO access"); + return FPGA_INVALID_PARAM; + } + + result = handle_check_and_lock(_handle); + if (result) + return result; + + result = find_or_map_wm(handle, mmio_num, &wm); + if (result) + goto out_unlock; + + if (offset > wm->len) { + OPAE_MSG("offset out of bounds"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + *((volatile uint32_t *) ((uint8_t *)wm->offset + offset)) = value; + +out_unlock: + err = pthread_mutex_unlock(&_handle->lock); + if (err) { + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + } + return result; +} + +fpga_result __XFPGA_API__ xfpga_fpgaReadMMIO32(fpga_handle handle, + uint32_t mmio_num, + uint64_t offset, + uint32_t *value) +{ + int err; + struct _fpga_handle *_handle = (struct _fpga_handle *) handle; + struct wsid_map *wm = NULL; + fpga_result result = FPGA_OK; + + if (offset % sizeof(uint32_t) != 0) { + OPAE_MSG("Misaligned MMIO access"); + return FPGA_INVALID_PARAM; + } + + result = handle_check_and_lock(_handle); + if (result) + return result; + + result = find_or_map_wm(handle, mmio_num, &wm); + if (result) + goto out_unlock; + + if (offset > wm->len) { + OPAE_MSG("offset out of bounds"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + *value = *((volatile uint32_t *) ((uint8_t *)wm->offset + offset)); + +out_unlock: + err = pthread_mutex_unlock(&_handle->lock); + if (err) { + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + } + return result; +} + +fpga_result __XFPGA_API__ xfpga_fpgaWriteMMIO64(fpga_handle handle, + uint32_t mmio_num, + uint64_t offset, + uint64_t value) +{ + int err; + struct _fpga_handle *_handle = (struct _fpga_handle *) handle; + struct wsid_map *wm = NULL; + fpga_result result = FPGA_OK; + + if (offset % sizeof(uint64_t) != 0) { + OPAE_MSG("Misaligned MMIO access"); + return FPGA_INVALID_PARAM; + } + + result = handle_check_and_lock(_handle); + if (result) + return result; + + result = find_or_map_wm(handle, mmio_num, &wm); + if (result) + goto out_unlock; + + if (offset > wm->len) { + OPAE_MSG("offset out of bounds"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + *((volatile uint64_t *) ((uint8_t *)wm->offset + offset)) = value; + +out_unlock: + err = pthread_mutex_unlock(&_handle->lock); + if (err) { + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + } + return result; +} + +fpga_result __XFPGA_API__ xfpga_fpgaReadMMIO64(fpga_handle handle, + uint32_t mmio_num, + uint64_t offset, + uint64_t *value) +{ + int err; + struct _fpga_handle *_handle = (struct _fpga_handle *) handle; + struct wsid_map *wm = NULL; + fpga_result result = FPGA_OK; + + if (offset % sizeof(uint64_t) != 0) { + OPAE_MSG("Misaligned MMIO access"); + return FPGA_INVALID_PARAM; + } + + result = handle_check_and_lock(_handle); + if (result) + return result; + + result = find_or_map_wm(handle, mmio_num, &wm); + if (result) + goto out_unlock; + + if (offset > wm->len) { + OPAE_MSG("offset out of bounds"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + *value = *((volatile uint64_t *) ((uint8_t *)wm->offset + offset)); + +out_unlock: + err = pthread_mutex_unlock(&_handle->lock); + if (err) { + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + } + return result; +} + +static inline void copy512(const void *src, void *dst) +{ + asm volatile("vmovdqu64 (%0), %%zmm0;" + "vmovdqu64 %%zmm0, (%1);" + : + : "r"(src), "r"(dst)); +} + +fpga_result __XFPGA_API__ xfpga_fpgaWriteMMIO512(fpga_handle handle, + uint32_t mmio_num, + uint64_t offset, + const void *value) +{ + int err; + struct _fpga_handle *_handle = (struct _fpga_handle *) handle; + struct wsid_map *wm = NULL; + fpga_result result = FPGA_OK; + + if (offset % 64 != 0) { + OPAE_MSG("Misaligned MMIO access"); + return FPGA_INVALID_PARAM; + } + + result = handle_check_and_lock(_handle); + if (result) + return result; + + if (!(_handle->flags & OPAE_FLAG_HAS_MMX512)) { + result = FPGA_NOT_SUPPORTED; + goto out_unlock; + } + + result = find_or_map_wm(handle, mmio_num, &wm); + if (result) + goto out_unlock; + + if (offset > wm->len) { + OPAE_MSG("offset out of bounds"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + copy512(value, (uint8_t *)wm->offset + offset); + +out_unlock: + err = pthread_mutex_unlock(&_handle->lock); + if (err) { + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + } + return result; +} + +fpga_result __XFPGA_API__ xfpga_fpgaMapMMIO(fpga_handle handle, + uint32_t mmio_num, + uint64_t **mmio_ptr) +{ + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + struct wsid_map *wm = NULL; + fpga_result result = FPGA_OK; + int err; + + result = handle_check_and_lock(_handle); + if (result) + return result; + + result = find_or_map_wm(handle, mmio_num, &wm); + if (result) + goto out_unlock; + + /* Store return value only if return pointer has allocated memory */ + if (mmio_ptr) + *mmio_ptr = (uint64_t *)wm->addr; + +out_unlock: + err = pthread_mutex_unlock(&_handle->lock); + if (err) { + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + } + return result; +} + +fpga_result __XFPGA_API__ xfpga_fpgaUnmapMMIO(fpga_handle handle, + uint32_t mmio_num) +{ + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + void *mmio_ptr; + fpga_result result = FPGA_OK; + int err; + + result = handle_check_and_lock(_handle); + if (result) + return result; + + /* Fetch the MMIO physical address and length */ + struct wsid_map *wm = wsid_find_by_index(_handle->mmio_root, mmio_num); + if (!wm) { + OPAE_MSG("MMIO region %d not found", mmio_num); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + /* Unmap UAFU MMIO */ + mmio_ptr = (void *) wm->offset; + if (munmap((void *) mmio_ptr, wm->len)) { + OPAE_MSG("munmap failed: %s", + strerror(errno)); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + /* Remove MMIO */ + wsid_del(_handle->mmio_root, wm->wsid); + +out_unlock: + err = pthread_mutex_unlock(&_handle->lock); + if (err) { + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + } + return result; +} diff --git a/opae-libs/plugins/xfpga/opae_drv.c b/opae-libs/plugins/xfpga/opae_drv.c new file mode 100644 index 0000000..ac674ef --- /dev/null +++ b/opae-libs/plugins/xfpga/opae_drv.c @@ -0,0 +1,578 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include +#include +#include +#include +#include +#include + +#include + +#include "common_int.h" +#include "opae_drv.h" +#include "intel-fpga.h" +#include "fpga-dfl.h" + +typedef struct _ioctl_ops { + fpga_result (*get_fme_info)(int fd, opae_fme_info *info); + fpga_result (*get_port_info)(int fd, opae_port_info *info); + fpga_result (*get_port_region_info)(int fd, uint32_t index, + opae_port_region_info *info); + + fpga_result (*port_map)(int fd, void *addr, uint64_t len, + uint32_t flags, uint64_t *io_addr); + fpga_result (*port_unmap)(int fd, uint64_t io_addr); + + fpga_result (*port_umsg_cfg)(int fd, uint32_t flags, + uint32_t hint_bitmap); + fpga_result (*port_umsg_set_base_addr)(int fd, uint32_t flags, + uint64_t io_addr); + fpga_result (*port_umsg_enable)(int fd); + fpga_result (*port_umsg_disable)(int fd); + + fpga_result (*fme_set_err_irq)(int fd, uint32_t flags, int32_t eventfd); + fpga_result (*port_set_err_irq)(int fd, uint32_t flags, + int32_t eventfd); + fpga_result (*port_set_user_irq)(int fd, uint32_t flags, uint32_t start, + uint32_t count, int32_t *eventfd); + + fpga_result (*fme_port_assign)(int fd, uint32_t flags, + uint32_t port_id); + fpga_result (*fme_port_release)(int fd, uint32_t flags, + uint32_t port_id); + fpga_result (*fme_port_pr)(int fd, uint32_t flags, uint32_t port_id, + uint32_t sz, uint64_t addr, + uint64_t *status); + fpga_result (*fme_port_reset)(int fd); +} ioctl_ops; + +fpga_result opae_ioctl(int fd, int request, ...) +{ + fpga_result res = FPGA_OK; + va_list argp; + va_start(argp, request); + void *msg = va_arg(argp, void *); + errno = 0; + if (ioctl(fd, request, msg) != 0) { + OPAE_MSG("error executing ioctl: %s", strerror(errno)); + switch (errno) { + case EINVAL: + res = FPGA_INVALID_PARAM; + break; + case ENOTSUP: + res = FPGA_NOT_SUPPORTED; + break; + default: + // other errors could be + // EBADF - fd is bad file descriptor + // EFAULT - argp references an inaccessible + // memory area + // ENOTTY - fd is not associated with a char. + // special device + res = FPGA_EXCEPTION; + break; + } + } + va_end(argp); + + return res; +} + + +fpga_result intel_fpga_version(int fd) +{ + return opae_ioctl(fd, FPGA_GET_API_VERSION, NULL); +} + +fpga_result intel_get_fme_info(int fd, opae_fme_info *info) +{ + ASSERT_NOT_NULL(info); + struct fpga_fme_info fme_info = {.argsz = sizeof(fme_info), .flags = 0}; + int res = opae_ioctl(fd, FPGA_FME_GET_INFO, &fme_info); + if (!res) { + info->flags = fme_info.flags; + info->capability = fme_info.capability; + } + return res; +} + +fpga_result intel_get_port_info(int fd, opae_port_info *info) +{ + ASSERT_NOT_NULL(info); + struct fpga_port_info pinfo = {.argsz = sizeof(pinfo), .flags = 0}; + int res = opae_ioctl(fd, FPGA_PORT_GET_INFO, &pinfo); + if (!res) { + info->flags = pinfo.flags; + info->capability = pinfo.capability; + info->num_regions = pinfo.num_regions; + info->num_umsgs = pinfo.num_umsgs; + info->num_uafu_irqs = pinfo.num_uafu_irqs; + } + return res; +} + + +fpga_result intel_get_port_region_info(int fd, uint32_t index, + opae_port_region_info *info) +{ + ASSERT_NOT_NULL(info); + struct fpga_port_region_info rinfo = { + .argsz = sizeof(rinfo), .padding = 0, .index = index}; + int res = opae_ioctl(fd, FPGA_PORT_GET_REGION_INFO, &rinfo); + if (!res) { + info->flags = rinfo.flags; + info->size = rinfo.size; + info->offset = rinfo.offset; + } + return res; +} + + +fpga_result intel_port_map(int fd, void *addr, uint64_t len, uint32_t flags, + uint64_t *io_addr) +{ + int res = 0; + int req = 0; + void *msg = NULL; + /* Set ioctl fpga_port_dma_map struct parameters */ + struct fpga_port_dma_map dma_map = {.argsz = sizeof(dma_map), + .flags = flags, + .user_addr = (__u64)addr, + .length = (__u64)len, + .iova = 0}; + ASSERT_NOT_NULL(io_addr); + /* Dispatch ioctl command */ + req = FPGA_PORT_DMA_MAP; + msg = &dma_map; + + res = opae_ioctl(fd, req, msg); + if (!res) { + *io_addr = dma_map.iova; + } + return res; +} + +fpga_result intel_port_unmap(int fd, uint64_t io_addr) +{ + /* Set ioctl fpga_port_dma_unmap struct parameters */ + struct fpga_port_dma_unmap dma_unmap = { + .argsz = sizeof(dma_unmap), .flags = 0, .iova = io_addr}; + + /* Dispatch ioctl command */ + return opae_ioctl(fd, FPGA_PORT_DMA_UNMAP, &dma_unmap); +} + +fpga_result intel_port_umsg_cfg(int fd, uint32_t flags, uint32_t hint_bitmap) +{ + if (flags) { + OPAE_MSG( + "flags currently not supported in FPGA_PORT_UMSG_SET_MODE"); + } + + struct fpga_port_umsg_cfg umsg_cfg = {.argsz = sizeof(umsg_cfg), + .flags = 0, + .hint_bitmap = hint_bitmap}; + return opae_ioctl(fd, FPGA_PORT_UMSG_SET_MODE, &umsg_cfg); +} + +fpga_result intel_port_umsg_set_base_addr(int fd, uint32_t flags, + uint64_t io_addr) +{ + if (flags) { + OPAE_MSG( + "flags currently not supported in FPGA_PORT_UMSG_SET_BASE_ADDR"); + } + + struct fpga_port_umsg_base_addr baseaddr = { + .argsz = sizeof(baseaddr), .flags = 0, .iova = io_addr}; + return opae_ioctl(fd, FPGA_PORT_UMSG_SET_BASE_ADDR, &baseaddr); +} + +fpga_result intel_port_umsg_enable(int fd) +{ + return opae_ioctl(fd, FPGA_PORT_UMSG_ENABLE, NULL); +} + +fpga_result intel_port_umsg_disable(int fd) +{ + return opae_ioctl(fd, FPGA_PORT_UMSG_DISABLE, NULL); +} + +fpga_result intel_fme_set_err_irq(int fd, uint32_t flags, int32_t evtfd) +{ + if (flags) { + OPAE_MSG( + "flags currently not supported in FPGA_FME_ERR_SET_IRQ"); + } + + struct fpga_fme_err_irq_set irq = { + .argsz = sizeof(irq), .flags = flags, .evtfd = evtfd}; + return opae_ioctl(fd, FPGA_FME_ERR_SET_IRQ, &irq); +} + +fpga_result intel_port_set_err_irq(int fd, uint32_t flags, int32_t evtfd) +{ + if (flags) { + OPAE_MSG( + "flags currently not supported in FPGA_FME_ERR_SET_IRQ"); + } + + struct fpga_port_err_irq_set irq = { + .argsz = sizeof(irq), .flags = flags, .evtfd = evtfd}; + return opae_ioctl(fd, FPGA_PORT_ERR_SET_IRQ, &irq); +} + +fpga_result intel_port_set_user_irq(int fd, uint32_t flags, uint32_t start, + uint32_t count, int32_t *eventfd) +{ + uint32_t sz = + sizeof(struct fpga_port_uafu_irq_set) + count * sizeof(int32_t); + struct fpga_port_uafu_irq_set *irq = NULL; + int res = 0; + + ASSERT_NOT_NULL(eventfd); + if (!count) { + OPAE_ERR("set_user irq with emtpy count"); + return FPGA_INVALID_PARAM; + } + + if (flags) { + OPAE_MSG( + "flags currently not supported in FPGA_FME_ERR_SET_IRQ"); + } + + irq = malloc(sz); + if (!irq) { + OPAE_ERR("Could not allocate memory for irq request"); + return FPGA_NO_MEMORY; + } + + irq->argsz = sz; + irq->flags = 0; + irq->start = start; + irq->count = count; + + memcpy(irq->evtfd, eventfd, count * sizeof(int32_t)); + + res = opae_ioctl(fd, FPGA_PORT_UAFU_SET_IRQ, irq); + + free(irq); + return res; +} + +fpga_result intel_fme_port_assign(int fd, uint32_t flags, uint32_t port_id) +{ + struct fpga_fme_port_assign assign = { + .argsz = sizeof(assign), .flags = 0, .port_id = port_id}; + if (flags) { + OPAE_MSG( + "flags currently not supported in FPGA_FME_PORT_ASSIGN"); + } + return opae_ioctl(fd, FPGA_FME_PORT_ASSIGN, &assign); +} + +fpga_result intel_fme_port_release(int fd, uint32_t flags, uint32_t port_id) +{ + struct fpga_fme_port_assign assign = { + .argsz = sizeof(assign), .flags = 0, .port_id = port_id}; + if (flags) { + OPAE_MSG( + "flags currently not supported in FPGA_FME_PORT_RELEASE"); + } + return opae_ioctl(fd, FPGA_FME_PORT_RELEASE, &assign); +} + +fpga_result intel_fme_port_pr(int fd, uint32_t flags, uint32_t port_id, + uint32_t sz, uint64_t addr, uint64_t *status) +{ + struct fpga_fme_port_pr port_pr = {.argsz = sizeof(port_pr), + .flags = 0, + .port_id = port_id, + .buffer_size = sz, + .buffer_address = addr}; + int res = FPGA_OK; + if (flags) { + OPAE_MSG("flags currently not supported in FPGA_FME_PORT_PR"); + } + ASSERT_NOT_NULL(status); + res = opae_ioctl(fd, FPGA_FME_PORT_PR, &port_pr); + *status = port_pr.status; + return res; +} + +fpga_result intel_fme_port_reset(int fd) +{ + return opae_ioctl(fd, FPGA_PORT_RESET, NULL); +} + +fpga_result dfl_fpga_version(int fd) +{ + return opae_ioctl(fd, DFL_FPGA_GET_API_VERSION, NULL); +} + +fpga_result dfl_get_port_info(int fd, opae_port_info *info) +{ + ASSERT_NOT_NULL(info); + struct dfl_fpga_port_info pinfo = {.argsz = sizeof(pinfo), .flags = 0}; + int res = opae_ioctl(fd, DFL_FPGA_PORT_GET_INFO, &pinfo); + if (!res) { + info->flags = pinfo.flags; + info->num_regions = pinfo.num_regions; + info->num_umsgs = pinfo.num_umsgs; + } + return res; +} + +fpga_result dfl_get_port_region_info(int fd, uint32_t index, + opae_port_region_info *info) +{ + ASSERT_NOT_NULL(info); + struct dfl_fpga_port_region_info rinfo = { + .argsz = sizeof(rinfo), .padding = 0, .index = index}; + int res = opae_ioctl(fd, DFL_FPGA_PORT_GET_REGION_INFO, &rinfo); + if (!res) { + info->flags = rinfo.flags; + info->size = rinfo.size; + info->offset = rinfo.offset; + } + return res; +} + +fpga_result dfl_port_map(int fd, void *addr, uint64_t len, uint32_t flags, + uint64_t *io_addr) +{ + int res = 0; + /* Set ioctl fpga_port_dma_map struct parameters */ + struct dfl_fpga_port_dma_map dma_map = {.argsz = sizeof(dma_map), + .flags = flags, + .user_addr = (__u64)addr, + .length = (__u64)len, + .iova = 0}; + ASSERT_NOT_NULL(io_addr); + /* Dispatch ioctl command */ + res = opae_ioctl(fd, DFL_FPGA_PORT_DMA_MAP, &dma_map); + if (!res) { + *io_addr = dma_map.iova; + } + return res; +} + +fpga_result dfl_port_unmap(int fd, uint64_t io_addr) +{ + /* Set ioctl fpga_port_dma_unmap struct parameters */ + struct dfl_fpga_port_dma_unmap dma_unmap = { + .argsz = sizeof(dma_unmap), .flags = 0, .iova = io_addr}; + + /* Dispatch ioctl command */ + return opae_ioctl(fd, DFL_FPGA_PORT_DMA_UNMAP, &dma_unmap); +} + + +fpga_result dfl_fme_port_assign(int fd, uint32_t flags, uint32_t port_id) +{ + UNUSED_PARAM(flags); + return opae_ioctl(fd, DFL_FPGA_FME_PORT_ASSIGN, port_id); +} + +fpga_result dfl_fme_port_release(int fd, uint32_t flags, uint32_t port_id) +{ + UNUSED_PARAM(flags); + return opae_ioctl(fd, DFL_FPGA_FME_PORT_RELEASE, port_id); +} + +fpga_result dfl_fme_port_pr(int fd, uint32_t flags, uint32_t port_id, + uint32_t sz, uint64_t addr, uint64_t *status) +{ + struct dfl_fpga_fme_port_pr port_pr = {.argsz = sizeof(port_pr), + .flags = 0, + .port_id = port_id, + .buffer_size = sz, + .buffer_address = addr}; + int res = FPGA_OK; + if (flags) { + OPAE_MSG("flags currently not supported in FPGA_FME_PORT_PR"); + } + ASSERT_NOT_NULL(status); + res = opae_ioctl(fd, DFL_FPGA_FME_PORT_PR, &port_pr); + *status = 0; + return res; +} + +fpga_result dfl_fme_port_reset(int fd) +{ + return opae_ioctl(fd, DFL_FPGA_PORT_RESET, NULL); +} + +#define MAX_KERNEL_DRIVERS 2 +static ioctl_ops ioctl_table[MAX_KERNEL_DRIVERS] = { + {.get_fme_info = NULL, + .get_port_info = dfl_get_port_info, + .get_port_region_info = dfl_get_port_region_info, + .port_map = dfl_port_map, + .port_unmap = dfl_port_unmap, + .port_umsg_cfg = NULL, + .port_umsg_set_base_addr = NULL, + .port_umsg_enable = NULL, + .port_umsg_disable = NULL, + .fme_set_err_irq = NULL, + .port_set_err_irq = NULL, + .port_set_user_irq = NULL, + .fme_port_assign = dfl_fme_port_assign, + .fme_port_release = dfl_fme_port_release, + .fme_port_pr = dfl_fme_port_pr, + .fme_port_reset = dfl_fme_port_reset}, + {.get_fme_info = intel_get_fme_info, + .get_port_info = intel_get_port_info, + .get_port_region_info = intel_get_port_region_info, + .port_map = intel_port_map, + .port_unmap = intel_port_unmap, + .port_umsg_cfg = intel_port_umsg_cfg, + .port_umsg_set_base_addr = intel_port_umsg_set_base_addr, + .port_umsg_enable = intel_port_umsg_enable, + .port_umsg_disable = intel_port_umsg_disable, + .fme_set_err_irq = intel_fme_set_err_irq, + .port_set_err_irq = intel_port_set_err_irq, + .port_set_user_irq = intel_port_set_user_irq, + .fme_port_assign = intel_fme_port_assign, + .fme_port_release = intel_fme_port_release, + .fme_port_pr = intel_fme_port_pr, + .fme_port_reset = intel_fme_port_reset} }; + +static ioctl_ops *io_ptr; + +int opae_ioctl_initialize(void) +{ + struct stat st; + if (!stat("/sys/class/fpga_region", &st)) { + io_ptr = &ioctl_table[0]; + return 0; + } + if (!stat("/sys/class/fpga", &st)) { + io_ptr = &ioctl_table[1]; + return 0; + } + return 1; +} + +#define IOCTL(_FN, ...) \ + do { \ + if (!io_ptr) { \ + OPAE_ERR("ioctl interface has not been initialized"); \ + return FPGA_EXCEPTION; \ + } \ + if (!io_ptr->_FN) { \ + OPAE_MSG("ioctl function not yet supported"); \ + return FPGA_NOT_SUPPORTED; \ + } \ + return io_ptr->_FN(__VA_ARGS__); \ + } while (0); + +fpga_result opae_get_fme_info(int fd, opae_fme_info *info) +{ + IOCTL(get_fme_info, fd, info); +} + +fpga_result opae_get_port_info(int fd, opae_port_info *info) +{ + IOCTL(get_port_info, fd, info); +} + +fpga_result opae_get_port_region_info(int fd, uint32_t index, + opae_port_region_info *info) +{ + IOCTL(get_port_region_info, fd, index, info); +} + +fpga_result opae_port_map(int fd, void *addr, uint64_t len, uint32_t flags, + uint64_t *io_addr) +{ + IOCTL(port_map, fd, addr, len, flags, io_addr); +} + +fpga_result opae_port_unmap(int fd, uint64_t io_addr) +{ + IOCTL(port_unmap, fd, io_addr); +} + +fpga_result opae_port_umsg_cfg(int fd, uint32_t flags, uint32_t hint_bitmap) +{ + IOCTL(port_umsg_cfg, fd, flags, hint_bitmap); +} + +fpga_result opae_port_umsg_set_base_addr(int fd, uint32_t flags, + uint64_t io_addr) +{ + IOCTL(port_umsg_set_base_addr, fd, flags, io_addr); +} + +fpga_result opae_port_umsg_enable(int fd) +{ + IOCTL(port_umsg_enable, fd); +} + +fpga_result opae_port_umsg_disable(int fd) +{ + IOCTL(port_umsg_disable, fd); +} + +fpga_result opae_fme_set_err_irq(int fd, uint32_t flags, int32_t eventfd) +{ + IOCTL(fme_set_err_irq, fd, flags, eventfd); +} + +fpga_result opae_port_set_err_irq(int fd, uint32_t flags, int32_t eventfd) +{ + IOCTL(port_set_err_irq, fd, flags, eventfd); +} + +fpga_result opae_port_set_user_irq(int fd, uint32_t flags, uint32_t start, + uint32_t count, int32_t *eventfd) +{ + IOCTL(port_set_user_irq, fd, flags, start, count, eventfd); +} + +fpga_result opae_fme_port_assign(int fd, uint32_t flags, uint32_t port_id) +{ + IOCTL(fme_port_assign, fd, flags, port_id); +} + +fpga_result opae_fme_port_release(int fd, uint32_t flags, uint32_t port_id) +{ + IOCTL(fme_port_release, fd, flags, port_id); +} + +fpga_result opae_fme_port_pr(int fd, uint32_t flags, uint32_t port_id, + uint32_t sz, uint64_t addr, uint64_t *status) +{ + IOCTL(fme_port_pr, fd, flags, port_id, sz, addr, status); +} + +fpga_result opae_fme_port_reset(int fd) +{ + IOCTL(fme_port_reset, fd); +} diff --git a/opae-libs/plugins/xfpga/opae_drv.h b/opae-libs/plugins/xfpga/opae_drv.h new file mode 100644 index 0000000..e1d32b5 --- /dev/null +++ b/opae-libs/plugins/xfpga/opae_drv.h @@ -0,0 +1,82 @@ +// Copyright(c) 2017-2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +/* + * opae_ioctl.h + * + */ + +#ifndef OPAE_IOCTL_H +#define OPAE_IOCTL_H +#include + +typedef struct _opae_fme_info { + uint32_t flags; + uint32_t capability; +} opae_fme_info; + +typedef struct _opae_port_info { + uint32_t flags; + uint32_t capability; + uint32_t num_regions; + uint32_t num_umsgs; + uint32_t num_uafu_irqs; +} opae_port_info; + +typedef struct _opae_port_region_info { + uint32_t flags; + uint64_t size; + uint64_t offset; +} opae_port_region_info; + +int opae_ioctl_initialize(void); + +fpga_result opae_get_fme_info(int fd, opae_fme_info *info); +fpga_result opae_get_port_info(int fd, opae_port_info *info); +fpga_result opae_get_port_region_info(int fd, uint32_t index, + opae_port_region_info *info); + +fpga_result opae_port_map(int fd, void *addr, uint64_t len, uint32_t flags, + uint64_t *io_addr); +fpga_result opae_port_unmap(int fd, uint64_t io_addr); + +fpga_result opae_port_umsg_cfg(int fd, uint32_t flags, uint32_t hint_bitmap); +fpga_result opae_port_umsg_set_base_addr(int fd, uint32_t flags, + uint64_t io_addr); +fpga_result opae_port_umsg_enable(int fd); +fpga_result opae_port_umsg_disable(int fd); + +fpga_result opae_fme_set_err_irq(int fd, uint32_t flags, int32_t eventfd); +fpga_result opae_port_set_err_irq(int fd, uint32_t flags, int32_t eventfd); +fpga_result opae_port_set_user_irq(int fd, uint32_t flags, uint32_t start, + uint32_t count, int32_t *eventfd); + +fpga_result opae_fme_port_assign(int fd, uint32_t flags, uint32_t port_id); +fpga_result opae_fme_port_release(int fd, uint32_t flags, uint32_t port_id); +fpga_result opae_fme_port_pr(int fd, uint32_t flags, uint32_t port_id, + uint32_t sz, uint64_t addr, uint64_t *status); +fpga_result opae_fme_port_reset(int fd); + +#endif /* !OPAE_IOCTL_H */ diff --git a/opae-libs/plugins/xfpga/open.c b/opae-libs/plugins/xfpga/open.c new file mode 100644 index 0000000..9bc1a5c --- /dev/null +++ b/opae-libs/plugins/xfpga/open.c @@ -0,0 +1,162 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include "common_int.h" +#include +#include +#include "types_int.h" + +#include +#include +#include +#include + +fpga_result __XFPGA_API__ +xfpga_fpgaOpen(fpga_token token, fpga_handle *handle, int flags) +{ + fpga_result result = FPGA_NOT_FOUND; + struct _fpga_handle *_handle; + struct _fpga_token *_token; + int fddev = -1; + pthread_mutexattr_t mattr; + int open_flags = 0; + + if (NULL == token) { + OPAE_MSG("token is NULL"); + return FPGA_INVALID_PARAM; + } + + if (NULL == handle) { + OPAE_MSG("handle is NULL"); + return FPGA_INVALID_PARAM; + } + + if (flags & ~FPGA_OPEN_SHARED) { + OPAE_MSG("unrecognized flags"); + return FPGA_INVALID_PARAM; + } + + _token = (struct _fpga_token *)token; + + if (_token->magic != FPGA_TOKEN_MAGIC) { + OPAE_MSG("Invalid token"); + return FPGA_INVALID_PARAM; + } + + _handle = malloc(sizeof(struct _fpga_handle)); + if (NULL == _handle) { + OPAE_MSG("Failed to allocate memory for handle"); + return FPGA_NO_MEMORY; + } + + memset(_handle, 0, sizeof(*_handle)); + + // mark data structure as valid + _handle->magic = FPGA_HANDLE_MAGIC; + + _handle->token = token; + + _handle->fdfpgad = -1; + + // Init MMIO table + _handle->mmio_root = wsid_tracker_init(4); + + // Init workspace table + _handle->wsid_root = wsid_tracker_init(16384); + + // Init metric enum + _handle->metric_enum_status = false; + _handle->bmc_handle = NULL; + _handle->_bmc_metric_cache_value = NULL; + + // Open resources in exclusive mode unless FPGA_OPEN_SHARED is given + open_flags = O_RDWR | ((flags & FPGA_OPEN_SHARED) ? 0 : O_EXCL); + fddev = open(_token->devpath, open_flags); + if (-1 == fddev) { + OPAE_MSG("open(%s) failed: %s", _token->devpath, strerror(errno)); + switch (errno) { + case EACCES: + result = FPGA_NO_ACCESS; + break; + case EBUSY: + result = FPGA_BUSY; + break; + default: + result = FPGA_NO_DRIVER; + break; + } + goto out_free; + } + + // Save the file descriptor for close. + _handle->fddev = fddev; + + if (pthread_mutexattr_init(&mattr)) { + OPAE_MSG("Failed to init handle mutex attributes"); + result = FPGA_EXCEPTION; + goto out_free; + } + + if (pthread_mutexattr_settype(&mattr, PTHREAD_MUTEX_RECURSIVE) || + pthread_mutex_init(&_handle->lock, &mattr)) { + OPAE_MSG("Failed to init handle mutex"); + result = FPGA_EXCEPTION; + goto out_attr_destroy; + } + + pthread_mutexattr_destroy(&mattr); + + _handle->flags = 0; +#if GCC_VERSION >= 40900 + __builtin_cpu_init(); + if (__builtin_cpu_supports("avx512f")) { + _handle->flags |= OPAE_FLAG_HAS_MMX512; + } +#endif + + // set handle return value + *handle = (void *)_handle; + + return FPGA_OK; + +out_attr_destroy: + pthread_mutexattr_destroy(&mattr); + +out_free: + wsid_tracker_cleanup(_handle->wsid_root, NULL); + wsid_tracker_cleanup(_handle->mmio_root, NULL); + free(_handle); + + if (-1 != fddev) { + close(fddev); + } + + return result; +} diff --git a/opae-libs/plugins/xfpga/plugin.c b/opae-libs/plugins/xfpga/plugin.c new file mode 100644 index 0000000..be1c9e1 --- /dev/null +++ b/opae-libs/plugins/xfpga/plugin.c @@ -0,0 +1,208 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include + +#include "xfpga.h" +#include "adapter.h" +#include "common_int.h" +#include "sysfs_int.h" +#include "opae_drv.h" + +int __XFPGA_API__ xfpga_plugin_initialize(void) +{ + int res = sysfs_initialize(); + if (res) { + return res; + } + + res = opae_ioctl_initialize(); + if (res) { + return res; + } + return 0; +} + +int __XFPGA_API__ xfpga_plugin_finalize(void) +{ + sysfs_finalize(); + return 0; +} + +bool __XFPGA_API__ xfpga_plugin_supports_device(const char *device_type) +{ + UNUSED_PARAM(device_type); + return true; +} + +bool __XFPGA_API__ xfpga_plugin_supports_host(const char *hostname) +{ + UNUSED_PARAM(hostname); + return true; +} + +int __XFPGA_API__ opae_plugin_configure(opae_api_adapter_table *adapter, + const char *jsonConfig) +{ + UNUSED_PARAM(jsonConfig); + + adapter->fpgaOpen = dlsym(adapter->plugin.dl_handle, "xfpga_fpgaOpen"); + adapter->fpgaClose = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaClose"); + adapter->fpgaReset = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaReset"); + adapter->fpgaGetPropertiesFromHandle = dlsym( + adapter->plugin.dl_handle, "xfpga_fpgaGetPropertiesFromHandle"); + adapter->fpgaGetProperties = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaGetProperties"); + adapter->fpgaUpdateProperties = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaUpdateProperties"); + adapter->fpgaWriteMMIO64 = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaWriteMMIO64"); + adapter->fpgaReadMMIO64 = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaReadMMIO64"); + adapter->fpgaWriteMMIO32 = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaWriteMMIO32"); + adapter->fpgaReadMMIO32 = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaReadMMIO32"); + adapter->fpgaWriteMMIO512 = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaWriteMMIO512"); + adapter->fpgaMapMMIO = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaMapMMIO"); + adapter->fpgaUnmapMMIO = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaUnmapMMIO"); + adapter->fpgaEnumerate = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaEnumerate"); + adapter->fpgaCloneToken = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaCloneToken"); + adapter->fpgaDestroyToken = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaDestroyToken"); + adapter->fpgaGetNumUmsg = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaGetNumUmsg"); + adapter->fpgaSetUmsgAttributes = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaSetUmsgAttributes"); + adapter->fpgaTriggerUmsg = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaTriggerUmsg"); + adapter->fpgaGetUmsgPtr = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaGetUmsgPtr"); + adapter->fpgaPrepareBuffer = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaPrepareBuffer"); + adapter->fpgaReleaseBuffer = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaReleaseBuffer"); + adapter->fpgaGetIOAddress = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaGetIOAddress"); + /* + ** adapter->fpgaGetOPAECVersion = dlsym(adapter->plugin.dl_handle, + *"xfpga_fpgaGetOPAECVersion"); + ** adapter->fpgaGetOPAECVersionString = + *dlsym(adapter->plugin.dl_handle, "xfpga_fpgaGetOPAECVersionString"); * + *adapter->fpgaGetOPAECBuildString = dlsym(adapter->plugin.dl_handle, + *"xfpga_fpgaGetOPAECBuildString"); + */ + adapter->fpgaReadError = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaReadError"); + adapter->fpgaClearError = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaClearError"); + adapter->fpgaClearAllErrors = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaClearAllErrors"); + adapter->fpgaGetErrorInfo = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaGetErrorInfo"); + adapter->fpgaCreateEventHandle = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaCreateEventHandle"); + adapter->fpgaDestroyEventHandle = dlsym(adapter->plugin.dl_handle, + "xfpga_fpgaDestroyEventHandle"); + adapter->fpgaGetOSObjectFromEventHandle = + dlsym(adapter->plugin.dl_handle, + "xfpga_fpgaGetOSObjectFromEventHandle"); + adapter->fpgaRegisterEvent = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaRegisterEvent"); + adapter->fpgaUnregisterEvent = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaUnregisterEvent"); + adapter->fpgaAssignPortToInterface = dlsym( + adapter->plugin.dl_handle, "xfpga_fpgaAssignPortToInterface"); + adapter->fpgaAssignToInterface = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaAssignToInterface"); + adapter->fpgaReleaseFromInterface = dlsym( + adapter->plugin.dl_handle, "xfpga_fpgaReleaseFromInterface"); + adapter->fpgaReconfigureSlot = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaReconfigureSlot"); + adapter->fpgaTokenGetObject = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaTokenGetObject"); + adapter->fpgaHandleGetObject = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaHandleGetObject"); + adapter->fpgaObjectGetObject = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaObjectGetObject"); + adapter->fpgaObjectGetObjectAt = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaObjectGetObjectAt"); + adapter->fpgaDestroyObject = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaDestroyObject"); + adapter->fpgaObjectRead = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaObjectRead"); + adapter->fpgaObjectRead64 = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaObjectRead64"); + adapter->fpgaObjectGetSize = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaObjectGetSize"); + adapter->fpgaObjectGetType = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaObjectGetType"); + adapter->fpgaObjectWrite64 = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaObjectWrite64"); + adapter->fpgaSetUserClock = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaSetUserClock"); + adapter->fpgaGetUserClock = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaGetUserClock"); + + adapter->initialize = + dlsym(adapter->plugin.dl_handle, "xfpga_plugin_initialize"); + adapter->finalize = + dlsym(adapter->plugin.dl_handle, "xfpga_plugin_finalize"); + + adapter->supports_device = dlsym(adapter->plugin.dl_handle, + "xfpga_plugin_supports_device"); + adapter->supports_host = + dlsym(adapter->plugin.dl_handle, "xfpga_plugin_supports_host"); + + adapter->fpgaGetNumMetrics = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaGetNumMetrics"); + + adapter->fpgaGetMetricsInfo = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaGetMetricsInfo"); + + adapter->fpgaGetMetricsByIndex = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaGetMetricsByIndex"); + + adapter->fpgaGetMetricsByName = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaGetMetricsByName"); + + adapter->fpgaGetMetricsThresholdInfo = + dlsym(adapter->plugin.dl_handle, "xfpga_fpgaGetMetricsThresholdInfo"); + + return 0; +} + diff --git a/opae-libs/plugins/xfpga/properties.c b/opae-libs/plugins/xfpga/properties.c new file mode 100644 index 0000000..0fa66a4 --- /dev/null +++ b/opae-libs/plugins/xfpga/properties.c @@ -0,0 +1,290 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include + +#include + +#include "xfpga.h" +#include "common_int.h" +#include "props.h" +#include "error_int.h" + + +fpga_result __XFPGA_API__ +xfpga_fpgaGetPropertiesFromHandle(fpga_handle handle, fpga_properties *prop) +{ + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + fpga_result result = FPGA_OK; + int err = 0; + + result = handle_check_and_lock(_handle); + if (result) + return result; + + result = xfpga_fpgaGetProperties(_handle->token, prop); + + err = pthread_mutex_unlock(&_handle->lock); + if (err) { + OPAE_ERR("pthread_mutex_unlock() failed: %S", strerror(err)); + } + + return result; +} + +fpga_result __XFPGA_API__ xfpga_fpgaGetProperties(fpga_token token, + fpga_properties *prop) +{ + struct _fpga_properties *_prop = NULL; + fpga_result result = FPGA_OK; + + ASSERT_NOT_NULL(prop); + + result = fpgaGetProperties(NULL, (fpga_properties *)&_prop); + + ASSERT_RESULT(result); + + if (token) { + result = xfpga_fpgaUpdateProperties(token, _prop); + if (result != FPGA_OK) + goto out_free; + } + + *prop = (fpga_properties)_prop; + return result; + +out_free: + free(_prop); + return result; +} + +fpga_result __XFPGA_API__ xfpga_fpgaUpdateProperties(fpga_token token, + fpga_properties prop) +{ + struct _fpga_token *_token = (struct _fpga_token *)token; + struct _fpga_properties *_prop = (struct _fpga_properties *)prop; + + struct _fpga_properties _iprop; + + char spath[SYSFS_PATH_MAX] = { 0, }; + char idpath[SYSFS_PATH_MAX] = { 0, }; + char *p; + int s, b, d, f; + int res; + int err = 0; + int resval = 0; + uint64_t value = 0; + uint32_t x = 0; + size_t len; + + pthread_mutex_t lock; + + fpga_result result = FPGA_INVALID_PARAM; + + ASSERT_NOT_NULL(token); + if (_token->magic != FPGA_TOKEN_MAGIC) { + OPAE_MSG("Invalid token"); + return FPGA_INVALID_PARAM; + } + + ASSERT_NOT_NULL(_prop); + if (_prop->magic != FPGA_PROPERTY_MAGIC) { + OPAE_MSG("Invalid properties object"); + return FPGA_INVALID_PARAM; + } + + // clear fpga_properties buffer + memset(&_iprop, 0, sizeof(struct _fpga_properties)); + _iprop.magic = FPGA_PROPERTY_MAGIC; + + // read the vendor and device ID from the 'device' path + if (snprintf(idpath, sizeof(idpath), + "%s/../device/vendor", _token->sysfspath) < 0) { + OPAE_ERR("snprintf buffer overflow"); + return FPGA_EXCEPTION; + } + + x = 0; + result = sysfs_read_u32(idpath, &x); + if (result != FPGA_OK) + return result; + _iprop.vendor_id = (uint16_t)x; + SET_FIELD_VALID(&_iprop, FPGA_PROPERTY_VENDORID); + + if (snprintf(idpath, sizeof(idpath), + "%s/../device/device", _token->sysfspath) < 0) { + OPAE_ERR("snprintf buffer overflow"); + return FPGA_EXCEPTION; + } + + x = 0; + result = sysfs_read_u32(idpath, &x); + if (result != FPGA_OK) + return result; + _iprop.device_id = (uint16_t)x; + SET_FIELD_VALID(&_iprop, FPGA_PROPERTY_DEVICEID); + + // The input token is either for an FME or an AFU. + // Go one level back to get to the dev. + + len = strnlen(_token->sysfspath, sizeof(spath) - 1); + memcpy(spath, _token->sysfspath, len); + spath[len] = '\0'; + + p = strrchr(spath, '/'); + ASSERT_NOT_NULL_MSG(p, "Invalid token sysfs path"); + + *p = 0; + + p = strstr(_token->sysfspath, FPGA_SYSFS_AFU); + if (NULL != p) { + // AFU + result = sysfs_get_guid(_token, FPGA_SYSFS_AFU_GUID, + _iprop.guid); + if (FPGA_OK != result) + return result; + SET_FIELD_VALID(&_iprop, FPGA_PROPERTY_GUID); + + _iprop.parent = (fpga_token)token_get_parent(_token); + if (NULL != _iprop.parent) + SET_FIELD_VALID(&_iprop, FPGA_PROPERTY_PARENT); + + _iprop.objtype = FPGA_ACCELERATOR; + SET_FIELD_VALID(&_iprop, FPGA_PROPERTY_OBJTYPE); + + res = open(_token->devpath, O_RDWR); + if (-1 == res) { + _iprop.u.accelerator.state = FPGA_ACCELERATOR_ASSIGNED; + } else { + close(res); + _iprop.u.accelerator.state = + FPGA_ACCELERATOR_UNASSIGNED; + } + SET_FIELD_VALID(&_iprop, FPGA_PROPERTY_ACCELERATOR_STATE); + + _iprop.u.accelerator.num_mmio = 2; + SET_FIELD_VALID(&_iprop, FPGA_PROPERTY_NUM_MMIO); + + _iprop.u.accelerator.num_interrupts = 0; + SET_FIELD_VALID(&_iprop, FPGA_PROPERTY_NUM_INTERRUPTS); + } + + p = strstr(_token->sysfspath, FPGA_SYSFS_FME); + if (NULL != p) { + // FME + _iprop.objtype = FPGA_DEVICE; + SET_FIELD_VALID(&_iprop, FPGA_PROPERTY_OBJTYPE); + // get bitstream id + result = sysfs_get_interface_id(_token, _iprop.guid); + if (FPGA_OK != result) + return result; + SET_FIELD_VALID(&_iprop, FPGA_PROPERTY_GUID); + + resval = sysfs_parse_attribute64(_token->sysfspath, + FPGA_SYSFS_NUM_SLOTS, &value); + if (resval != 0) { + return FPGA_NOT_FOUND; + } + _iprop.u.fpga.num_slots = (uint32_t)value; + SET_FIELD_VALID(&_iprop, FPGA_PROPERTY_NUM_SLOTS); + + resval = sysfs_parse_attribute64(_token->sysfspath, + FPGA_SYSFS_BITSTREAM_ID, &_iprop.u.fpga.bbs_id); + if (resval != 0) { + return FPGA_NOT_FOUND; + } + SET_FIELD_VALID(&_iprop, FPGA_PROPERTY_BBSID); + + _iprop.u.fpga.bbs_version.major = + FPGA_BBS_VER_MAJOR(_iprop.u.fpga.bbs_id); + _iprop.u.fpga.bbs_version.minor = + FPGA_BBS_VER_MINOR(_iprop.u.fpga.bbs_id); + _iprop.u.fpga.bbs_version.patch = + FPGA_BBS_VER_PATCH(_iprop.u.fpga.bbs_id); + SET_FIELD_VALID(&_iprop, FPGA_PROPERTY_BBSVERSION); + } + + result = sysfs_sbdf_from_path(spath, &s, &b, &d, &f); + if (result) + return result; + + _iprop.segment = (uint16_t)s; + SET_FIELD_VALID(&_iprop, FPGA_PROPERTY_SEGMENT); + + _iprop.bus = (uint8_t)b; + SET_FIELD_VALID(&_iprop, FPGA_PROPERTY_BUS); + + _iprop.device = (uint8_t)d; + SET_FIELD_VALID(&_iprop, FPGA_PROPERTY_DEVICE); + + _iprop.function = (uint8_t)f; + SET_FIELD_VALID(&_iprop, FPGA_PROPERTY_FUNCTION); + + // only set socket id if we have it on sysfs + if (sysfs_get_fme_path(_token->sysfspath, spath) == FPGA_OK) { + resval = sysfs_parse_attribute64(spath, + FPGA_SYSFS_SOCKET_ID, &value); + + if (0 == resval) { + _iprop.socket_id = (uint8_t)value; + SET_FIELD_VALID(&_iprop, FPGA_PROPERTY_SOCKETID); + } + } + + result = sysfs_objectid_from_path(_token->sysfspath, &_iprop.object_id); + if (0 == result) + SET_FIELD_VALID(&_iprop, FPGA_PROPERTY_OBJECTID); + + char errpath[SYSFS_PATH_MAX] = { 0, }; + + if (snprintf(errpath, sizeof(errpath), + "%s/errors", _token->sysfspath) < 0) { + OPAE_ERR("snprintf buffer overflow"); + return FPGA_EXCEPTION; + } + + _iprop.num_errors = count_error_files(errpath); + SET_FIELD_VALID(&_iprop, FPGA_PROPERTY_NUM_ERRORS); + + if (pthread_mutex_lock(&_prop->lock)) { + OPAE_MSG("Failed to lock properties mutex"); + return FPGA_EXCEPTION; + } + + lock = _prop->lock; + *_prop = _iprop; + _prop->lock = lock; + + err = pthread_mutex_unlock(&_prop->lock); + if (err) + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + + return FPGA_OK; +} diff --git a/opae-libs/plugins/xfpga/reconf.c b/opae-libs/plugins/xfpga/reconf.c new file mode 100644 index 0000000..84cdbf3 --- /dev/null +++ b/opae-libs/plugins/xfpga/reconf.c @@ -0,0 +1,484 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include +#include +#include +#include +#include +#include +#include + +#include "xfpga.h" +#include "bitstream_int.h" +#include "common_int.h" +#include "opae_drv.h" +#include "usrclk/user_clk_pgm_uclock.h" + +#include "reconf_int.h" +// sysfs attributes +#define PORT_SYSFS_ERRORS "errors/errors" +#define PORT_SYSFS_ERR_CLEAR "errors/clear" +#define PWRMGMT_THRESHOLD1 "power_mgmt/threshold1" +#define PWRMGMT_THRESHOLD2 "power_mgmt/threshold2" + +// Max power values +#define FPGA_BBS_IDLE_POWER 30 // watts +#define FPGA_MAX_POWER 90 // watts +#define FPGA_GBS_MAX_POWER 60 // watts +#define FPGA_THRESHOLD2(x) ((x*10)/100) // threshold1 + 10% + +#pragma pack(push, 1) +// GBS Header +struct bitstream_header { + uint32_t magic; + uint64_t ifid_l; + uint64_t ifid_h; +}; +#pragma pack(pop) + +// Reconnfigure Error CSR +struct reconf_error { + union { + uint64_t csr; + struct { + uint64_t reconf_operation_error:1; /* PR operation error detected */ + uint64_t reconf_CRC_error:1; /* PR CRC error detected*/ + uint64_t reconf_incompatible_bitstream_error:1; /* PR incompatible bitstream error detected */ + uint64_t reconf_IP_protocol_error:1; /* PR IP protocol error detected */ + uint64_t reconf_FIFO_overflow_error:1; /* PR FIFO overflow error detected */ + uint64_t reconf_timeout_error:1; /* PR timeout error detected */ + uint64_t reconf_secure_load_error:1; /* PR secure load error detected */ + uint64_t rsvd:57; /* Reserved */ + }; + }; +}; + + +STATIC fpga_result validate_bitstream(fpga_handle handle, + const uint8_t *bitstream, size_t bitstream_len, + int *header_len) +{ + if (bitstream == NULL) { + OPAE_MSG("Bitstream is NULL"); + return FPGA_INVALID_PARAM; + } + + if (bitstream_len <= 0 || + bitstream_len <= sizeof(struct bitstream_header)) { + OPAE_MSG("Invalid bitstream size"); + return FPGA_INVALID_PARAM; + } + + if (check_bitstream_guid(bitstream) == FPGA_OK) { + *header_len = get_bitstream_header_len(bitstream); + + if (*header_len < 0) { + OPAE_MSG("Invalid bitstream header length"); + return FPGA_EXCEPTION; + } + + if (validate_bitstream_metadata(handle, bitstream) != FPGA_OK) { + OPAE_MSG("Invalid JSON data"); + return FPGA_EXCEPTION; + } + + return FPGA_OK; + } else { + return FPGA_INVALID_PARAM; + } +} + + +// open child accelerator exclusively - it not, it's busy! +STATIC fpga_result open_accel(fpga_handle handle, fpga_handle *accel) +{ + fpga_result result = FPGA_OK; + fpga_result destroy_result = FPGA_OK; + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + fpga_token token = NULL; + fpga_properties props; + uint32_t matches = 0; + + if (_handle == NULL) { + OPAE_ERR("Invalid handle"); + return FPGA_INVALID_PARAM; + } + + if (_handle->token == NULL) { + OPAE_ERR("Invalid token within handle"); + return FPGA_INVALID_PARAM; + } + + result = xfpga_fpgaGetProperties(NULL, &props); + if (result != FPGA_OK) + return result; + + result = fpgaPropertiesSetParent(props, _handle->token); + if (result != FPGA_OK) { + OPAE_ERR("Error setting parent in properties."); + goto free_props; + } + + // TODO: Use slot number as part of filter + // We only want to query for accelerators for the + // slot being reconfigured + result = xfpga_fpgaEnumerate(&props, 1, &token, 1, &matches); + if (result != FPGA_OK) { + OPAE_ERR("Error enumerating for accelerator to reconfigure"); + goto free_props; + } + + if (matches == 0) { + OPAE_ERR("No accelerator found to reconfigure"); + result = FPGA_BUSY; + goto destroy_token; + } + + result = xfpga_fpgaOpen(token, accel, 0); + if (result != FPGA_OK) { + OPAE_ERR("Could not open accelerator for given slot"); + goto destroy_token; + } + +destroy_token: + destroy_result = xfpga_fpgaDestroyToken(&token); + if (destroy_result != FPGA_OK) + OPAE_ERR("Error destroying a token"); + +free_props: + destroy_result = fpgaDestroyProperties(&props); + if (destroy_result != FPGA_OK) + OPAE_ERR("Error destroying properties"); + + if (result != FPGA_OK || destroy_result != FPGA_OK) + return result != FPGA_OK ? result : destroy_result; + + return FPGA_OK; +} + + +// clears port errors +STATIC fpga_result clear_port_errors(fpga_handle handle) +{ + char sysfs_path[PATH_MAX] = {0}; + fpga_result result = FPGA_OK; + uint64_t error = 0 ; + + result = sysfs_get_port_error_path(handle, sysfs_path); + if (result != FPGA_OK) { + OPAE_ERR("Failed to get port errors path"); + return result; + } + + // Read port error. + result = sysfs_read_u64(sysfs_path, &error); + if (result != FPGA_OK) { + OPAE_ERR("Failed to read port errors"); + return result; + } + + result = sysfs_get_port_error_clear_path(handle, sysfs_path); + if (result != FPGA_OK) { + OPAE_ERR("Failed to get port errors clear path"); + return result; + } + + // Clear port error. + result = sysfs_write_u64(sysfs_path, error); + if (result != FPGA_OK) { + OPAE_ERR("Failed to clear port errors"); + return result; + } + + return result; +} + +// set afu user clock +fpga_result set_afu_userclock(fpga_handle handle, + uint64_t usrlclock_high, + uint64_t usrlclock_low) +{ + char sysfs_path[PATH_MAX] = {0}; + fpga_result result = FPGA_OK; + uint64_t userclk_high = 0; + uint64_t userclk_low = 0; + + // Read port sysfs path + result = get_port_sysfs(handle, sysfs_path); + if (result != FPGA_OK) { + OPAE_ERR("Failed to get port syfs path"); + return result; + } + + // set user clock + result = set_userclock(sysfs_path, usrlclock_high, usrlclock_low); + if (result != FPGA_OK) { + OPAE_ERR("Failed to set user clock"); + return result; + } + + // read user clock + result = get_userclock(sysfs_path, &userclk_high, &userclk_low); + if (result != FPGA_OK) { + OPAE_ERR("Failed to get user clock"); + return result; + } + + return result; +} + +// Sets FPGA threshold power values +fpga_result set_fpga_pwr_threshold(fpga_handle handle, + uint64_t gbs_power) +{ + char sysfs_path[SYSFS_PATH_MAX] = { 0, }; + fpga_result result = FPGA_OK; + uint64_t fpga_power = 0; + struct _fpga_token *_token = NULL; + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + + if (_handle == NULL) { + OPAE_ERR("Invalid handle"); + return FPGA_INVALID_PARAM; + } + + _token = (struct _fpga_token *)_handle->token; + if (_token == NULL) { + OPAE_ERR("Invalid token within handle"); + return FPGA_INVALID_PARAM; + } + + // Set max power if not specified by gbs + if (gbs_power == 0) { + gbs_power = FPGA_GBS_MAX_POWER; + } + + // verify gbs power limits + if (gbs_power > FPGA_GBS_MAX_POWER) { + OPAE_ERR("Invalid GBS power value"); + result = FPGA_NOT_SUPPORTED; + return result; + } + + // FPGA threshold1 = BBS Idle power + GBS power + fpga_power = gbs_power + FPGA_BBS_IDLE_POWER; + if (fpga_power > FPGA_MAX_POWER) { + OPAE_ERR("Total power requirements exceed FPGA maximum"); + result = FPGA_NOT_SUPPORTED; + return result; + } + + // set fpga threshold 1 + if (snprintf(sysfs_path, sizeof(sysfs_path), + "%s/%s", _token->sysfspath, PWRMGMT_THRESHOLD1) < 0) { + OPAE_ERR("snprintf buffer overflow"); + result = FPGA_EXCEPTION; + return result; + } + + OPAE_DBG(" FPGA Threshold1 :%ld watts\n", fpga_power); + + result = sysfs_write_u64(sysfs_path, fpga_power); + if (result != FPGA_OK) { + OPAE_ERR("Failed to write power threshold 1"); + return result; + } + + return result; +} + +fpga_result __XFPGA_API__ xfpga_fpgaReconfigureSlot(fpga_handle fpga, + uint32_t slot, + const uint8_t *bitstream, + size_t bitstream_len, + int flags) +{ + struct _fpga_handle *_handle = (struct _fpga_handle *)fpga; + fpga_result result = FPGA_OK; + struct reconf_error error = { {0} }; + struct gbs_metadata metadata; + int bitstream_header_len = 0; + int err = 0; + fpga_handle accel = NULL; + struct stat st; + + result = handle_check_and_lock(_handle); + if (result) + return result; + + if (_handle->fddev < 0) { + OPAE_ERR("Invalid handle file descriptor"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + if (validate_bitstream(fpga, bitstream, bitstream_len, + &bitstream_header_len) != FPGA_OK) { + OPAE_MSG("Invalid bitstream"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + // error out if "force" flag is NOT indicated + // and the resource is in use + if (!(flags & FPGA_RECONF_FORCE)) { + result = open_accel(fpga, &accel); + if (result != FPGA_OK) { + OPAE_ERR("Accelerator in use or not found"); + goto out_unlock; + } + } + + // Clear port errors + result = clear_port_errors(fpga); + if (result != FPGA_OK) { + OPAE_ERR("Failed to clear port errors."); + } + + if (get_bitstream_json_len(bitstream) > 0) { + enum fpga_hw_type hw_type = FPGA_HW_UNKNOWN; + + // Read GBS json metadata + memset(&metadata, 0, sizeof(metadata)); + result = read_gbs_metadata(bitstream, &metadata); + if (result != FPGA_OK) { + OPAE_ERR("Failed to read metadata"); + goto out_unlock; + } + + OPAE_DBG(" Version :%f\n", metadata.version); + OPAE_DBG(" Magic Num :%ld\n", + metadata.afu_image.magic_num); + OPAE_DBG(" Interface Id :%s\n", + metadata.afu_image.interface_uuid); + OPAE_DBG(" Clock_frequency_high :%d\n", + metadata.afu_image.clock_frequency_high); + OPAE_DBG(" Clock_frequency_low :%d\n", + metadata.afu_image.clock_frequency_low); + OPAE_DBG(" Power :%d\n", + metadata.afu_image.power); + OPAE_DBG(" Name :%s\n", + metadata.afu_image.afu_clusters.name); + OPAE_DBG(" Total_contexts :%d\n", + metadata.afu_image.afu_clusters.total_contexts); + OPAE_DBG(" AFU_uuid :%s\n", + metadata.afu_image.afu_clusters.afu_uuid); + + // Set AFU user clock + if (metadata.afu_image.clock_frequency_high > 0 || metadata.afu_image.clock_frequency_low > 0) { + result = set_afu_userclock(fpga, metadata.afu_image.clock_frequency_high, metadata.afu_image.clock_frequency_low); + if (result != FPGA_OK) { + OPAE_ERR("Failed to set user clock"); + goto out_unlock; + } + } + + // get fpga device id. + result = get_fpga_hw_type(fpga, &hw_type); + if (result != FPGA_OK) { + OPAE_ERR("Failed to discover hardware type."); + goto out_unlock; + } + + // Set power threshold for integrated fpga. + if (hw_type == FPGA_HW_MCP && + !stat(FPGA_SYSFS_CLASS_PATH_INTEL, &st)) { + result = set_fpga_pwr_threshold(fpga, metadata.afu_image.power); + if (result != FPGA_OK) { + OPAE_ERR("Failed to set threshold."); + goto out_unlock; + } + + } // device id + + } + + result = opae_fme_port_pr( + _handle->fddev, 0, slot, bitstream_len - bitstream_header_len, + (uint64_t)bitstream + bitstream_header_len, &error.csr); + if (result != 0) { + OPAE_ERR("Failed to reconfigure bitstream: %s", + strerror(errno)); + + if ((errno == EINVAL) || (errno == EFAULT)) { + result = FPGA_INVALID_PARAM; + } else { + result = FPGA_EXCEPTION; + } + } + + if (error.reconf_operation_error == 0x1) { + OPAE_ERR("PR operation error detected"); + result = FPGA_RECONF_ERROR; + } + + if (error.reconf_CRC_error == 0x1) { + OPAE_ERR("PR CRC error detected"); + result = FPGA_RECONF_ERROR; + } + + if (error.reconf_incompatible_bitstream_error == 0x1) { + OPAE_ERR("PR incompatible bitstream error detected"); + result = FPGA_RECONF_ERROR; + } + + if (error.reconf_IP_protocol_error == 0x1) { + OPAE_ERR("PR IP protocol error detected"); + result = FPGA_RECONF_ERROR; + } + + if (error.reconf_FIFO_overflow_error == 0x1) { + OPAE_ERR("PR FIFO overflow error detected"); + result = FPGA_RECONF_ERROR; + } + + if (error.reconf_timeout_error == 0x1) { + OPAE_ERR("PR timeout error detected"); + result = FPGA_RECONF_ERROR; + } + + if (error.reconf_secure_load_error == 0x1) { + OPAE_ERR("PR secure load error detected"); + result = FPGA_RECONF_ERROR; + } + +out_unlock: + // close the accelerator opened during `open_accel` + if (accel && xfpga_fpgaClose(accel) != FPGA_OK) { + OPAE_ERR("Error closing accelerator after reconfiguration"); + result = FPGA_RECONF_ERROR; + } + + err = pthread_mutex_unlock(&_handle->lock); + if (err) + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + return result; +} diff --git a/opae-libs/plugins/xfpga/reconf_int.h b/opae-libs/plugins/xfpga/reconf_int.h new file mode 100644 index 0000000..bfa5662 --- /dev/null +++ b/opae-libs/plugins/xfpga/reconf_int.h @@ -0,0 +1,75 @@ +// Copyright(c) 2017-2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifndef __RECONF_INT_H__ +#define __RECONF_INT_H__ + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + + +#include + +#include +#include +#include +#include +#include "common_int.h" + +#ifdef __cplusplus +extern "C" { +#endif // __cplusplus + +/** +* @brief set afu user clock +* +* @param handle +* @param usrlclock_high user clock low frequency +* @param usrlclock_low user clock high frequency +* +* @return error code +*/ +fpga_result set_afu_userclock(fpga_handle handle, + uint64_t usrlclock_high, + uint64_t usrlclock_low); + +/** +* @brief Sets FPGA power threshold values +* +* @param fpga handle +* @param gbs_power gbs power value +* +* @return error code +*/ +fpga_result set_fpga_pwr_threshold(fpga_handle handle, + uint64_t gbs_power); + +#ifdef __cplusplus +} // extern "C" +#endif // __cplusplus + +#endif // __RECONF_INT_H__ diff --git a/opae-libs/plugins/xfpga/reset.c b/opae-libs/plugins/xfpga/reset.c new file mode 100644 index 0000000..e1afde7 --- /dev/null +++ b/opae-libs/plugins/xfpga/reset.c @@ -0,0 +1,65 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + + +#include "opae/access.h" +#include "opae/utils.h" +#include "common_int.h" +#include "opae_drv.h" + +// Reset slot +fpga_result __XFPGA_API__ xfpga_fpgaReset(fpga_handle handle) +{ + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + fpga_result result = FPGA_OK; + int err = 0; + + result = handle_check_and_lock(_handle); + if (result) + return result; + + if (_handle->fddev < 0) { + OPAE_ERR("Invalid handle file descriptor"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + // reset ioctl + result = opae_fme_port_reset(_handle->fddev); + if (result != 0) { + OPAE_MSG("Reset failed"); + } + +out_unlock: + err = pthread_mutex_unlock(&_handle->lock); + if (err) + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + return result; +} diff --git a/opae-libs/plugins/xfpga/sysfs.c b/opae-libs/plugins/xfpga/sysfs.c new file mode 100644 index 0000000..c549317 --- /dev/null +++ b/opae-libs/plugins/xfpga/sysfs.c @@ -0,0 +1,2377 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#define _GNU_SOURCE +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#undef _GNU_SOURCE + +#include +#include +#include + +#include "types_int.h" +#include "sysfs_int.h" +#include "common_int.h" + +// substring that identifies a sysfs directory as the FME device. +#define FPGA_SYSFS_FME "fme" +#define FPGA_SYSFS_FME_LEN 3 +// substring that identifies a sysfs directory as the AFU device. +#define FPGA_SYSFS_PORT "port" +#define FPGA_SYSFS_PORT_LEN 4 +#define OPAE_KERNEL_DRIVERS 2 + + +typedef struct _sysfs_formats { + const char *sysfs_class_path; + const char *sysfs_pcidrv_fpga; + const char *sysfs_device_fmt; + const char *sysfs_region_fmt; + const char *sysfs_device_glob; + const char *sysfs_fme_glob; + const char *sysfs_port_glob; + const char *sysfs_compat_id; + const char *sysfs_fme_pwr_glob; + const char *sysfs_fme_temp_glob; + const char *sysfs_fme_perf_glob; + const char *sysfs_port_err; + const char *sysfs_port_err_clear; + const char *sysfs_bmc_glob; + const char *sysfs_max10_glob; +} sysfs_formats; + +static sysfs_formats sysfs_path_table[OPAE_KERNEL_DRIVERS] = { + // upstream driver sysfs formats + {.sysfs_class_path = "/sys/class/fpga_region", + .sysfs_pcidrv_fpga = "fpga_region", + .sysfs_device_fmt = "(region)([0-9])+", + .sysfs_region_fmt = "dfl-(fme|port)\\.([0-9]+)", + .sysfs_device_glob = "region*", + .sysfs_fme_glob = "dfl-fme.*", + .sysfs_port_glob = "dfl-port.*", + .sysfs_compat_id = "/dfl-fme-region.*/fpga_region/region*/compat_id", + .sysfs_fme_temp_glob = "hwmon/hwmon*/temp*_*", + .sysfs_fme_pwr_glob = "hwmon/hwmon*/power*_*", + .sysfs_fme_perf_glob = "*perf", + .sysfs_port_err = "errors/errors", + .sysfs_port_err_clear = "errors/errors", + .sysfs_bmc_glob = "avmmi-bmc.*/bmc_info", + .sysfs_max10_glob = "spi-*/spi_master/spi*/spi*.*" + }, + // intel driver sysfs formats + {.sysfs_class_path = "/sys/class/fpga", + .sysfs_pcidrv_fpga = "fpga", + .sysfs_device_fmt = "(intel-fpga-dev\\.)([0-9]+)", + .sysfs_region_fmt = "intel-fpga-(fme|port)\\.([0-9]+)", + .sysfs_device_glob = "intel-fpga-dev.*", + .sysfs_fme_glob = "intel-fpga-fme.*", + .sysfs_port_glob = "intel-fpga-port.*", + .sysfs_compat_id = "pr/interface_id", + .sysfs_fme_temp_glob = "thermal_mgmt/*", + .sysfs_fme_pwr_glob = "power_mgmt/*", + .sysfs_fme_perf_glob = "*perf", + .sysfs_port_err = "errors/errors", + .sysfs_port_err_clear = "errors/clear", + .sysfs_bmc_glob = "avmmi-bmc.*/bmc_info", + .sysfs_max10_glob = "spi-*/spi_master/spi*/spi*.*" + } }; + +// RE_MATCH_STRING is index 0 in a regex match array +#define RE_MATCH_STRING 0 +// RE_DEVICE_GROUPS is the matching groups for the device regex in the +// sysfs_path_table above. +// Currently this only has three groups: +// * The matching string itself - group 0 +// * The prefix (either 'region' or 'intel-fpga-dev.') - group 1 +// * The number - group 2 +// These indices are used when indexing a regex match object +#define RE_DEVICE_GROUPS 3 +#define RE_DEVICE_GROUP_PREFIX 1 +#define RE_DEVICE_GROUP_NUM 2 + +// RE_REGION_GROUPS is the matching groups for the region regex in the +// sysfs_path_table above. +// Currently this only has three groups: +// * The matching string itself - group 0 +// * The type ('fme' or 'port') - group 1 +// * The number - group 2 +// These indices are used when indexing a regex match object +#define RE_REGION_GROUPS 3 +#define RE_REGION_GROUP_TYPE 1 +#define RE_REGION_GROUP_NUM 2 + +static sysfs_formats *_sysfs_format_ptr; +static uint32_t _sysfs_device_count; +/* mutex to protect sysfs device data structures */ +pthread_mutex_t _sysfs_device_lock = PTHREAD_RECURSIVE_MUTEX_INITIALIZER_NP; + +#define SYSFS_FORMAT(s) (_sysfs_format_ptr ? _sysfs_format_ptr->s : NULL) + +#define SYSFS_MAX_DEVICES 128 +static sysfs_fpga_device _devices[SYSFS_MAX_DEVICES]; + +#define PCIE_PATH_PATTERN "([0-9a-fA-F]{4}):([0-9a-fA-F]{2}):([0-9]{2})\\.([0-9])/fpga" +#define PCIE_PATH_PATTERN_GROUPS 5 + +#define PARSE_MATCH_INT(_p, _m, _v, _b, _l) \ + do { \ + errno = 0; \ + _v = strtoul(_p + _m.rm_so, NULL, _b); \ + if (errno) { \ + OPAE_MSG("error parsing int"); \ + goto _l; \ + } \ + } while (0) + +#define FREE_IF(var) \ + do { \ + if (var) { \ + free(var); \ + var = NULL; \ + } \ + } while (0) + +STATIC int parse_pcie_info(sysfs_fpga_device *device, char *buffer) +{ + char err[128] = {0}; + regex_t re; + regmatch_t matches[PCIE_PATH_PATTERN_GROUPS] = { {0} }; + int res = FPGA_EXCEPTION; + + int reg_res = regcomp(&re, PCIE_PATH_PATTERN, REG_EXTENDED | REG_ICASE); + if (reg_res) { + OPAE_ERR("Error compling regex"); + return FPGA_EXCEPTION; + } + reg_res = regexec(&re, buffer, PCIE_PATH_PATTERN_GROUPS, matches, 0); + if (reg_res) { + regerror(reg_res, &re, err, 128); + OPAE_ERR("Error executing regex: %s", err); + res = FPGA_EXCEPTION; + goto out; + } else { + PARSE_MATCH_INT(buffer, matches[1], device->segment, 16, out); + PARSE_MATCH_INT(buffer, matches[2], device->bus, 16, out); + PARSE_MATCH_INT(buffer, matches[3], device->device, 16, out); + PARSE_MATCH_INT(buffer, matches[4], device->function, 10, out); + } + res = FPGA_OK; + +out: + regfree(&re); + return res; +} + +int sysfs_parse_attribute64(const char *root, const char *attr_path, uint64_t *value) +{ + uint64_t pg_size = (uint64_t)sysconf(_SC_PAGE_SIZE); + char path[SYSFS_PATH_MAX] = { 0, }; + char buffer[pg_size]; + int fd = -1; + ssize_t bytes_read = 0; + + snprintf(path, sizeof(path), + "%s/%s", root, attr_path); + + fd = open(path, O_RDONLY); + if (fd < 0) { + OPAE_MSG("Error opening %s: %s", path, strerror(errno)); + return FPGA_EXCEPTION; + } + bytes_read = eintr_read(fd, buffer, pg_size); + if (bytes_read < 0) { + OPAE_ERR("Error reading from %s: %s", path, + strerror(errno)); + close(fd); + return FPGA_EXCEPTION; + } + + *value = strtoull(buffer, NULL, 0); + + close(fd); + return FPGA_OK; +} + +STATIC int parse_device_vendor_id(sysfs_fpga_device *device) +{ + uint64_t value = 0; + int res = sysfs_parse_attribute64(device->sysfs_path, "device/device", &value); + if (res) { + OPAE_MSG("Error parsing device_id for device: %s", + device->sysfs_path); + return res; + } + device->device_id = value; + + res = sysfs_parse_attribute64(device->sysfs_path, "device/vendor", &value); + + if (res) { + OPAE_ERR("Error parsing vendor_id for device: %s", + device->sysfs_path); + return res; + } + device->vendor_id = value; + + return FPGA_OK; +} + +STATIC sysfs_fpga_region *make_region(sysfs_fpga_device *device, char *name, + int num, fpga_objtype type) +{ + size_t len; + + sysfs_fpga_region *region = malloc(sizeof(sysfs_fpga_region)); + if (region == NULL) { + OPAE_ERR("error creating region"); + return NULL; + } + region->device = device; + region->type = type; + region->number = num; + + // sysfs path of region is sysfs path of device + / + name + if (snprintf(region->sysfs_path, SYSFS_PATH_MAX, + "%s/%s", device->sysfs_path, name) < 0) { + free(region); + OPAE_ERR("snprintf buffer overflow"); + return NULL; + } + + len = strnlen(name, SYSFS_PATH_MAX - 1); + memcpy(region->sysfs_name, name, len); + region->sysfs_name[len] = '\0'; + + return region; +} + +/** + * @brief Match a device node given a format pattern + * + * @param fmt A regex pattern for the device node + * @param inpstr A sysfs path to a potential device node + * @param(out) prefix[] A prefix string for the device node + * @param prefix_len capacity of prefix (max length) + * @param(out) num The sysfs number encoded in the name + * + * @note fmt is expected to be a regex pattern in our sysfs_format_table + * Matching input strings could could look like: + * * region0 where 'region' is the prefix and 0 is the num + * * intel-fpga-dev.0 where 'intel-fpga-dev.' is the prefix and 0 is the + * num + * + * + * @return FPGA_OK if a match is found, FPGA_NOT_FOUND it no match is found, + * FPGA_EXCEPTION if an error is encountered + */ +STATIC fpga_result re_match_device(const char *fmt, char *inpstr, char prefix[], + size_t prefix_len, int *num) +{ + int reg_res = 0; + fpga_result res = FPGA_EXCEPTION; + regmatch_t matches[RE_DEVICE_GROUPS]; + char err[128]; + char *ptr = NULL; + char *end = NULL; + regex_t re; + + ASSERT_NOT_NULL(fmt); + ASSERT_NOT_NULL(inpstr); + ASSERT_NOT_NULL(prefix); + ASSERT_NOT_NULL(num); + reg_res = regcomp(&re, fmt, REG_EXTENDED); + if (reg_res) { + regerror(reg_res, &re, err, sizeof(err)); + OPAE_ERR("Error compiling regex: %s", err); + return FPGA_EXCEPTION; + } + reg_res = regexec(&re, inpstr, RE_DEVICE_GROUPS, matches, 0); + if (reg_res) { + return FPGA_NOT_FOUND; + } + + ptr = inpstr + matches[RE_DEVICE_GROUP_PREFIX].rm_so; + end = inpstr + matches[RE_DEVICE_GROUP_PREFIX].rm_eo; + + if ((size_t)(end - ptr) >= prefix_len) { + OPAE_ERR("Regex result too long"); + res = FPGA_EXCEPTION; + goto out_free; + } + + strncpy(prefix, ptr, end - ptr); + *(prefix + (end - ptr)) = '\0'; + + ptr = inpstr + matches[RE_DEVICE_GROUP_NUM].rm_so; + errno = 0; + *num = strtoul(ptr, NULL, 10); + if (errno) { + OPAE_ERR("Error parsing number: %s", inpstr); + goto out_free; + } + res = FPGA_OK; +out_free: + regfree(&re); + return res; +} + +/** + * @brief Match a device node given a format pattern + * + * @param fmt A regex pattern for the device node + * @param inpstr A sysfs path to a potential device node + * @param(out) type[] A type string for the device node + * @param type_len capacity of type (max length) + * @param(out) num The sysfs number encoded in the name + * + * @note fmt is expected to be a regex pattern in our sysfs_format_table + * Matching input strings could could look like: + * * dfl-fme.0 where 'fme' is the type and 0 is the num + * * dfl-port.1 where 'port' is the type and 1 is the num + * * intel-fpga-fme.0 where 'fme' is the type and 0 is the num + * * intel-fpga-port.1 where 'port' is the type and 1 is the num + * + * + * @return FPGA_OK if a match is found, FPGA_NOT_FOUND it no match is found, + * FPGA_EXCEPTION if an error is encountered + */ +STATIC fpga_result re_match_region(const char *fmt, char *inpstr, char type[], + size_t type_len, int *num) +{ + int reg_res = 0; + fpga_result res = FPGA_EXCEPTION; + regmatch_t matches[RE_REGION_GROUPS]; + char err[128]; + char *ptr = NULL; + char *end = NULL; + regex_t re; + + ASSERT_NOT_NULL(fmt); + ASSERT_NOT_NULL(inpstr); + ASSERT_NOT_NULL(type); + ASSERT_NOT_NULL(num); + reg_res = regcomp(&re, fmt, REG_EXTENDED); + if (reg_res) { + regerror(reg_res, &re, err, sizeof(err)); + OPAE_ERR("Error compiling regex: %s", err); + return FPGA_EXCEPTION; + } + reg_res = regexec(&re, inpstr, RE_REGION_GROUPS, matches, 0); + if (reg_res) { + res = FPGA_NOT_FOUND; + goto out_free; + } + + ptr = inpstr + matches[RE_REGION_GROUP_TYPE].rm_so; + end = inpstr + matches[RE_REGION_GROUP_TYPE].rm_eo; + + if ((size_t)(end - ptr) >= type_len) { + OPAE_ERR("Error copying type from string: %s", inpstr); + goto out_free; + } + + strncpy(type, ptr, end - ptr); + *(type + (end - ptr)) = '\0'; + + ptr = inpstr + matches[RE_REGION_GROUP_NUM].rm_so; + errno = 0; + *num = strtoul(ptr, NULL, 10); + if (errno) { + OPAE_ERR("Error parsing number: %s", inpstr); + goto out_free; + } + res = FPGA_OK; +out_free: + regfree(&re); + return res; +} + + +STATIC int find_regions(sysfs_fpga_device *device) +{ + int num = -1; + char type[8]; + fpga_result res = FPGA_OK; + fpga_result match_res = FPGA_NOT_FOUND; + fpga_objtype region_type = FPGA_DEVICE; + sysfs_fpga_region **region_ptr = NULL; + struct dirent *dirent = NULL; + DIR *dir = opendir(device->sysfs_path); + if (!dir) { + OPAE_ERR("failed to open device path: %s", device->sysfs_path); + return FPGA_EXCEPTION; + } + + while ((dirent = readdir(dir)) != NULL) { + res = FPGA_OK; + if (!strcmp(dirent->d_name, ".")) + continue; + if (!strcmp(dirent->d_name, "..")) + continue; + + match_res = re_match_region(SYSFS_FORMAT(sysfs_region_fmt), + dirent->d_name, type, sizeof(type), + &num); + if (match_res == FPGA_OK) { + if (!strncmp(FPGA_SYSFS_FME, type, + FPGA_SYSFS_FME_LEN)) { + region_type = FPGA_DEVICE; + region_ptr = &device->fme; + } else if (!strncmp(FPGA_SYSFS_PORT, type, + FPGA_SYSFS_PORT_LEN)) { + region_type = FPGA_ACCELERATOR; + region_ptr = &device->port; + } + + if (region_ptr) + *region_ptr = make_region(device, + dirent->d_name, num, region_type); + + region_ptr = NULL; + + } else if (match_res != FPGA_NOT_FOUND) { + res = match_res; + break; + } + } + + if (dir) + closedir(dir); + if (!device->fme && !device->port) { + OPAE_ERR("did not find fme/port in device: %s", device->sysfs_path); + return FPGA_NOT_FOUND; + } + + return res; +} + + +STATIC int make_device(sysfs_fpga_device *device, const char *sysfs_class_fpga, + char *dir_name, int num) +{ + int res = FPGA_OK; + char buffer[SYSFS_PATH_MAX] = { 0, }; + ssize_t sym_link_len = 0; + size_t len; + + if (snprintf(device->sysfs_path, SYSFS_PATH_MAX, + "%s/%s", sysfs_class_fpga, dir_name) < 0) { + OPAE_ERR("snprintf buffer overflow"); + return FPGA_EXCEPTION; + } + + len = strnlen(dir_name, SYSFS_PATH_MAX - 1); + memcpy(device->sysfs_name, dir_name, len); + device->sysfs_name[len] = '\0'; + + sym_link_len = readlink(device->sysfs_path, buffer, SYSFS_PATH_MAX); + if (sym_link_len < 0) { + OPAE_ERR("Error reading sysfs link: %s", device->sysfs_path); + return FPGA_EXCEPTION; + } + + device->number = num; + res = parse_pcie_info(device, buffer); + + if (res) { + OPAE_ERR("Could not parse symlink"); + return res; + } + + res = parse_device_vendor_id(device); + if (res) { + OPAE_MSG("Could not parse vendor/device id"); + return res; + } + + return find_regions(device); +} + + + +STATIC int sysfs_device_destroy(sysfs_fpga_device *device) +{ + ASSERT_NOT_NULL(device); + if (device->fme) { + free(device->fme); + device->fme = NULL; + } + if (device->port) { + free(device->port); + device->port = NULL; + } + return FPGA_OK; +} + +int sysfs_device_count(void) +{ + int res = 0, count = 0; + if (!opae_mutex_lock(res, &_sysfs_device_lock)) { + count = _sysfs_device_count; + } + + if (opae_mutex_unlock(res, &_sysfs_device_lock)) { + count = 0; + } + + return count; +} + +fpga_result sysfs_foreach_device(device_cb cb, void *context) +{ + uint32_t i = 0; + int res = 0; + fpga_result result = FPGA_OK; + if (opae_mutex_lock(res, &_sysfs_device_lock)) { + return FPGA_EXCEPTION; + } + + result = sysfs_finalize(); + if (result) { + goto out_unlock; + } + result = sysfs_initialize(); + if (result) { + goto out_unlock; + } + for (; i < _sysfs_device_count; ++i) { + result = cb(&_devices[i], context); + if (result) { + goto out_unlock; + } + } + +out_unlock: + opae_mutex_unlock(res, &_sysfs_device_lock); + + return result; +} + +int sysfs_initialize(void) +{ + int stat_res = -1; + int res = FPGA_OK; + uint32_t i = 0; + struct stat st; + DIR *dir = NULL; + struct dirent *dirent = NULL; + int num = -1; + char prefix[64] = {0}; + + for (i = 0; i < OPAE_KERNEL_DRIVERS; ++i) { + errno = 0; + stat_res = stat(sysfs_path_table[i].sysfs_class_path, &st); + if (!stat_res) { + _sysfs_format_ptr = &sysfs_path_table[i]; + break; + } + if (errno != ENOENT) { + OPAE_ERR("Error while inspecting sysfs: %s", + strerror(errno)); + return FPGA_EXCEPTION; + } + } + if (i == OPAE_KERNEL_DRIVERS) { + OPAE_ERR( + "No valid sysfs class files found - a suitable driver may not be loaded"); + return FPGA_NO_DRIVER; + } + + _sysfs_device_count = 0; + + const char *sysfs_class_fpga = SYSFS_FORMAT(sysfs_class_path); + if (!sysfs_class_fpga) { + OPAE_ERR("Invalid fpga class path: %s", sysfs_class_fpga); + res = FPGA_EXCEPTION; + goto out_free; + } + + // open the root sysfs class directory + // look in the directory and get device objects + dir = opendir(sysfs_class_fpga); + if (!dir) { + OPAE_MSG("failed to open device path: %s", sysfs_class_fpga); + res = FPGA_EXCEPTION; + goto out_free; + } + + while ((dirent = readdir(dir))) { + if (!strcmp(dirent->d_name, ".")) + continue; + if (!strcmp(dirent->d_name, "..")) + continue; + res = re_match_device(SYSFS_FORMAT(sysfs_device_fmt), + dirent->d_name, prefix, sizeof(prefix), + &num); + if (res == FPGA_OK) { + // increment our device count after filling out details + // of the discovered device in our _devices array + if (opae_mutex_lock(res, &_sysfs_device_lock)) { + goto out_free; + } + if (make_device(&_devices[_sysfs_device_count++], + sysfs_class_fpga, dirent->d_name, + num)) { + OPAE_MSG("Error processing device: %s", + dirent->d_name); + _sysfs_device_count--; + } + if (opae_mutex_unlock(res, &_sysfs_device_lock)) { + goto out_free; + } + } + } + + if (!_sysfs_device_count) { + OPAE_ERR("Error discovering fpga devices"); + res = FPGA_NO_DRIVER; + } +out_free: + if (dir) + closedir(dir); + return res; +} + +int sysfs_finalize(void) +{ + uint32_t i = 0; + int res = 0; + if (opae_mutex_lock(res, &_sysfs_device_lock)) { + OPAE_ERR("Error locking mutex"); + return FPGA_EXCEPTION; + } + for (; i < _sysfs_device_count; ++i) { + sysfs_device_destroy(&_devices[i]); + } + _sysfs_device_count = 0; + _sysfs_format_ptr = NULL; + if (opae_mutex_unlock(res, &_sysfs_device_lock)) { + OPAE_ERR("Error unlocking mutex"); + return FPGA_EXCEPTION; + } + return FPGA_OK; +} + +const sysfs_fpga_device *sysfs_get_device(size_t num) +{ + const sysfs_fpga_device *ptr = NULL; + int res = 0; + if (!opae_mutex_lock(res, &_sysfs_device_lock)) { + if (num >= _sysfs_device_count) { + OPAE_ERR("No such device with index: %d", num); + } else { + ptr = &_devices[num]; + } + if (opae_mutex_unlock(res, &_sysfs_device_lock)) { + ptr = NULL; + } + } + + return ptr; +} + +fpga_result sysfs_get_interface_id(fpga_token token, fpga_guid guid) +{ + fpga_result res = FPGA_OK; + char path[SYSFS_PATH_MAX]; + struct _fpga_token *_token = (struct _fpga_token *)token; + ASSERT_NOT_NULL(_token); + res = cat_token_sysfs_path(path, token, SYSFS_FORMAT(sysfs_compat_id)); + if (res) { + return res; + } + res = opae_glob_path(path, SYSFS_PATH_MAX - 1); + if (res) { + return res; + } + return sysfs_read_guid(path, guid); +} + + + +fpga_result sysfs_get_fme_pwr_path(fpga_token token, char *sysfs_pwr) +{ + fpga_result res = FPGA_OK; + struct _fpga_token *_token = (struct _fpga_token *)token; + ASSERT_NOT_NULL(_token); + + if (sysfs_pwr == NULL) { + OPAE_ERR("Invalid input parameters"); + return FPGA_INVALID_PARAM; + } + res = cat_token_sysfs_path(sysfs_pwr, token, SYSFS_FORMAT(sysfs_fme_pwr_glob)); + if (res != FPGA_OK) { + return res; + } + + // check for path is valid + res = check_sysfs_path_is_valid(sysfs_pwr); + if (res != FPGA_OK) { + OPAE_MSG("Invalid path %s", sysfs_pwr); + return res; + } + + return res; +} + +fpga_result sysfs_get_fme_temp_path(fpga_token token, char *sysfs_temp) +{ + fpga_result res = FPGA_OK; + struct _fpga_token *_token = (struct _fpga_token *)token; + ASSERT_NOT_NULL(_token); + + if (sysfs_temp == NULL) { + OPAE_ERR("Invalid input parameters"); + return FPGA_INVALID_PARAM; + } + + res = cat_token_sysfs_path(sysfs_temp, token, SYSFS_FORMAT(sysfs_fme_temp_glob)); + if (res != FPGA_OK) { + return res; + } + + // check for path is valid + res = check_sysfs_path_is_valid(sysfs_temp); + if (res != FPGA_OK) { + OPAE_MSG("Invalid path %s", sysfs_temp); + return res; + } + + return res; +} + +fpga_result sysfs_get_fme_perf_path(fpga_token token, char *sysfs_perf) +{ + fpga_result res = FPGA_OK; + struct _fpga_token *_token = (struct _fpga_token *)token; + ASSERT_NOT_NULL(_token); + + if (sysfs_perf == NULL) { + OPAE_ERR("Invalid input parameters"); + return FPGA_INVALID_PARAM; + } + + res = cat_token_sysfs_path(sysfs_perf, token, SYSFS_FORMAT(sysfs_fme_perf_glob)); + if (res != FPGA_OK) { + return res; + } + + // check for path is valid + res = check_sysfs_path_is_valid(sysfs_perf); + if (res != FPGA_OK) { + OPAE_MSG("Invalid path %s", sysfs_perf); + return res; + } + + return res; +} + +fpga_result sysfs_get_port_error_path(fpga_handle handle, char *sysfs_port_error) +{ + fpga_result result = FPGA_OK; + char sysfs_path[SYSFS_PATH_MAX] = { 0, }; + + if (sysfs_port_error == NULL) { + OPAE_ERR("Invalid input parameters"); + return FPGA_INVALID_PARAM; + } + + result = get_port_sysfs(handle, sysfs_path); + if (result != FPGA_OK) { + OPAE_ERR("Failed to get port syfs path"); + return result; + } + + if (!SYSFS_FORMAT(sysfs_port_err)) { + OPAE_ERR("_sysfs_format_ptr is not set."); + return FPGA_EXCEPTION; + } + + if (snprintf(sysfs_port_error, SYSFS_PATH_MAX, + "%s/%s", sysfs_path, _sysfs_format_ptr->sysfs_port_err) < 0) { + OPAE_ERR("snprintf buffer overflow"); + return FPGA_EXCEPTION; + } + + return result; +} + +fpga_result sysfs_get_port_error_clear_path(fpga_handle handle, char *sysfs_port_error_clear) +{ + fpga_result result = FPGA_OK; + char sysfs_path[SYSFS_PATH_MAX] = { 0, }; + + if (sysfs_port_error_clear == NULL) { + OPAE_ERR("Invalid input parameters"); + return FPGA_INVALID_PARAM; + } + + result = get_port_sysfs(handle, sysfs_path); + if (result != FPGA_OK) { + OPAE_ERR("Failed to get port syfs path"); + return result; + } + + if (!SYSFS_FORMAT(sysfs_port_err_clear)) { + OPAE_ERR("_sysfs_format_ptr is not set."); + return FPGA_EXCEPTION; + } + + if (snprintf(sysfs_port_error_clear, SYSFS_PATH_MAX, + "%s/%s", sysfs_path, + _sysfs_format_ptr->sysfs_port_err_clear) < 0) { + OPAE_ERR("snprintf buffer overflow"); + return FPGA_EXCEPTION; + } + + return result; +} + +fpga_result sysfs_get_bmc_path(fpga_token token, char *sysfs_bmc) +{ + fpga_result res = FPGA_OK; + struct _fpga_token *_token = (struct _fpga_token *)token; + ASSERT_NOT_NULL(_token); + + if (sysfs_bmc == NULL) { + OPAE_ERR("Invalid input parameters"); + return FPGA_INVALID_PARAM; + } + + res = cat_token_sysfs_path(sysfs_bmc, token, SYSFS_FORMAT(sysfs_bmc_glob)); + if (res != FPGA_OK) { + return res; + } + + return opae_glob_path(sysfs_bmc, SYSFS_PATH_MAX - 1); +} + +fpga_result sysfs_get_max10_path(fpga_token token, char *sysfs_max10) +{ + fpga_result res = FPGA_OK; + struct _fpga_token *_token = (struct _fpga_token *)token; + ASSERT_NOT_NULL(_token); + + if (sysfs_max10 == NULL) { + OPAE_ERR("Invalid input parameters"); + return FPGA_INVALID_PARAM; + } + + res = cat_token_sysfs_path(sysfs_max10, token, SYSFS_FORMAT(sysfs_max10_glob)); + if (res != FPGA_OK) { + return res; + } + + return opae_glob_path(sysfs_max10, SYSFS_PATH_MAX - 1); +} + +fpga_result sysfs_get_fme_pr_interface_id(const char *sysfs_sysfs_path, fpga_guid guid) +{ + fpga_result res = FPGA_OK; + char sysfs_path[SYSFS_PATH_MAX] = { 0, }; + + if (!SYSFS_FORMAT(sysfs_compat_id)) { + OPAE_ERR("_sysfs_format_ptr is not set."); + return FPGA_EXCEPTION; + } + + snprintf(sysfs_path, sizeof(sysfs_path), + "%s/%s", + sysfs_sysfs_path, + _sysfs_format_ptr->sysfs_compat_id); + + res = opae_glob_path(sysfs_path, SYSFS_PATH_MAX - 1); + if (res) + return res; + + return sysfs_read_guid(sysfs_path, guid); +} + +fpga_result sysfs_get_guid(fpga_token token, const char *sysfspath, fpga_guid guid) +{ + fpga_result res = FPGA_OK; + char sysfs_path[SYSFS_PATH_MAX] = { 0, }; + struct _fpga_token *_token = (struct _fpga_token *)token; + + if (_token == NULL || sysfspath == NULL) + return FPGA_EXCEPTION; + + if (snprintf(sysfs_path, sizeof(sysfs_path), + "%s/%s", _token->sysfspath, sysfspath) < 0) { + OPAE_ERR("snprintf buffer overflow"); + return FPGA_EXCEPTION; + } + + res = opae_glob_path(sysfs_path, SYSFS_PATH_MAX - 1); + if (res) + return res; + + return sysfs_read_guid(sysfs_path, guid); +} + +int sysfs_filter(const struct dirent *de) +{ + return de->d_name[0] != '.'; +} + + +/** + * @brief Get a path to an fme node given a path to a port node + * + * @param sysfs_port sysfs path to a port node + * @param(out) sysfs_fme realpath to an fme node in sysfs + * + * @return FPGA_OK if able to find the path to the fme + * FPGA_EXCEPTION if errors encountered during copying, + * formatting strings + * FPGA_NOT_FOUND if unable to find fme path or any relevant paths + */ +fpga_result sysfs_get_fme_path(const char *sysfs_port, char *sysfs_fme) +{ + fpga_result result = FPGA_EXCEPTION; + char sysfs_path[SYSFS_PATH_MAX] = { 0, }; + char fpga_path[SYSFS_PATH_MAX] = { 0, }; + // subdir candidates to look for when locating "fpga*" node in sysfs + // order is important here because a physfn node is the exception + // (will only exist when a port is on a VF) and will be used to point + // to the PF that the FME is on + const char *fpga_globs[] = {"device/physfn/fpga*", "device/fpga*", NULL}; + int i = 0; + size_t len; + + // now try globbing fme resource sysfs path + a candidate + // sysfs_port is expected to be the sysfs path to a port + for (; fpga_globs[i]; ++i) { + + snprintf(sysfs_path, SYSFS_PATH_MAX, + "%s/../%s", sysfs_port, fpga_globs[i]); + + result = opae_glob_path(sysfs_path, SYSFS_PATH_MAX - 1); + if (result == FPGA_OK) { + // we've found a path to the "fpga*" node + break; + } else if (result != FPGA_NOT_FOUND) { + return result; + } + } + + if (!fpga_globs[i]) { + OPAE_ERR("Could not find path to port device/fpga*"); + return FPGA_NOT_FOUND; + } + + + // format a string to look for in the subdirectory of the "fpga*" node + // this subdirectory should include glob patterns for the current + // driver + // -- intel-fpga-dev.*/intel-fpga-fme.* + // -- region*/dfl-fme.* + + if (!SYSFS_FORMAT(sysfs_device_glob)) { + OPAE_ERR("_sysfs_format_ptr is not set."); + return FPGA_EXCEPTION; + } + + snprintf(fpga_path, sizeof(fpga_path), + "/%s/%s", + _sysfs_format_ptr->sysfs_device_glob, + _sysfs_format_ptr->sysfs_fme_glob); + + + len = strnlen(sysfs_path, SYSFS_PATH_MAX - 1); + strncat(sysfs_path, fpga_path, SYSFS_PATH_MAX - len); + + result = opae_glob_path(sysfs_path, SYSFS_PATH_MAX - 1); + if (result) + return result; + + // copy the assembled and verified path to the output param + if (!realpath(sysfs_path, sysfs_fme)) + return FPGA_EXCEPTION; + + return FPGA_OK; +} + +// +// sysfs access (read/write) functions +// + +fpga_result sysfs_read_int(const char *path, int *i) +{ + int fd; + int res; + char buf[SYSFS_PATH_MAX]; + int b; + + if (path == NULL) { + OPAE_ERR("Invalid input path"); + return FPGA_INVALID_PARAM; + } + + fd = open(path, O_RDONLY); + if (fd < 0) { + OPAE_MSG("open(%s) failed", path); + return FPGA_NOT_FOUND; + } + + if ((off_t)-1 == lseek(fd, 0, SEEK_SET)) { + OPAE_MSG("seek failed"); + goto out_close; + } + + b = 0; + + do { + res = read(fd, buf + b, sizeof(buf) - b); + if (res <= 0) { + OPAE_MSG("Read from %s failed", path); + goto out_close; + } + b += res; + if (((unsigned)b > sizeof(buf)) || (b <= 0)) { + OPAE_MSG("Unexpected size reading from %s", path); + goto out_close; + } + } while (buf[b - 1] != '\n' && buf[b - 1] != '\0' + && (unsigned)b < sizeof(buf)); + + // erase \n + buf[b - 1] = 0; + + *i = atoi(buf); + + close(fd); + return FPGA_OK; + +out_close: + close(fd); + return FPGA_NOT_FOUND; +} + +fpga_result sysfs_read_u32(const char *path, uint32_t *u) +{ + int fd; + int res; + char buf[SYSFS_PATH_MAX]; + int b; + + if (path == NULL) { + OPAE_ERR("Invalid input path"); + return FPGA_INVALID_PARAM; + } + + fd = open(path, O_RDONLY); + if (fd < 0) { + OPAE_MSG("open(%s) failed", path); + return FPGA_NOT_FOUND; + } + + if ((off_t)-1 == lseek(fd, 0, SEEK_SET)) { + OPAE_MSG("seek failed"); + goto out_close; + } + + b = 0; + + do { + res = read(fd, buf + b, sizeof(buf) - b); + if (res <= 0) { + OPAE_MSG("Read from %s failed", path); + goto out_close; + } + b += res; + if (((unsigned)b > sizeof(buf)) || (b <= 0)) { + OPAE_MSG("Unexpected size reading from %s", path); + goto out_close; + } + } while (buf[b - 1] != '\n' && buf[b - 1] != '\0' + && (unsigned)b < sizeof(buf)); + + // erase \n + buf[b - 1] = 0; + + *u = strtoul(buf, NULL, 0); + + close(fd); + return FPGA_OK; + +out_close: + close(fd); + return FPGA_NOT_FOUND; +} + +// read tuple separated by 'sep' character +fpga_result sysfs_read_u32_pair(const char *path, uint32_t *u1, uint32_t *u2, + char sep) +{ + int fd; + int res; + char buf[SYSFS_PATH_MAX]; + int b; + char *c; + uint32_t x1, x2; + + if (sep == '\0') { + OPAE_MSG("invalid separation character"); + return FPGA_INVALID_PARAM; + } + + if (path == NULL) { + OPAE_ERR("Invalid input path"); + return FPGA_INVALID_PARAM; + } + + fd = open(path, O_RDONLY); + if (fd < 0) { + OPAE_MSG("open(%s) failed", path); + return FPGA_NOT_FOUND; + } + + if ((off_t)-1 == lseek(fd, 0, SEEK_SET)) { + OPAE_MSG("seek failed"); + goto out_close; + } + + b = 0; + + do { + res = read(fd, buf + b, sizeof(buf) - b); + if (res <= 0) { + OPAE_MSG("Read from %s failed", path); + goto out_close; + } + b += res; + if (((unsigned)b > sizeof(buf)) || (b <= 0)) { + OPAE_MSG("Unexpected size reading from %s", path); + goto out_close; + } + } while (buf[b - 1] != '\n' && buf[b - 1] != '\0' + && (unsigned)b < sizeof(buf)); + + // erase \n + buf[b - 1] = 0; + + // read first value + x1 = strtoul(buf, &c, 0); + if (*c != sep) { + OPAE_MSG("couldn't find separation character '%c' in '%s'", sep, + path); + goto out_close; + } + // read second value + x2 = strtoul(c + 1, &c, 0); + if (*c != '\0') { + OPAE_MSG("unexpected character '%c' in '%s'", *c, path); + goto out_close; + } + + *u1 = x1; + *u2 = x2; + + close(fd); + return FPGA_OK; + +out_close: + close(fd); + return FPGA_NOT_FOUND; +} + +fpga_result sysfs_read_u64(const char *path, uint64_t *u) +{ + int fd = -1; + int res = 0; + char buf[SYSFS_PATH_MAX] = {0}; + int b = 0; + + if (path == NULL) { + OPAE_ERR("Invalid input path"); + return FPGA_INVALID_PARAM; + } + + fd = open(path, O_RDONLY); + if (fd < 0) { + OPAE_MSG("open(%s) failed", path); + return FPGA_NOT_FOUND; + } + + if ((off_t)-1 == lseek(fd, 0, SEEK_SET)) { + OPAE_MSG("seek failed"); + goto out_close; + } + + do { + res = read(fd, buf + b, sizeof(buf) - b); + if (res <= 0) { + OPAE_MSG("Read from %s failed", path); + goto out_close; + } + b += res; + if (((unsigned)b > sizeof(buf)) || (b <= 0)) { + OPAE_MSG("Unexpected size reading from %s", path); + goto out_close; + } + } while (buf[b - 1] != '\n' && buf[b - 1] != '\0' + && (unsigned)b < sizeof(buf)); + + // erase \n + buf[b - 1] = 0; + + *u = strtoull(buf, NULL, 0); + + close(fd); + return FPGA_OK; + +out_close: + close(fd); + return FPGA_NOT_FOUND; +} + +fpga_result sysfs_write_u64(const char *path, uint64_t u) +{ + int fd = -1; + int res = 0; + char buf[SYSFS_PATH_MAX] = {0}; + int b = 0; + int len; + + if (path == NULL) { + OPAE_ERR("Invalid input path"); + return FPGA_INVALID_PARAM; + } + + fd = open(path, O_WRONLY); + if (fd < 0) { + OPAE_MSG("open(%s) failed: %s", path, strerror(errno)); + return FPGA_NOT_FOUND; + } + + if ((off_t)-1 == lseek(fd, 0, SEEK_SET)) { + OPAE_MSG("seek: %s", strerror(errno)); + goto out_close; + } + + len = snprintf(buf, sizeof(buf), "0x%lx\n", u); + + do { + res = write(fd, buf + b, len - b); + if (res <= 0) { + OPAE_ERR("Failed to write"); + goto out_close; + } + b += res; + + if (b > len || b <= 0) { + OPAE_MSG("Unexpected size writing to %s", path); + goto out_close; + } + + } while (buf[b - 1] != '\n' && buf[b - 1] != '\0' + && b < len); + + close(fd); + return FPGA_OK; + +out_close: + close(fd); + return FPGA_NOT_FOUND; +} + + +fpga_result sysfs_write_u64_decimal(const char *path, uint64_t u) +{ + int fd = -1; + int res = 0; + char buf[SYSFS_PATH_MAX] = {0}; + int b = 0; + int len; + + if (path == NULL) { + OPAE_ERR("Invalid input path"); + return FPGA_INVALID_PARAM; + } + + fd = open(path, O_WRONLY); + if (fd < 0) { + OPAE_MSG("open(%s) failed: %s", path, strerror(errno)); + return FPGA_NOT_FOUND; + } + + if ((off_t)-1 == lseek(fd, 0, SEEK_SET)) { + OPAE_MSG("seek: %s", strerror(errno)); + goto out_close; + } + + len = snprintf(buf, sizeof(buf), "%ld\n", u); + + do { + res = write(fd, buf + b, len - b); + if (res <= 0) { + OPAE_ERR("Failed to write"); + goto out_close; + } + b += res; + + if (b > len || b <= 0) { + OPAE_MSG("Unexpected size writing to %s", path); + goto out_close; + } + + } while (buf[b - 1] != '\n' && buf[b - 1] != '\0' + && b < len); + + close(fd); + return FPGA_OK; + +out_close: + close(fd); + return FPGA_NOT_FOUND; +} + +fpga_result sysfs_read_guid(const char *path, fpga_guid guid) +{ + int fd; + int res; + char buf[SYSFS_PATH_MAX] = { 0, }; + int b; + + int i; + char tmp; + unsigned octet; + + if (path == NULL) { + OPAE_ERR("Invalid input path"); + return FPGA_INVALID_PARAM; + } + + fd = open(path, O_RDONLY); + if (fd < 0) { + OPAE_MSG("open(%s) failed", path); + return FPGA_NOT_FOUND; + } + + if ((off_t)-1 == lseek(fd, 0, SEEK_SET)) { + OPAE_MSG("seek failed"); + goto out_close; + } + + b = 0; + + do { + res = read(fd, buf + b, sizeof(buf) - b); + if (res <= 0) { + OPAE_MSG("Read from %s failed", path); + goto out_close; + } + b += res; + if (((unsigned)b > sizeof(buf)) || (b <= 0)) { + OPAE_MSG("Unexpected size reading from %s", path); + goto out_close; + } + } while (buf[b - 1] != '\n' && buf[b - 1] != '\0' + && (unsigned)b < sizeof(buf)); + + // erase \n + buf[b - 1] = 0; + + for (i = 0; i < 32; i += 2) { + tmp = buf[i + 2]; + buf[i + 2] = 0; + + octet = 0; + sscanf(&buf[i], "%x", &octet); + guid[i / 2] = (uint8_t)octet; + + buf[i + 2] = tmp; + } + + close(fd); + return FPGA_OK; + +out_close: + close(fd); + return FPGA_NOT_FOUND; +} + +fpga_result check_sysfs_path_is_valid(const char *sysfs_path) +{ + fpga_result result = FPGA_OK; + char path[SYSFS_PATH_MAX] = { 0, }; + struct stat stats; + size_t len; + + if (!sysfs_path) { + OPAE_ERR("Invalid input path"); + return FPGA_INVALID_PARAM; + } + + len = strnlen(sysfs_path, SYSFS_PATH_MAX - 1); + memcpy(path, sysfs_path, len); + path[len] = '\0'; + + result = opae_glob_path(path, SYSFS_PATH_MAX - 1); + if (result) { + return result; + } + + if (stat(path, &stats) != 0) { + OPAE_ERR("stat failed: %s", strerror(errno)); + return FPGA_NOT_FOUND; + } + + if (S_ISDIR(stats.st_mode) || S_ISREG(stats.st_mode)) { + return FPGA_OK; + } + + return FPGA_EXCEPTION; +} + + +fpga_result sysfs_path_is_valid(const char *root, const char *attr_path) +{ + char path[SYSFS_PATH_MAX] = { 0, }; + fpga_result result = FPGA_OK; + struct stat stats; + + if (!root || !attr_path) { + OPAE_ERR("input path is NULL"); + return FPGA_INVALID_PARAM; + } + + snprintf(path, sizeof(path), + "%s/%s", root, attr_path); + + result = opae_glob_path(path, SYSFS_PATH_MAX - 1); + if (result) { + return result; + } + + if (stat(path, &stats) != 0) { + OPAE_ERR("stat failed: %s", strerror(errno)); + return FPGA_NOT_FOUND; + } + + if (S_ISDIR(stats.st_mode) || S_ISREG(stats.st_mode)) { + return FPGA_OK; + } + + return FPGA_EXCEPTION; +} + +// +// sysfs convenience functions to access device components by device number +// + +fpga_result sysfs_get_socket_id(int dev, int subdev, uint8_t *socket_id) +{ + fpga_result result; + char spath[SYSFS_PATH_MAX] = { 0, }; + int i; + + snprintf(spath, SYSFS_PATH_MAX, + SYSFS_FPGA_CLASS_PATH SYSFS_FME_PATH_FMT + "/" FPGA_SYSFS_SOCKET_ID, + dev, subdev); + + i = 0; + result = sysfs_read_int(spath, &i); + if (FPGA_OK != result) + return result; + + *socket_id = (uint8_t)i; + + return FPGA_OK; +} + +fpga_result sysfs_get_afu_id(int dev, int subdev, fpga_guid guid) +{ + char spath[SYSFS_PATH_MAX] = { 0, }; + + snprintf(spath, SYSFS_PATH_MAX, + SYSFS_FPGA_CLASS_PATH SYSFS_AFU_PATH_FMT + "/" FPGA_SYSFS_AFU_GUID, + dev, subdev); + + return sysfs_read_guid(spath, guid); +} + +fpga_result sysfs_get_pr_id(int dev, int subdev, fpga_guid guid) +{ + char spath[SYSFS_PATH_MAX] = { 0, }; + + snprintf(spath, SYSFS_PATH_MAX, + SYSFS_FPGA_CLASS_PATH SYSFS_FME_PATH_FMT + "/" FPGA_SYSFS_FME_INTERFACE_ID, + dev, subdev); + + return sysfs_read_guid(spath, guid); +} + +fpga_result sysfs_get_slots(int dev, int subdev, uint32_t *slots) +{ + char spath[SYSFS_PATH_MAX] = { 0, }; + + snprintf(spath, SYSFS_PATH_MAX, + SYSFS_FPGA_CLASS_PATH SYSFS_FME_PATH_FMT + "/" FPGA_SYSFS_NUM_SLOTS, + dev, subdev); + + return sysfs_read_u32(spath, slots); +} + +fpga_result sysfs_get_bitstream_id(int dev, int subdev, uint64_t *id) +{ + char spath[SYSFS_PATH_MAX] = { 0, }; + + snprintf(spath, SYSFS_PATH_MAX, + SYSFS_FPGA_CLASS_PATH SYSFS_FME_PATH_FMT + "/" FPGA_SYSFS_BITSTREAM_ID, + dev, subdev); + + return sysfs_read_u64(spath, id); +} + +/** + * @brief Get a path to a port node given a handle to an resource + * + * @param handle Open handle to an fme resource (FPGA_DEVICE) + * @param(out) sysfs_port realpath to a port node in sysfs + * + * @return FPGA_OK if able to find the path to the port + * FPGA_EXCEPTION if errors encountered during copying, + * formatting strings + * FPGA_NOT_FOUND if unable to find fme path or any relevant paths + */ +fpga_result get_port_sysfs(fpga_handle handle, char *sysfs_port) +{ + + struct _fpga_token *_token; + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + char sysfs_path[SYSFS_PATH_MAX] = { 0, }; + char fpga_path[SYSFS_PATH_MAX] = { 0, }; + fpga_result result = FPGA_OK; + int i = 0; + size_t len; + + // subdir candidates to look for when locating "fpga*" node in sysfs + // order is important here because a virtfn* node is the exception + // (will only exist when a port is on a VF) and will be used to point + // to the VF that the port is on + const char *fpga_globs[] = {"device/virtfn*/fpga*", "device/fpga*", NULL}; + if (sysfs_port == NULL) { + OPAE_ERR("Invalid output pointer"); + return FPGA_INVALID_PARAM; + } + + if (_handle == NULL) { + OPAE_ERR("Invalid handle"); + return FPGA_INVALID_PARAM; + } + + _token = (struct _fpga_token *)_handle->token; + if (_token == NULL) { + OPAE_ERR("Token not found"); + return FPGA_INVALID_PARAM; + } + + if (!strstr(_token->sysfspath, FPGA_SYSFS_FME)) { + OPAE_ERR("Invalid sysfspath in token"); + return FPGA_INVALID_PARAM; + } + + // now try globbing fme token's sysfs path + a candidate + for (; fpga_globs[i]; ++i) { + + if (snprintf(sysfs_path, SYSFS_PATH_MAX, + "%s/../%s", _token->sysfspath, fpga_globs[i]) < 0) { + OPAE_ERR("snprintf buffer overflow"); + return FPGA_EXCEPTION; + } + + result = opae_glob_path(sysfs_path, SYSFS_PATH_MAX - 1); + if (result == FPGA_OK) { + // we've found a path to the "fpga*" node + break; + } else if (result != FPGA_NOT_FOUND) { + return result; + } + } + + if (!fpga_globs[i]) { + OPAE_ERR("Could not find path to port device/fpga"); + return FPGA_EXCEPTION; + } + + if (!SYSFS_FORMAT(sysfs_device_glob) || + !SYSFS_FORMAT(sysfs_port_glob)) { + OPAE_ERR("NULL glob pattern"); + return FPGA_EXCEPTION; + } + + // format a string to look for in the subdirectory of the "fpga*" node + // this subdirectory should include glob patterns for the current + // driver + // -- intel-fgga-dev.*/intel-fpga-port.* + // -- region*/dfl-port.* + snprintf(fpga_path, SYSFS_PATH_MAX, "/%s/%s", + SYSFS_FORMAT(sysfs_device_glob), + SYSFS_FORMAT(sysfs_port_glob)); + + // now concatenate the subdirectory to the "fpga*" node + len = strnlen(fpga_path, SYSFS_PATH_MAX - 1); + strncat(sysfs_path, fpga_path, len + 1); + + result = opae_glob_path(sysfs_path, sizeof(sysfs_path) - 1); + if (result) { + return result; + } + + + // copy the assembled and verified path to the output param + if (!realpath(sysfs_path, sysfs_port)) { + return FPGA_EXCEPTION; + } + + return FPGA_OK; +} + +enum fpga_hw_type opae_id_to_hw_type(uint16_t vendor_id, uint16_t device_id) +{ + enum fpga_hw_type hw_type = FPGA_HW_UNKNOWN; + + if (vendor_id == 0x8086) { + + switch (device_id) { + case 0xbcbc: /* FALLTHROUGH */ + case 0xbcbd: /* FALLTHROUGH */ + case 0xbcbe: /* FALLTHROUGH */ + case 0xbcbf: /* FALLTHROUGH */ + case 0xbcc0: /* FALLTHROUGH */ + case 0xbcc1: /* FALLTHROUGH */ + case 0x09cb: + hw_type = FPGA_HW_MCP; + break; + + case 0x09c4: /* FALLTHROUGH */ + case 0x09c5: + hw_type = FPGA_HW_DCP_RC; + break; + + case 0x0b2b: /* FALLTHROUGH */ + case 0x0b2c: + hw_type = FPGA_HW_DCP_DC; + break; + + case 0x0b30: /* FALLTHROUGH */ + case 0x0b31: + hw_type = FPGA_HW_DCP_VC; + break; + + default: + OPAE_ERR("unknown device id: 0x%04x", device_id); + } + + } else { + OPAE_ERR("unknown vendor id: 0x%04x", vendor_id); + } + + return hw_type; +} + +// get fpga hardware type from handle +fpga_result get_fpga_hw_type(fpga_handle handle, enum fpga_hw_type *hw_type) +{ + struct _fpga_token *_token = NULL; + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + char sysfs_path[SYSFS_PATH_MAX] = {0}; + fpga_result result = FPGA_OK; + int err = 0; + uint64_t vendor_id = 0; + uint64_t device_id = 0; + + if (_handle == NULL) { + OPAE_ERR("Invalid handle"); + return FPGA_INVALID_PARAM; + } + + if (hw_type == NULL) { + OPAE_ERR("Invalid input Parameters"); + return FPGA_INVALID_PARAM; + } + + if (pthread_mutex_lock(&_handle->lock)) { + OPAE_MSG("Failed to lock handle mutex"); + return FPGA_EXCEPTION; + } + + _token = (struct _fpga_token *)_handle->token; + if (_token == NULL) { + OPAE_ERR("Token not found"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + if (snprintf(sysfs_path, SYSFS_PATH_MAX, + "%s/../device/vendor", _token->sysfspath) < 0) { + OPAE_ERR("snprintf buffer overflow"); + result = FPGA_EXCEPTION; + goto out_unlock; + } + + result = sysfs_read_u64(sysfs_path, &vendor_id); + if (result != 0) { + OPAE_ERR("Failed to read vendor ID"); + goto out_unlock; + } + + if (snprintf(sysfs_path, SYSFS_PATH_MAX, + "%s/../device/device", _token->sysfspath) < 0) { + OPAE_ERR("snprintf buffer overflow"); + result = FPGA_EXCEPTION; + goto out_unlock; + } + + result = sysfs_read_u64(sysfs_path, &device_id); + if (result != 0) { + OPAE_ERR("Failed to read device ID"); + goto out_unlock; + } + + *hw_type = opae_id_to_hw_type((uint16_t)vendor_id, + (uint16_t)device_id); + +out_unlock: + err = pthread_mutex_unlock(&_handle->lock); + if (err) + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + return result; +} + +/* + * The rlpath path is assumed to be of the form: + * ../../devices/pci0000:5e/0000:5e:00.0/fpga/intel-fpga-dev.0 + */ +fpga_result sysfs_sbdf_from_path(const char *sysfspath, int *s, int *b, int *d, + int *f) +{ + int res; + char rlpath[SYSFS_PATH_MAX]; + char *p; + + res = readlink(sysfspath, rlpath, sizeof(rlpath)); + if (-1 == res) { + OPAE_MSG("Can't read link %s (no driver?)", sysfspath); + return FPGA_NO_DRIVER; + } + + // Find the BDF from the link path. + rlpath[res] = 0; + p = strrchr(rlpath, '/'); + if (!p) { + OPAE_MSG("Invalid link %s (no driver?)", rlpath); + return FPGA_NO_DRIVER; + } + *p = 0; + p = strrchr(rlpath, '/'); + if (!p) { + OPAE_MSG("Invalid link %s (no driver?)", rlpath); + return FPGA_NO_DRIVER; + } + *p = 0; + p = strrchr(rlpath, '/'); + if (!p) { + OPAE_MSG("Invalid link %s (no driver?)", rlpath); + return FPGA_NO_DRIVER; + } + ++p; + + // 11 + // 012345678901 + // ssss:bb:dd.f + *f = (int)strtoul(p + 11, NULL, 16); + *(p + 10) = 0; + + *d = (int)strtoul(p + 8, NULL, 16); + *(p + 7) = 0; + + *b = (int)strtoul(p + 5, NULL, 16); + *(p + 4) = 0; + + *s = (int)strtoul(p, NULL, 16); + + return FPGA_OK; +} + +fpga_result sysfs_objectid_from_path(const char *sysfspath, uint64_t *object_id) +{ + char sdevpath[SYSFS_PATH_MAX] = { 0, }; + uint32_t major = 0; + uint32_t minor = 0; + fpga_result result; + + snprintf(sdevpath, SYSFS_PATH_MAX, + "%s/dev", sysfspath); + + result = sysfs_read_u32_pair(sdevpath, &major, &minor, ':'); + if (FPGA_OK != result) + return result; + + *object_id = ((major & 0xFFF) << 20) | (minor & 0xFFFFF); + + return FPGA_OK; +} + +ssize_t eintr_read(int fd, void *buf, size_t count) +{ + ssize_t bytes_read = 0, total_read = 0; + char *ptr = buf; + while (total_read < (ssize_t)count) { + bytes_read = read(fd, ptr + total_read, count - total_read); + + if (bytes_read < 0) { + if (errno == EINTR) { + continue; + } + return bytes_read; + } else if (bytes_read == 0) { + return lseek(fd, 0, SEEK_CUR); + } else { + total_read += bytes_read; + } + } + return total_read; +} + +ssize_t eintr_write(int fd, void *buf, size_t count) +{ + ssize_t bytes_written = 0, total_written = 0; + char *ptr = buf; + + if (!buf) { + return -1; + } + + while (total_written < (ssize_t)count) { + bytes_written = + write(fd, ptr + total_written, count - total_written); + if (bytes_written < 0) { + if (errno == EINTR) { + continue; + } + return bytes_written; + } + total_written += bytes_written; + } + return total_written; +} + +fpga_result cat_token_sysfs_path(char *dest, fpga_token token, const char *path) +{ + struct _fpga_token *_token = (struct _fpga_token *)token; + + if (!dest) { + OPAE_ERR("destination str is NULL"); + return FPGA_EXCEPTION; + } + + if (!path) { + OPAE_ERR("path str is NULL"); + return FPGA_EXCEPTION; + } + + if (snprintf(dest, SYSFS_PATH_MAX, + "%s/%s", _token->sysfspath, path) < 0) { + OPAE_ERR("snprintf buffer overflow"); + return FPGA_EXCEPTION; + } + + return FPGA_OK; +} + + +fpga_result cat_sysfs_path(char *dest, const char *path) +{ + size_t len_dest; + size_t len_path; + + if (!dest || !path) { + OPAE_ERR("NULL pointer in name"); + return FPGA_INVALID_PARAM; + } + + len_dest = strnlen(dest, SYSFS_PATH_MAX); + len_path = strnlen(path, SYSFS_PATH_MAX); + + if (len_dest + len_path > SYSFS_PATH_MAX) { + OPAE_ERR("concat strings too long"); + return FPGA_EXCEPTION; + } + + strncat(dest, path, SYSFS_PATH_MAX); + + return FPGA_OK; +} + +fpga_result cat_handle_sysfs_path(char *dest, fpga_handle handle, + const char *path) +{ + struct _fpga_handle *_handle = (struct _fpga_handle *)(handle); + return cat_token_sysfs_path(dest, _handle->token, path); +} + +STATIC char *cstr_dup(const char *str) +{ + size_t s; + char *p; + + if (!str) { + OPAE_ERR("NULL param to cstr_dup"); + return NULL; + } + + s = strnlen(str, PATH_MAX - 1); + p = malloc(s+1); + if (!p) { + OPAE_ERR("malloc failed"); + return NULL; + } + + strncpy(p, str, s + 1); + p[s] = '\0'; + + return p; +} + +struct _fpga_object *alloc_fpga_object(const char *sysfspath, const char *name) +{ + struct _fpga_object *obj = calloc(1, sizeof(struct _fpga_object)); + if (obj) { + pthread_mutexattr_t mattr; + if (pthread_mutexattr_init(&mattr)) { + OPAE_ERR("pthread_mutexattr_init() failed"); + goto out_err; + } + if (pthread_mutexattr_settype(&mattr, + PTHREAD_MUTEX_RECURSIVE)) { + OPAE_ERR("pthread_mutexattr_settype() failed"); + pthread_mutexattr_destroy(&mattr); + goto out_err; + } + if (pthread_mutex_init(&obj->lock, &mattr)) { + OPAE_ERR("pthread_mutex_init() failed"); + pthread_mutexattr_destroy(&mattr); + goto out_err; + } + + pthread_mutexattr_destroy(&mattr); + obj->handle = NULL; + obj->path = cstr_dup(sysfspath); + obj->name = cstr_dup(name); + obj->perm = 0; + obj->size = 0; + obj->max_size = 0; + obj->buffer = NULL; + obj->objects = NULL; + } + return obj; +out_err: + if (obj) { + free(obj); + obj = NULL; + } + return obj; +} + +fpga_result destroy_fpga_object(struct _fpga_object *obj) +{ + fpga_result res = FPGA_OK; + FREE_IF(obj->path); + FREE_IF(obj->name); + FREE_IF(obj->buffer); + while (obj->size && obj->objects) { + res = destroy_fpga_object( + (struct _fpga_object *)obj->objects[--obj->size]); + if (res) { + OPAE_ERR("Error freeing subobject"); + return res; + } + } + FREE_IF(obj->objects); + + if (pthread_mutex_unlock(&obj->lock)) { + OPAE_MSG("pthread_mutex_unlock() failed"); + } + + if (pthread_mutex_destroy(&obj->lock)) { + OPAE_ERR("Error destroying mutex"); + res = FPGA_EXCEPTION; + } + free(obj); + return res; +} + +fpga_result opae_glob_path(char *path, size_t len) +{ + fpga_result res = FPGA_OK; + glob_t pglob; + pglob.gl_pathc = 0; + pglob.gl_pathv = NULL; + int globres = glob(path, 0, NULL, &pglob); + if (!globres) { + if (pglob.gl_pathc > 1) { + OPAE_MSG("Ambiguous object key - using first one"); + } + memcpy(path, pglob.gl_pathv[0], len); + path[len] = '\0'; + globfree(&pglob); + } else { + switch (globres) { + case GLOB_NOSPACE: + res = FPGA_NO_MEMORY; + break; + case GLOB_NOMATCH: + res = FPGA_NOT_FOUND; + break; + default: + res = FPGA_EXCEPTION; + } + if (pglob.gl_pathv) { + globfree(&pglob); + } + } + return res; +} + + +fpga_result opae_glob_paths(const char *path, size_t found_max, char *found[], + size_t *num_found) +{ + fpga_result res = FPGA_OK; + glob_t pglob; + pglob.gl_pathc = 0; + pglob.gl_pathv = NULL; + int globres = glob(path, 0, NULL, &pglob); + size_t i = 0; + size_t to_copy = 0; + + if (!globres) { + *num_found = pglob.gl_pathc; + to_copy = *num_found < found_max ? *num_found : found_max; + while (found && i < to_copy) { + found[i] = cstr_dup(pglob.gl_pathv[i]); + if (!found[i]) { + // we had an error duplicating the string + // undo what we've duplicated so far + while (i) { + free(found[--i]); + found[i] = NULL; + } + OPAE_ERR("Could not copy globbed path"); + res = FPGA_EXCEPTION; + goto out_free; + } + i++; + } + + } else { + switch (globres) { + case GLOB_NOSPACE: + res = FPGA_NO_MEMORY; + break; + case GLOB_NOMATCH: + res = FPGA_NOT_FOUND; + break; + default: + res = FPGA_EXCEPTION; + } + } +out_free: + if (pglob.gl_pathv) { + globfree(&pglob); + } + return res; +} + +fpga_result sync_object(fpga_object obj) +{ + struct _fpga_object *_obj; + int fd = -1; + ssize_t bytes_read = 0; + ASSERT_NOT_NULL(obj); + _obj = (struct _fpga_object *)obj; + fd = open(_obj->path, _obj->perm); + if (fd < 0) { + OPAE_ERR("Error opening %s: %s", _obj->path, strerror(errno)); + return FPGA_EXCEPTION; + } + bytes_read = eintr_read(fd, _obj->buffer, _obj->max_size); + if (bytes_read < 0) { + close(fd); + return FPGA_EXCEPTION; + } + _obj->size = bytes_read; + close(fd); + return FPGA_OK; +} + +fpga_result make_sysfs_group(char *sysfspath, const char *name, + fpga_object *object, int flags, fpga_handle handle) +{ + struct dirent **namelist; + int n; + size_t pathlen = strlen(sysfspath); + char *ptr = NULL; + fpga_object subobj; + fpga_result res = FPGA_OK; + struct _fpga_object *group; + + if (flags & FPGA_OBJECT_GLOB) { + res = opae_glob_path(sysfspath, SYSFS_PATH_MAX - 1); + } + if (res != FPGA_OK) { + return res; + } + + n = scandir(sysfspath, &namelist, sysfs_filter, alphasort); + if (n < 0) { + OPAE_ERR("Error calling scandir: %s", strerror(errno)); + switch (errno) { + case ENOMEM: + return FPGA_NO_MEMORY; + case ENOENT: + return FPGA_NOT_FOUND; + } + return FPGA_EXCEPTION; + } + + if (n == 0) { + OPAE_ERR("Group is empty"); + return FPGA_EXCEPTION; + } + + group = alloc_fpga_object(sysfspath, name); + if (!group) { + res = FPGA_NO_MEMORY; + goto out_free_namelist; + } + + group->handle = handle; + group->type = FPGA_SYSFS_DIR; + if (flags & FPGA_OBJECT_RECURSE_ONE + || flags & FPGA_OBJECT_RECURSE_ALL) { + ptr = sysfspath + pathlen; + *ptr++ = '/'; + group->objects = calloc(n, sizeof(fpga_object)); + if (!group->objects) { + res = FPGA_NO_MEMORY; + goto out_free_group; + } + group->size = 0; + while (n--) { + strncpy(ptr, namelist[n]->d_name, + SYSFS_PATH_MAX - pathlen + 1); + if (flags & FPGA_OBJECT_RECURSE_ONE) { + flags &= ~FPGA_OBJECT_RECURSE_ONE; + } + if (!make_sysfs_object( + sysfspath, namelist[n]->d_name, + &subobj, flags, handle)) { + group->objects[group->size++] = subobj; + } + free(namelist[n]); + } + free(namelist); + } else { + while (n--) { + free(namelist[n]); + } + free(namelist); + } + + *object = (fpga_object)group; + return FPGA_OK; + +out_free_group: + if (destroy_fpga_object(group)) { + OPAE_ERR("Error destroying object"); + } + +out_free_namelist: + while (n--) + free(namelist[n]); + free(namelist); + + return res; +} + + +fpga_result make_sysfs_array(char *sysfspath, const char *name, + fpga_object *object, int flags, fpga_handle handle, + char *objects[], size_t num_objects) +{ + fpga_result res = FPGA_OK; + size_t i = 0; + struct _fpga_object *array = alloc_fpga_object(sysfspath, name); + char *oname = NULL; + if (!array) { + OPAE_ERR( + "Error allocating memory for container of fpga_objects"); + return FPGA_NO_MEMORY; + } + array->objects = calloc(num_objects, sizeof(fpga_object)); + if (!array->objects) { + OPAE_ERR("Error allocating memory for array of fpga_objects"); + destroy_fpga_object(array); + return FPGA_NO_MEMORY; + } + + array->handle = handle; + array->type = FPGA_SYSFS_LIST; + array->size = num_objects; + for (i = 0; i < num_objects; ++i) { + oname = strrchr(objects[i], '/'); + if (!oname) { + OPAE_ERR("Error with sysfs path: %s", objects[i]); + res = FPGA_EXCEPTION; + goto out_err; + } + res = make_sysfs_object(objects[i], oname+1, &array->objects[i], + flags & ~FPGA_OBJECT_GLOB, handle); + if (res) { + goto out_err; + } + } + *object = (fpga_object)array; + return res; +out_err: + if (destroy_fpga_object(array)) { + OPAE_ERR("Error destroying object"); + } + return res; +} + + +#define MAX_SYSOBJECT_GLOB 128 +fpga_result make_sysfs_object(char *sysfspath, const char *name, + fpga_object *object, int flags, + fpga_handle handle) +{ + uint64_t pg_size = (uint64_t)sysconf(_SC_PAGE_SIZE); + struct _fpga_object *obj = NULL; + struct stat objstat; + int statres; + fpga_result res = FPGA_OK; + char *object_paths[MAX_SYSOBJECT_GLOB] = { NULL }; + size_t found = 0; + size_t len; + + if (flags & FPGA_OBJECT_GLOB) { + res = opae_glob_paths(sysfspath, MAX_SYSOBJECT_GLOB, + object_paths, &found); + if (res) { + return res; + } + if (found == 1) { + len = strnlen(object_paths[0], SYSFS_PATH_MAX - 1); + memcpy(sysfspath, object_paths[0], len); + sysfspath[len] = '\0'; + res = make_sysfs_object(sysfspath, name, object, + flags & ~FPGA_OBJECT_GLOB, + handle); + } else { + res = make_sysfs_array(sysfspath, name, object, flags, + handle, object_paths, found); + } + // opae_glob_paths allocates memory for each path found + // let's free it here since we don't need it any longer + while (found) { + free(object_paths[--found]); + } + return res; + } + + statres = stat(sysfspath, &objstat); + if (statres < 0) { + OPAE_MSG("Error accessing %s: %s", sysfspath, strerror(errno)); + switch (errno) { + case ENOENT: + res = FPGA_NOT_FOUND; + goto out_free; + case ENOMEM: + res = FPGA_NO_MEMORY; + goto out_free; + case EACCES: + res = FPGA_NO_ACCESS; + goto out_free; + } + res = FPGA_EXCEPTION; + goto out_free; + } + + if (S_ISDIR(objstat.st_mode)) { + return make_sysfs_group(sysfspath, name, object, flags, handle); + } + obj = alloc_fpga_object(sysfspath, name); + if (!obj) { + return FPGA_NO_MEMORY; + } + obj->handle = handle; + obj->type = FPGA_SYSFS_FILE; + obj->buffer = calloc(pg_size, sizeof(uint8_t)); + obj->max_size = pg_size; + if (handle && (objstat.st_mode & (S_IWUSR | S_IWGRP | S_IWOTH))) { + if ((objstat.st_mode & (S_IRUSR | S_IRGRP | S_IROTH))) { + obj->perm = O_RDWR; + } else { + obj->perm = O_WRONLY; + } + } else { + obj->perm = O_RDONLY; + } + *object = (fpga_object)obj; + if (obj->perm == O_RDONLY || obj->perm == O_RDWR) { + return sync_object((fpga_object)obj); + } + + return FPGA_OK; +out_free: + + + free(obj); + return res; +} diff --git a/opae-libs/plugins/xfpga/sysfs_int.h b/opae-libs/plugins/xfpga/sysfs_int.h new file mode 100644 index 0000000..0ce2f2a --- /dev/null +++ b/opae-libs/plugins/xfpga/sysfs_int.h @@ -0,0 +1,154 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifndef __FPGA_SYSFS_INT_H__ +#define __FPGA_SYSFS_INT_H__ + +#include +#include +#include + +#include "types_int.h" + +#define SYSFS_PATH_MAX 256 + +#define FPGA_SYSFS_CLASS_PATH_INTEL "/sys/class/fpga" +#define FPGA_SYSFS_CLASS_PATH_DFL "/sys/class/fpga_region" + + +#ifdef __cplusplus +extern "C" { +#endif + + +struct _sysfs_fpga_device; + +typedef struct _sysfs_fpga_region { + struct _sysfs_fpga_device *device; + char sysfs_path[SYSFS_PATH_MAX]; + char sysfs_name[SYSFS_PATH_MAX]; + fpga_objtype type; + int number; +} sysfs_fpga_region; + +#define SYSFS_MAX_RESOURCES 4 +typedef struct _sysfs_fpga_device { + char sysfs_path[SYSFS_PATH_MAX]; + char sysfs_name[SYSFS_PATH_MAX]; + int number; + sysfs_fpga_region *fme; + sysfs_fpga_region *port; + uint32_t segment; + uint8_t bus; + uint8_t device; + uint8_t function; + uint32_t device_id; + uint32_t vendor_id; +} sysfs_fpga_device; + +int sysfs_initialize(void); +int sysfs_finalize(void); +int sysfs_device_count(void); + +typedef fpga_result (*device_cb)(const sysfs_fpga_device *device, void *context); +fpga_result sysfs_foreach_device(device_cb cb, void *context); + +const sysfs_fpga_device *sysfs_get_device(size_t num); +int sysfs_parse_attribute64(const char *root, const char *attr_path, uint64_t *value); + +fpga_result sysfs_get_fme_pr_interface_id(const char *sysfs_res_path, fpga_guid guid); + +fpga_result sysfs_get_guid(fpga_token token, const char *sysfspath, fpga_guid guid); + +fpga_result sysfs_get_fme_path(const char *rpath, char *fpath); + +fpga_result sysfs_path_is_valid(const char *root, const char *attr_path); + +/** + * @brief Get BBS interface id + * + * @param handle + * @parm Interface id low pointer + * @parm Interface id high pointer + * + * @return + */ +fpga_result sysfs_get_interface_id(fpga_token token, fpga_guid guid); + +/* + * sysfs utility functions. + */ + +fpga_result opae_glob_path(char *path, size_t len); +fpga_result sysfs_sbdf_from_path(const char *sysfspath, int *s, int *b, int *d, int *f); +fpga_result sysfs_read_int(const char *path, int *i); +fpga_result sysfs_read_u32(const char *path, uint32_t *u); +fpga_result sysfs_read_u32_pair(const char *path, uint32_t *u1, uint32_t *u2, + char sep); +fpga_result sysfs_read_u64(const char *path, uint64_t *u); +fpga_result sysfs_write_u64(const char *path, uint64_t u); +fpga_result sysfs_read_guid(const char *path, fpga_guid guid); +fpga_result sysfs_get_socket_id(int dev, int subdev, uint8_t *socket_id); +fpga_result sysfs_get_afu_id(int dev, int subdev, fpga_guid guid); +fpga_result sysfs_get_pr_id(int dev, int subdev, fpga_guid guid); +fpga_result sysfs_get_slots(int dev, int subdev, uint32_t *slots); +fpga_result sysfs_get_bitstream_id(int dev, int subdev, uint64_t *id); +fpga_result get_port_sysfs(fpga_handle handle, char *sysfs_port); +enum fpga_hw_type opae_id_to_hw_type(uint16_t vendor_id, uint16_t device_id); +fpga_result get_fpga_hw_type(fpga_handle handle, enum fpga_hw_type *hw_type); +fpga_result sysfs_deviceid_from_path(const char *sysfspath, + uint64_t *deviceid); +fpga_result sysfs_objectid_from_path(const char *sysfspath, + uint64_t *object_id); +ssize_t eintr_read(int fd, void *buf, size_t count); +ssize_t eintr_write(int fd, void *buf, size_t count); +fpga_result cat_token_sysfs_path(char *dest, fpga_token token, + const char *path); +fpga_result cat_sysfs_path(char *dest, const char *path); +fpga_result cat_handle_sysfs_path(char *dest, fpga_handle handle, + const char *path); +struct _fpga_object *alloc_fpga_object(const char *sysfspath, const char *name); +fpga_result destroy_fpga_object(struct _fpga_object *obj); +fpga_result sync_object(fpga_object object); +fpga_result make_sysfs_group(char *sysfspath, const char *name, + fpga_object *object, int flags, fpga_handle handle); +fpga_result make_sysfs_object(char *sysfspath, const char *name, + fpga_object *object, int flags, fpga_handle handle); + +fpga_result sysfs_write_u64_decimal(const char *path, uint64_t u); + +fpga_result sysfs_get_port_error_path(fpga_handle handle, char *sysfs_port_error); +fpga_result sysfs_get_port_error_clear_path(fpga_handle handle, char *sysfs_port_error_clear); +fpga_result sysfs_get_fme_pwr_path(fpga_token token, char *sysfs_pwr); +fpga_result sysfs_get_fme_temp_path(fpga_token token, char *sysfs_temp); +fpga_result sysfs_get_fme_perf_path(fpga_token token, char *sysfs_perf); +fpga_result sysfs_get_bmc_path(fpga_token token, char *sysfs_bmc); +fpga_result sysfs_get_max10_path(fpga_token token, char *sysfs_max10); +fpga_result check_sysfs_path_is_valid(const char *sysfs_path); +#ifdef __cplusplus +} +#endif +#endif // ___FPGA_SYSFS_INT_H__ diff --git a/opae-libs/plugins/xfpga/sysobject.c b/opae-libs/plugins/xfpga/sysobject.c new file mode 100644 index 0000000..fd9a86f --- /dev/null +++ b/opae-libs/plugins/xfpga/sysobject.c @@ -0,0 +1,393 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include +#include +#include +#include + +#include "common_int.h" +#include "sysfs_int.h" +#include "types_int.h" +#include +#include +#include + + +#define VALIDATE_NAME(_N) \ + do { \ + if (_N[0] == '.' || _N[0] == '/' || strstr(_N, "..")) { \ + OPAE_MSG("%s is not a valid input", _N); \ + return FPGA_INVALID_PARAM; \ + } \ + } while (false); + +fpga_result __XFPGA_API__ xfpga_fpgaTokenGetObject(fpga_token token, const char *name, + fpga_object *object, int flags) +{ + char objpath[SYSFS_PATH_MAX]; + fpga_result res = FPGA_EXCEPTION; + + ASSERT_NOT_NULL(token); + ASSERT_NOT_NULL(name); + VALIDATE_NAME(name); + res = cat_token_sysfs_path(objpath, token, name); + if (res) { + return res; + } + + return make_sysfs_object(objpath, name, object, flags, NULL); +} + +fpga_result __XFPGA_API__ +xfpga_fpgaHandleGetObject(fpga_token handle, const char *name, + fpga_object *object, int flags) +{ + char objpath[SYSFS_PATH_MAX]; + fpga_result res = FPGA_EXCEPTION; + + ASSERT_NOT_NULL(handle); + ASSERT_NOT_NULL(name); + VALIDATE_NAME(name); + res = cat_handle_sysfs_path(objpath, handle, name); + if (res) { + return res; + } + + return make_sysfs_object(objpath, name, object, flags, handle); +} + +fpga_result __XFPGA_API__ +xfpga_fpgaObjectGetObject(fpga_object parent, const char *name, + fpga_object *object, int flags) +{ + char objpath[PATH_MAX] = { 0, }; + fpga_result res = FPGA_EXCEPTION; + ASSERT_NOT_NULL(parent); + ASSERT_NOT_NULL(name); + ASSERT_NOT_NULL(object); + VALIDATE_NAME(name); + struct _fpga_object *_obj = (struct _fpga_object *)parent; + if (_obj->type == FPGA_SYSFS_FILE) { + return FPGA_INVALID_PARAM; + } + res = cat_sysfs_path(objpath, _obj->path); + if (res) { + return res; + } + + res = cat_sysfs_path(objpath, "/"); + if (res) { + return res; + } + + res = cat_sysfs_path(objpath, name); + if (res) { + return res; + } + + return make_sysfs_object(objpath, name, object, flags, _obj->handle); +} + +fpga_result __XFPGA_API__ +xfpga_fpgaCloneObject(fpga_object src, fpga_object *dst) +{ + size_t i = 0; + fpga_result res = FPGA_OK; + ASSERT_NOT_NULL(src); + ASSERT_NOT_NULL(dst); + struct _fpga_object *_src = (struct _fpga_object *)src; + struct _fpga_object *_dst = alloc_fpga_object(_src->path, _src->name); + if (!_dst) { + return FPGA_NO_MEMORY; + } + _dst->handle = _src->handle; + _dst->perm = _src->perm; + _dst->size = _src->size; + _dst->type = _src->type; + _dst->max_size = _src->max_size; + if (_src->type == FPGA_SYSFS_FILE) { + _dst->buffer = calloc(_dst->max_size, sizeof(uint8_t)); + memcpy(_dst->buffer, _src->buffer, _src->max_size); + } else { + _dst->buffer = NULL; + _dst->objects = calloc(_src->size, sizeof(fpga_object)); + if (!_dst->objects) { + res = FPGA_NO_MEMORY; + goto out_err; + } + for (i = 0; i < _src->size; ++i) { + res = xfpga_fpgaCloneObject(_src->objects[i], + &_dst->objects[i]); + if (res) { + _dst->size = i; + goto out_err; + } + } + } + *dst = _dst; + return res; +out_err: + destroy_fpga_object(_dst); + *dst = NULL; + return res; +} + +fpga_result __XFPGA_API__ xfpga_fpgaObjectGetObjectAt(fpga_object parent, + size_t idx, + fpga_object *object) +{ + fpga_result res = FPGA_OK; + ASSERT_NOT_NULL(parent); + ASSERT_NOT_NULL(object); + struct _fpga_object *_obj = (struct _fpga_object *)parent; + if (pthread_mutex_lock(&_obj->lock)) { + OPAE_ERR("pthread_mutex_lock() failed"); + return FPGA_EXCEPTION; + } + + if (_obj->type == FPGA_SYSFS_FILE) { + pthread_mutex_unlock(&_obj->lock); + return FPGA_INVALID_PARAM; + } + if (idx >= _obj->size) { + pthread_mutex_unlock(&_obj->lock); + return FPGA_INVALID_PARAM; + } + res = xfpga_fpgaCloneObject(_obj->objects[idx], object); + if (pthread_mutex_unlock(&_obj->lock)) { + OPAE_ERR("pthread_mutex_unlock() failed"); + } + return res; +} + +fpga_result __XFPGA_API__ xfpga_fpgaDestroyObject(fpga_object *obj) +{ + fpga_result res = FPGA_OK; + if (NULL == obj || NULL == *obj) { + OPAE_MSG("Invalid object pointer"); + return FPGA_INVALID_PARAM; + } + struct _fpga_object *_obj = (struct _fpga_object *)*obj; + if (pthread_mutex_lock(&_obj->lock)) { + OPAE_ERR("pthread_mutex_lock() failed"); + } + + res = destroy_fpga_object(_obj); + *obj = NULL; + return res; +} + +fpga_result __XFPGA_API__ xfpga_fpgaObjectGetSize(fpga_object obj, + uint32_t *size, + int flags) +{ + struct _fpga_object *_obj = (struct _fpga_object *)obj; + fpga_result res = FPGA_OK; + ASSERT_NOT_NULL(obj); + ASSERT_NOT_NULL(size); + if (flags & FPGA_OBJECT_SYNC && _obj->type == FPGA_SYSFS_FILE) { + res = sync_object(obj); + if (res) { + return res; + } + } + *size = _obj->size; + return res; +} + +fpga_result __XFPGA_API__ xfpga_fpgaObjectRead64(fpga_object obj, + uint64_t *value, + int flags) +{ + struct _fpga_object *_obj = (struct _fpga_object *)obj; + fpga_result res = FPGA_OK; + if (_obj->type != FPGA_SYSFS_FILE) { + return FPGA_INVALID_PARAM; + } + if (flags & FPGA_OBJECT_SYNC) { + res = sync_object(obj); + } + if (res) { + return res; + } + if (flags & FPGA_OBJECT_RAW) { + *value = *(uint64_t *)_obj->buffer; + } else { + *value = strtoull((char *)_obj->buffer, NULL, 0); + } + return FPGA_OK; +} + +fpga_result __XFPGA_API__ xfpga_fpgaObjectRead(fpga_object obj, + uint8_t *buffer, + size_t offset, + size_t len, + int flags) +{ + struct _fpga_object *_obj = (struct _fpga_object *)obj; + fpga_result res = FPGA_OK; + ASSERT_NOT_NULL(obj); + ASSERT_NOT_NULL(buffer); + if (_obj->type != FPGA_SYSFS_FILE) { + return FPGA_INVALID_PARAM; + } + if (offset + len > _obj->size) { + return FPGA_INVALID_PARAM; + } + + if (flags & FPGA_OBJECT_SYNC) { + res = sync_object(obj); + if (res) { + return res; + } + } + if (offset + len > _obj->size) { + OPAE_ERR("Bytes requested exceed object size"); + return FPGA_INVALID_PARAM; + } + memcpy(buffer, _obj->buffer + offset, len); + + return FPGA_OK; +} + +fpga_result __XFPGA_API__ xfpga_fpgaObjectWrite64(fpga_object obj, + uint64_t value, + int flags) +{ + struct _fpga_object *_obj = (struct _fpga_object *)obj; + size_t bytes_written = 0; + int fd = -1; + fpga_result res = FPGA_OK; + int err; + ASSERT_NOT_NULL(obj); + ASSERT_NOT_NULL(_obj->handle); + if (_obj->type != FPGA_SYSFS_FILE) { + return FPGA_INVALID_PARAM; + } + res = handle_check_and_lock(_obj->handle); + if (res != FPGA_OK) { + return res; + } + if (_obj->max_size) { + memset(_obj->buffer, 0, _obj->max_size); + } + if (flags & FPGA_OBJECT_RAW) { + _obj->size = sizeof(uint64_t); + *(uint64_t *)_obj->buffer = value; + } else { + snprintf((char *)_obj->buffer, _obj->max_size, "0x%" PRIx64, + value); + _obj->size = (size_t)strlen((const char *)_obj->buffer); + } + fd = open(_obj->path, _obj->perm); + if (fd < 0) { + OPAE_ERR("Error opening %s: %s", _obj->path, strerror(errno)); + res = FPGA_EXCEPTION; + goto out_unlock; + } + lseek(fd, 0, SEEK_SET); + bytes_written = eintr_write(fd, _obj->buffer, _obj->size); + if (bytes_written != _obj->size) { + OPAE_ERR("Did not write 64-bit value: %s", strerror(errno)); + res = FPGA_EXCEPTION; + } +out_unlock: + if (fd >= 0) + close(fd); + err = pthread_mutex_unlock( + &((struct _fpga_handle *)_obj->handle)->lock); + if (err) { + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(errno)); + res = FPGA_EXCEPTION; + } + return res; +} + +fpga_result __XFPGA_API__ xfpga_fpgaObjectGetType(fpga_object obj, + enum fpga_sysobject_type *type) +{ + fpga_result res = FPGA_OK; + struct _fpga_object *_obj = (struct _fpga_object *)obj; + ASSERT_NOT_NULL(obj); + ASSERT_NOT_NULL(type); + if (pthread_mutex_lock(&_obj->lock)) { + OPAE_ERR("pthread_mutex_lock() failed"); + return FPGA_EXCEPTION; + } + + switch (_obj->type) { + case FPGA_SYSFS_DIR: + case FPGA_SYSFS_LIST: + *type = FPGA_OBJECT_CONTAINER; + break; + case FPGA_SYSFS_FILE: + *type = FPGA_OBJECT_ATTRIBUTE; + break; + default: + res = FPGA_INVALID_PARAM; + } + + if (pthread_mutex_unlock(&_obj->lock)) { + OPAE_ERR("pthread_mutex_unlock() failed"); + } + + return res; +} + +fpga_result __XFPGA_API__ xfpga_fpgaObjectGetName(fpga_object obj, char *name, + size_t max_len) +{ + fpga_result res = FPGA_OK; + struct _fpga_object *_obj = (struct _fpga_object *)obj; + size_t len; + + ASSERT_NOT_NULL(obj); + ASSERT_NOT_NULL(name); + + if (pthread_mutex_lock(&_obj->lock)) { + OPAE_ERR("pthread_mutex_lock() failed"); + return FPGA_EXCEPTION; + } + + if (!_obj->name) { + pthread_mutex_unlock(&_obj->lock); + return FPGA_INVALID_PARAM; + } + + len = strnlen(_obj->name, max_len - 1); + strncpy(name, _obj->name, len + 1); + + if (pthread_mutex_unlock(&_obj->lock)) { + OPAE_ERR("pthread_mutex_unlock() failed"); + } + + return res; +} diff --git a/opae-libs/plugins/xfpga/token_list.c b/opae-libs/plugins/xfpga/token_list.c new file mode 100644 index 0000000..65a0283 --- /dev/null +++ b/opae-libs/plugins/xfpga/token_list.c @@ -0,0 +1,272 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include +#include +#include +#include +#include +#include + +#include "error_int.h" + +#include "token_list_int.h" + +/* global list of tokens we've seen */ +static struct token_map *token_root; +/* mutex to protect global data structures */ +extern pthread_mutex_t global_lock; + +/** + * @brief Add entry to linked list for tokens + * Will allocate memory (which is freed by token_cleanup()) + * + * @param sysfspath + * @param devpath + * + * @return + */ +struct _fpga_token *token_add(const char *sysfspath, const char *devpath) +{ + struct token_map *tmp; + int err = 0; + uint32_t device_instance; + uint32_t subdev_instance; + char *endptr = NULL; + const char *ptr; + size_t len; + + /* get the device instance id */ + ptr = strchr(sysfspath, '.'); + if (ptr == NULL) { + OPAE_MSG("sysfspath does not meet expected format"); + return NULL; + } + + device_instance = strtoul(++ptr, &endptr, 10); + /* no digits in path */ + if (endptr == ptr) { + OPAE_MSG("sysfspath does not meet expected format"); + return NULL; + } + + /* get the sub-device (FME/Port) instance id */ + ptr = strrchr(sysfspath, '.'); + if (ptr == NULL) { + OPAE_MSG("sysfspath does not meet expected format"); + return NULL; + } + + subdev_instance = strtoul(++ptr, &endptr, 10); + /* no digits in path */ + if (endptr == ptr) { + OPAE_MSG("sysfspath does not meet expected format"); + return NULL; + } + + if (pthread_mutex_lock(&global_lock)) { + OPAE_MSG("Failed to lock global mutex"); + return NULL; + } + + /* Prevent duplicate entries. */ + for (tmp = token_root ; NULL != tmp ; tmp = tmp->next) { + if ((0 == strncmp(sysfspath, tmp->_token.sysfspath, + SYSFS_PATH_MAX)) && + (0 == strncmp(devpath, tmp->_token.devpath, + DEV_PATH_MAX))) { + err = pthread_mutex_unlock(&global_lock); + if (err) { + OPAE_ERR("pthread_mutex_unlock() failed: %S", strerror(err)); + } + return &tmp->_token; + } + } + + tmp = malloc(sizeof(struct token_map)); + if (!tmp) { + err = pthread_mutex_unlock(&global_lock); + if (err) { + OPAE_ERR("pthread_mutex_unlock() failed: %S", strerror(err)); + } + return NULL; + } + + + /* populate error list */ + tmp->_token.errors = NULL; + char errpath[SYSFS_PATH_MAX] = { 0, }; + + if (snprintf(errpath, sizeof(errpath), + "%s/errors", sysfspath) < 0) { + OPAE_ERR("snprintf buffer overflow"); + free(tmp); + if (pthread_mutex_unlock(&global_lock)) { + OPAE_ERR("pthread_mutex_unlock() failed: %S", strerror(err)); + } + return NULL; + } + + build_error_list(errpath, &tmp->_token.errors); + + /* mark data structure as valid */ + tmp->_token.magic = FPGA_TOKEN_MAGIC; + + /* assign the instances num from above */ + tmp->_token.device_instance = device_instance; + tmp->_token.subdev_instance = subdev_instance; + + /* deep copy token data */ + len = strnlen(sysfspath, SYSFS_PATH_MAX - 1); + memcpy(tmp->_token.sysfspath, sysfspath, len); + tmp->_token.sysfspath[len] = '\0'; + + len = strnlen(devpath, DEV_PATH_MAX - 1); + memcpy(tmp->_token.devpath, devpath, len); + tmp->_token.devpath[len] = '\0'; + + tmp->next = token_root; + token_root = tmp; + + err = pthread_mutex_unlock(&global_lock); + if (err) { + OPAE_ERR("pthread_mutex_unlock() failed: %S", strerror(err)); + } + + return &tmp->_token; +} + +/** + * @ brief Find the token that is the parent of _t + * + * @param _t + * + * @return parent of _t, or NULL if not found. + */ +struct _fpga_token *token_get_parent(struct _fpga_token *_t) +{ + char *p; + char spath[SYSFS_PATH_MAX] = { 0, }; + char rpath[PATH_MAX] = { 0, }; + struct token_map *itr; + int err = 0; + char *rptr = NULL; + fpga_result res = FPGA_OK; + + p = strstr(_t->sysfspath, FPGA_SYSFS_AFU); + if (!p) // FME objects have no parent. + return NULL; + + res = sysfs_get_fme_path(_t->sysfspath, spath); + if (res) { + OPAE_ERR("Could not find fme path for token: %s", + _t->sysfspath); + return NULL; + } + + if (pthread_mutex_lock(&global_lock)) { + OPAE_MSG("Failed to lock global mutex"); + return NULL; + } + + for (itr = token_root ; NULL != itr ; itr = itr->next) { + rptr = realpath(itr->_token.sysfspath, rpath); + if (rptr && !strncmp(spath, rptr, SYSFS_PATH_MAX)) { + err = pthread_mutex_unlock(&global_lock); + if (err) { + OPAE_ERR("pthread_mutex_unlock() failed: %S", strerror(err)); + } + return &itr->_token; + } + } + + err = pthread_mutex_unlock(&global_lock); + if (err) { + OPAE_ERR("pthread_mutex_unlock() failed: %S", strerror(err)); + } + + return NULL; +} + +/* + * Clean up remaining entries in linked list + * Will delete all remaining entries + */ +void token_cleanup(void) +{ + int err = 0; + struct error_list *p; + + err = pthread_mutex_lock(&global_lock); + if (err) { + OPAE_ERR("pthread_mutex_lock() failed: %s", strerror(err)); + return; + } + + if (!token_root) + goto out_unlock; + + while (token_root->next) { + struct token_map *tmp = token_root; + token_root = token_root->next; + + // free error list + p = tmp->_token.errors; + while (p) { + struct error_list *q = p->next; + free(p); + p = q; + } + + // invalidate magic (just in case) + tmp->_token.magic = FPGA_INVALID_MAGIC; + free(tmp); + } + + // free error list + p = token_root->_token.errors; + while (p) { + struct error_list *q = p->next; + free(p); + p = q; + } + + // invalidate magic (just in case) + token_root->_token.magic = FPGA_INVALID_MAGIC; + free(token_root); + + token_root = NULL; + +out_unlock: + err = pthread_mutex_unlock(&global_lock); + if (err) { + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + } +} diff --git a/opae-libs/plugins/xfpga/token_list_int.h b/opae-libs/plugins/xfpga/token_list_int.h new file mode 100644 index 0000000..9a87824 --- /dev/null +++ b/opae-libs/plugins/xfpga/token_list_int.h @@ -0,0 +1,40 @@ +// Copyright(c) 2017, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifndef __FPGA_TOKEN_LIST_INT_H__ +#define __FPGA_TOKEN_LIST_INT_H__ + +#include +#include "types_int.h" + +/* + * token list structure manipulation functions + */ +struct _fpga_token *token_add(const char *sysfspath, const char *devpath); +struct _fpga_token *token_get_parent(struct _fpga_token *t); +void token_cleanup(void); + +#endif // ___FPGA_TOKEN_LIST_INT_H__ diff --git a/opae-libs/plugins/xfpga/types_int.h b/opae-libs/plugins/xfpga/types_int.h new file mode 100644 index 0000000..b0e9b19 --- /dev/null +++ b/opae-libs/plugins/xfpga/types_int.h @@ -0,0 +1,246 @@ +// Copyright(c) 2017-2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +/** + * \file types_int.h + * \brief Internal type definitions for FPGA API + */ + +#ifndef __FPGA_TYPES_INT_H__ +#define __FPGA_TYPES_INT_H__ + +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include "metrics/vector.h" + +#define SYSFS_PATH_MAX 256 +#define SYSFS_FPGA_CLASS_PATH "/sys/class/fpga" +#define FPGA_DEV_PATH "/dev" + +#define SYSFS_AFU_PATH_FMT "/intel-fpga-dev.%d/intel-fpga-port.%d" +#define SYSFS_FME_PATH_FMT "/intel-fpga-dev.%d/intel-fpga-fme.%d" + +// substring that identifies a sysfs directory as the FME device. +#define FPGA_SYSFS_FME "fme" +// substring that identifies a sysfs directory as the AFU device. +#define FPGA_SYSFS_AFU "port" +// name of the FME interface ID (GUID) sysfs node. +#define FPGA_SYSFS_FME_INTERFACE_ID "pr/interface_id" +// name of the AFU GUID sysfs node. +#define FPGA_SYSFS_AFU_GUID "afu_id" +// name of the socket id sysfs node. +#define FPGA_SYSFS_SOCKET_ID "socket_id" +// name of the number of slots sysfs node. +#define FPGA_SYSFS_NUM_SLOTS "ports_num" +// name of the bitstream id sysfs node. +#define FPGA_SYSFS_BITSTREAM_ID "bitstream_id" + +// fpga device path +#define SYSFS_FPGA_FMT "/intel-fpga-dev.%d" + +// FPGA device id +#define FPGA_SYSFS_DEVICEID "device/device" + +// FME path +#define SYSFS_FME_PATH "*%d/*-fme.%d" + +#define FPGA_BBS_VER_MAJOR(i) (((i) >> 56) & 0xf) +#define FPGA_BBS_VER_MINOR(i) (((i) >> 52) & 0xf) +#define FPGA_BBS_VER_PATCH(i) (((i) >> 48) & 0xf) + +#define DEV_PATH_MAX 256 + +// FPGA token magic (FPGATOKN) +#define FPGA_TOKEN_MAGIC 0x46504741544f4b4e +// FPGA handle magic (FPGAHNDL) +#define FPGA_HANDLE_MAGIC 0x46504741484e444c +// FPGA property magic (FPGAPROP) +#define FPGA_PROPERTY_MAGIC 0x4650474150524f50 +// FPGA event handle magid (FPGAEVNT) +#define FPGA_EVENT_HANDLE_MAGIC 0x4650474145564e54 +// FPGA invalid magic (FPGAINVL) +#define FPGA_INVALID_MAGIC 0x46504741494e564c + +// Register/Unregister for interrupts +#define FPGA_IRQ_ASSIGN (1 << 0) +#define FPGA_IRQ_DEASSIGN (1 << 1) + +// Get file descriptor from event handle +#define FILE_DESCRIPTOR(eh) (((struct _fpga_event_handle *)eh)->fd) +#ifdef __cplusplus +extern "C" { +#endif +/** System-wide unique FPGA resource identifier */ +struct _fpga_token { + uint32_t device_instance; + uint32_t subdev_instance; + uint64_t magic; + char sysfspath[SYSFS_PATH_MAX]; + char devpath[DEV_PATH_MAX]; + struct error_list *errors; +}; + +enum fpga_hw_type { + FPGA_HW_MCP, + FPGA_HW_DCP_RC, + FPGA_HW_DCP_DC, + FPGA_HW_DCP_VC, + FPGA_HW_UNKNOWN +}; + +// FPGA enum metrics struct +struct _fpga_enum_metric { + + char group_name[FPGA_METRIC_STR_SIZE]; // Metrics Group name + char group_sysfs[FPGA_METRIC_STR_SIZE]; // Metrics Group sysfs path + + char metric_name[FPGA_METRIC_STR_SIZE]; // Metrics name + char metric_sysfs[FPGA_METRIC_STR_SIZE]; // Metrics sysfs path + + char qualifier_name[FPGA_METRIC_STR_SIZE]; // Metrics qualifier name + + char metric_units[FPGA_METRIC_STR_SIZE]; // Metrics units + + uint64_t metric_num; // Metrics ID + + enum fpga_metric_datatype metric_datatype; // Metrics datatype + + enum fpga_metric_type metric_type; // Metric type + + enum fpga_hw_type hw_type; // Hardware type + + uint64_t mmio_offset; // AFU Metric BBS mmio offset + +}; + + +struct _fpga_bmc_metric { + + char group_name[FPGA_METRIC_STR_SIZE]; // Metrics Group name + char metric_name[FPGA_METRIC_STR_SIZE]; // Metrics name + struct fpga_metric fpga_metric; // Metric value +}; + +/** Process-wide unique FPGA handle */ +struct _fpga_handle { + pthread_mutex_t lock; + uint64_t magic; + fpga_token token; + + int fddev; // file descriptor for the device. + int fdfpgad; // file descriptor for the event daemon. + struct wsid_tracker *wsid_root; // wsid information (list) + struct wsid_tracker *mmio_root; // MMIO information (list) + void *umsg_virt; // umsg Virtual Memory pointer + uint64_t umsg_size; // umsg Virtual Memory Size + uint64_t *umsg_iova; // umsg IOVA from driver + + // Metric + bool metric_enum_status; // metric enum status + fpga_metric_vector fpga_enum_metric_vector; // metric enum vector + void *bmc_handle; // bmc module handle + struct _fpga_bmc_metric *_bmc_metric_cache_value; // bmc cache values + uint64_t num_bmc_metric; // num of bmc values +#define OPAE_FLAG_HAS_MMX512 (1u << 0) + uint32_t flags; +}; + +/* + * Event handle struct to perform + * event operations + * + */ +struct _fpga_event_handle { + pthread_mutex_t lock; + uint64_t magic; + int fd; + uint32_t flags; +}; + +/* + * Global list to store wsid/physptr/length vectors + */ +struct wsid_map { + uint64_t wsid; + uint64_t addr; + uint64_t phys; + uint64_t len; + uint64_t offset; + uint32_t index; + int flags; + struct wsid_map *next; +}; + +/* + * Hash table to store wsid_maps + */ +struct wsid_tracker { + uint64_t n_hash_buckets; + struct wsid_map **table; +}; + +/* + * Global list to store tokens received during enumeration + * Since tokens as seen by the API are only void*, we need to keep the actual + * structs somewhere. + */ +struct token_map { + struct _fpga_token _token; + struct token_map *next; +}; + +typedef enum { + FPGA_SYSFS_DIR = 0, + FPGA_SYSFS_LIST, + FPGA_SYSFS_FILE +} fpga_sysfs_type; + +struct _fpga_object { + pthread_mutex_t lock; + fpga_handle handle; + fpga_sysfs_type type; + char *path; + char *name; + int perm; + size_t size; + size_t max_size; + uint8_t *buffer; + fpga_object *objects; +}; + +typedef char max_path_t[PATH_MAX]; + +#ifdef __cplusplus +} +#endif +#endif // __FPGA_TYPES_INT_H__ diff --git a/opae-libs/plugins/xfpga/umsg.c b/opae-libs/plugins/xfpga/umsg.c new file mode 100644 index 0000000..ce0408b --- /dev/null +++ b/opae-libs/plugins/xfpga/umsg.c @@ -0,0 +1,272 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include "opae/access.h" +#include "opae/utils.h" +#include "opae/umsg.h" +#include "common_int.h" +#include "opae_drv.h" +#include "intel-fpga.h" + +#include +#include +#include +#include +#include + + +// Get number of Umsgs +fpga_result __XFPGA_API__ +xfpga_fpgaGetNumUmsg(fpga_handle handle, uint64_t *value) +{ + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + fpga_result result = FPGA_OK; + int err = 0; + opae_port_info port_info = { 0 }; + + ASSERT_NOT_NULL(value); + result = handle_check_and_lock(_handle); + if (result) + return result; + + if (_handle->fddev < 0) { + OPAE_ERR("Invalid handle file descriptor"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + + result = opae_get_port_info(_handle->fddev, &port_info); + if (!result) { + *value = port_info.num_umsgs; + } + +out_unlock: + err = pthread_mutex_unlock(&_handle->lock); + if (err) + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + return result; +} + +// Set Umsg Attributes +fpga_result __XFPGA_API__ +xfpga_fpgaSetUmsgAttributes(fpga_handle handle, uint64_t value) +{ + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + fpga_result result = FPGA_OK; + int err = 0; + + result = handle_check_and_lock(_handle); + if (result) + return result; + + if (_handle->fddev < 0) { + OPAE_ERR("Invalid handle file descriptor"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + + result = opae_port_umsg_cfg(_handle->fddev, 0, value); + +out_unlock: + err = pthread_mutex_unlock(&_handle->lock); + if (err) + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + return result; +} + +// Gets Umsg address +fpga_result __XFPGA_API__ +xfpga_fpgaGetUmsgPtr(fpga_handle handle, uint64_t **umsg_ptr) +{ + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + opae_port_info port_info = { 0 }; + + fpga_result result = FPGA_OK; + uint64_t umsg_count = 0; + uint64_t umsg_size = 0; + int pagesize = 0; + void *umsg_virt = NULL; + int err = 0; + uint64_t io_addr = 0; + + ASSERT_NOT_NULL(umsg_ptr); + result = handle_check_and_lock(_handle); + if (result) + return result; + + if (_handle->fddev < 0) { + OPAE_ERR("Invalid handle file descriptor"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + if (_handle->umsg_iova != NULL) { + *umsg_ptr = _handle->umsg_virt; + goto out_unlock; + } + + // Page size + pagesize = sysconf(_SC_PAGESIZE); + + // get umsg count + result = opae_get_port_info(_handle->fddev, &port_info); + if (result != FPGA_OK) { + OPAE_MSG("Failed to get UMSG count"); + goto out_unlock; + } + umsg_count = port_info.num_umsgs; + umsg_size = (uint64_t)umsg_count * pagesize; + umsg_virt = alloc_buffer(umsg_size); + if (umsg_virt == NULL) { + OPAE_ERR("Failed to allocate memory"); + result = FPGA_NO_MEMORY; + goto out_unlock; + } + + // Map Umsg Buffer + result = opae_port_map(_handle->fddev, umsg_virt, umsg_size, 0, &io_addr); + if (result != 0) { + OPAE_ERR("Failed to map UMSG buffer"); + goto umsg_exit; + } + + // Set Umsg Address + result = opae_port_umsg_set_base_addr(_handle->fddev, 0, io_addr); + if (result != 0) { + OPAE_ERR("Failed to set UMSG base address"); + goto umsg_map_exit; + } + + result = opae_port_umsg_enable(_handle->fddev); + if (result != 0) { + OPAE_ERR("Failed to enable UMSG"); + goto umsg_map_exit; + } + + *umsg_ptr = (uint64_t *) umsg_virt; + _handle->umsg_iova = (uint64_t *)io_addr; + _handle->umsg_virt = umsg_virt; + _handle->umsg_size = umsg_size; + +out_unlock: + err = pthread_mutex_unlock(&_handle->lock); + if (err) + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + return result; + +umsg_map_exit: + result = opae_port_unmap(_handle->fddev, io_addr); + if (result) { + OPAE_MSG("Failed to unmap UMSG buffer"); + } + +umsg_exit: + if (umsg_virt != NULL) + free_buffer(umsg_virt, umsg_size); + + err = pthread_mutex_unlock(&_handle->lock); + if (err) + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + return result; +} + +fpga_result free_umsg_buffer(fpga_handle handle) +{ + fpga_result result = FPGA_OK; + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + int err = 0; + + + result = handle_check_and_lock(_handle); + if (result) + return result; + + if (_handle->umsg_virt != NULL) { + if (opae_port_umsg_disable(_handle->fddev)) { + OPAE_ERR("Failed to disable UMSG"); + } + + if (opae_port_umsg_set_base_addr(_handle->fddev, 0, 0)) { + OPAE_ERR("Failed to zero UMSG address"); + } + + if (opae_port_unmap(_handle->fddev, (uint64_t)_handle->umsg_iova)) { + OPAE_ERR("Failed to unmap UMSG Buffer"); + } + + free_buffer(_handle->umsg_virt, _handle->umsg_size); + + _handle->umsg_virt = NULL; + _handle->umsg_size = 0; + _handle->umsg_iova = NULL; + } + + err = pthread_mutex_unlock(&_handle->lock); + if (err) + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + return result; +} + +// Trigger umsg +fpga_result __XFPGA_API__ +xfpga_fpgaTriggerUmsg(fpga_handle handle, uint64_t value) +{ + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + fpga_result result = FPGA_OK; + uint64_t *umsg_ptr = NULL; + int err = 0; + + result = handle_check_and_lock(_handle); + if (result) + return result; + + if (_handle->fddev < 0) { + OPAE_ERR("Invalid handle file descriptor"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + result = xfpga_fpgaGetUmsgPtr(handle, &umsg_ptr); + if (result != FPGA_OK) { + OPAE_ERR("Failed to get UMsg buffer"); + goto out_unlock; + } + + // Assign Value to UMsg + *((volatile uint64_t *) (umsg_ptr)) = value; + +out_unlock: + err = pthread_mutex_unlock(&_handle->lock); + if (err) + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + return result; +} diff --git a/opae-libs/plugins/xfpga/userclk.c b/opae-libs/plugins/xfpga/userclk.c new file mode 100644 index 0000000..9abc6ca --- /dev/null +++ b/opae-libs/plugins/xfpga/userclk.c @@ -0,0 +1,148 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include "opae/access.h" +#include "opae/utils.h" +#include "opae/umsg.h" +#include "common_int.h" +#include "intel-fpga.h" + +#include "usrclk/user_clk_pgm_uclock.h" + +fpga_result __XFPGA_API__ xfpga_fpgaSetUserClock(fpga_handle handle, + uint64_t high_clk, + uint64_t low_clk, + int flags) +{ + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + fpga_result result = FPGA_OK; + int err = 0; + struct _fpga_token *_token; + char *p = 0; + + UNUSED_PARAM(flags); + + result = handle_check_and_lock(_handle); + if (result) + return result; + + if (_handle->fddev < 0) { + OPAE_ERR("Invalid handle file descriptor"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + _token = (struct _fpga_token *)_handle->token; + if (_token == NULL) { + OPAE_ERR("Token not found"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + p = strstr(_token->sysfspath, FPGA_SYSFS_AFU); + if (NULL == p) { + OPAE_ERR("Invalid sysfspath in token"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + p = strrchr(_token->sysfspath, '.'); + if (NULL == p) { + OPAE_ERR("Invalid sysfspath in token"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + result = set_userclock(_token->sysfspath, high_clk, low_clk); + if (result != FPGA_OK) { + OPAE_ERR("Failed to set user clock"); + } + +out_unlock: + err = pthread_mutex_unlock(&_handle->lock); + if (err) + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + return result; +} + +fpga_result __XFPGA_API__ xfpga_fpgaGetUserClock(fpga_handle handle, + uint64_t *high_clk, + uint64_t *low_clk, + int flags) +{ + struct _fpga_handle *_handle = (struct _fpga_handle *)handle; + fpga_result result = FPGA_OK; + int err = 0; + struct _fpga_token *_token; + char *p = 0; + + UNUSED_PARAM(flags); + + result = handle_check_and_lock(_handle); + if (result) + return result; + + if (_handle->fddev < 0) { + OPAE_ERR("Invalid handle file descriptor"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + _token = (struct _fpga_token *)_handle->token; + if (_token == NULL) { + OPAE_ERR("Token not found"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + p = strstr(_token->sysfspath, FPGA_SYSFS_AFU); + if (NULL == p) { + OPAE_ERR("Invalid sysfspath in token"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + p = strrchr(_token->sysfspath, '.'); + if (NULL == p) { + OPAE_ERR("Invalid sysfspath in token"); + result = FPGA_INVALID_PARAM; + goto out_unlock; + } + + result = get_userclock(_token->sysfspath, high_clk, low_clk); + if (result != FPGA_OK) { + OPAE_ERR("Failed to get user clock"); + } + +out_unlock: + err = pthread_mutex_unlock(&_handle->lock); + if (err) + OPAE_ERR("pthread_mutex_unlock() failed: %s", strerror(err)); + return result; +} diff --git a/opae-libs/plugins/xfpga/usrclk/user_clk_iopll_freq.h b/opae-libs/plugins/xfpga/usrclk/user_clk_iopll_freq.h new file mode 100644 index 0000000..8b638d3 --- /dev/null +++ b/opae-libs/plugins/xfpga/usrclk/user_clk_iopll_freq.h @@ -0,0 +1,663 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +//**************************************************************************** +// Russell.H.Weight@Intel.com Created: 09-04-18 +// Revision: 09-07-18 10:00 + +// The pll_freq_config[] array of iopll_config data structures contains +// the information required to program the IOPLL with the desired +// frequencies for the 1x and 2x output clocks. These data structures +// are indexed by the desired 1x frequency in MHz. For example, to request +// 100MHz for the 1x output (and 200MHz for the 2x output), one would use +// an index of 100. +// +// This table assumes a reference frequency of 100MHz and supports +// 1x output frequencies of 10 MHz to 600MHz. For the 2x output, the +// output frequency is clamped at 600MHz (i.e. the 2x output is muted +// when the requested 1x output exceeds 300MHz). +// +// For a requested frequency, The opae library will pass the entire +// data structure as a binary blob to the kernel driver through the +// sysfs interface. + +#define IOPLL_MAX_FREQ 600 +#define IOPLL_MIN_FREQ 10 + +struct iopll_config { + unsigned int pll_freq_khz; + unsigned int pll_m; + unsigned int pll_n; + unsigned int pll_c1; + unsigned int pll_c0; + unsigned int pll_lf; + unsigned int pll_cp; + unsigned int pll_rc; +}; + +// Reference frequency: 100MHz +const struct iopll_config iopll_freq_config[] = { + { 0 }, // Freq 0 not configured + { 0 }, // Freq 1 not configured + { 0 }, // Freq 2 not configured + { 0 }, // Freq 3 not configured + { 0 }, // Freq 4 not configured + { 0 }, // Freq 5 not configured + { 0 }, // Freq 6 not configured + { 0 }, // Freq 7 not configured + { 0 }, // Freq 8 not configured + { 0 }, // Freq 9 not configured + { 10000, 0x808, 0x10000, 0x5050, 0x2828, 0xc0, 0x4, 0x2 }, + { 11000, 0x22726, 0x20302, 0x4646, 0x2323, 0x180, 0x4, 0x2 }, + { 12000, 0x2727, 0x20302, 0x4141, 0x22120, 0x180, 0x4, 0x2 }, + { 13000, 0x2727, 0x20302, 0x3c3c, 0x1e1e, 0x180, 0x4, 0x2 }, + { 14000, 0x22726, 0x20302, 0x3737, 0x21c1b, 0x180, 0x4, 0x2 }, + { 15000, 0x2504f, 0x505, 0x3535, 0x21b1a, 0x180, 0x6, 0x2 }, + { 16000, 0x808, 0x10000, 0x3232, 0x1919, 0xc0, 0x4, 0x2 }, + { 17000, 0x24d4c, 0x505, 0x2d2d, 0x21716, 0x180, 0x6, 0x2 }, + { 18000, 0x2424, 0x20302, 0x2828, 0x1414, 0x180, 0x4, 0x2 }, + { 19000, 0x2626, 0x20302, 0x2828, 0x1414, 0x180, 0x4, 0x2 }, + { 20000, 0x808, 0x10000, 0x2828, 0x1414, 0xc0, 0x4, 0x2 }, + { 21000, 0x24a49, 0x505, 0x2323, 0x21211, 0x180, 0x6, 0x2 }, + { 22000, 0x22726, 0x20302, 0x2323, 0x21211, 0x180, 0x4, 0x2 }, + { 23000, 0x22322, 0x20302, 0x1e1e, 0xf0f, 0x180, 0x4, 0x2 }, + { 24000, 0x2424, 0x20302, 0x1e1e, 0xf0f, 0x180, 0x4, 0x2 }, + { 25000, 0x808, 0x10000, 0x2020, 0x1010, 0xc0, 0x4, 0x2 }, + { 26000, 0x2727, 0x20302, 0x1e1e, 0xf0f, 0x180, 0x4, 0x2 }, + { 27000, 0x20e0d, 0x101, 0x1919, 0x20d0c, 0x100, 0x4, 0x0 }, + { 28000, 0x707, 0x10000, 0x1919, 0x20d0c, 0xc0, 0x4, 0x0 }, + { 29000, 0x20f0e, 0x101, 0x1919, 0x20d0c, 0x100, 0x4, 0x0 }, + { 30000, 0x2727, 0x20302, 0x1a1a, 0xd0d, 0x180, 0x4, 0x2 }, + { 31000, 0x2100f, 0x101, 0x1919, 0x20d0c, 0x100, 0x4, 0x0 }, + { 32000, 0x808, 0x10000, 0x1919, 0x20d0c, 0xc0, 0x4, 0x2 }, + { 33000, 0x2121, 0x20302, 0x1414, 0xa0a, 0x180, 0x4, 0x2 }, + { 34000, 0x2222, 0x20302, 0x1414, 0xa0a, 0x180, 0x4, 0x2 }, + { 35000, 0x22726, 0x20302, 0x1616, 0xb0b, 0x180, 0x4, 0x2 }, + { 36000, 0x2424, 0x20302, 0x1414, 0xa0a, 0x180, 0x4, 0x2 }, + { 37000, 0x2525, 0x20302, 0x1414, 0xa0a, 0x180, 0x4, 0x2 }, + { 38000, 0x2626, 0x20302, 0x1414, 0xa0a, 0x180, 0x4, 0x2 }, + { 39000, 0x2727, 0x20302, 0x1414, 0xa0a, 0x180, 0x4, 0x2 }, + { 40000, 0x808, 0x10000, 0x1414, 0xa0a, 0xc0, 0x4, 0x2 }, + { 41000, 0x23e3d, 0x505, 0xf0f, 0x20807, 0x180, 0x4, 0x2 }, + { 42000, 0x2201f, 0x20302, 0xf0f, 0x20807, 0x140, 0x4, 0x2 }, + { 43000, 0x24140, 0x505, 0xf0f, 0x20807, 0x180, 0x6, 0x2 }, + { 44000, 0x2121, 0x20302, 0xf0f, 0x20807, 0x180, 0x4, 0x2 }, + { 45000, 0x24d4c, 0x505, 0x1111, 0x20908, 0x180, 0x6, 0x2 }, + { 46000, 0x22322, 0x20302, 0xf0f, 0x20807, 0x180, 0x4, 0x2 }, + { 47000, 0x24746, 0x505, 0xf0f, 0x20807, 0x180, 0x6, 0x2 }, + { 48000, 0x2424, 0x20302, 0xf0f, 0x20807, 0x180, 0x4, 0x2 }, + { 49000, 0x24a49, 0x505, 0xf0f, 0x20807, 0x180, 0x6, 0x2 }, + { 50000, 0x808, 0x10000, 0x1010, 0x808, 0xc0, 0x4, 0x2 }, + { 51000, 0x24d4c, 0x505, 0xf0f, 0x20807, 0x180, 0x6, 0x2 }, + { 52000, 0x2727, 0x20302, 0xf0f, 0x20807, 0x180, 0x4, 0x2 }, + { 53000, 0x2504f, 0x505, 0xf0f, 0x20807, 0x180, 0x6, 0x2 }, + { 54000, 0x1b1b, 0x20302, 0xa0a, 0x505, 0x140, 0x4, 0x2 }, + { 55000, 0x22726, 0x20302, 0xe0e, 0x707, 0x180, 0x4, 0x2 }, + { 56000, 0x1c1c, 0x20302, 0xa0a, 0x505, 0x140, 0x4, 0x2 }, + { 57000, 0x21d1c, 0x20302, 0xa0a, 0x505, 0x140, 0x4, 0x2 }, + { 58000, 0x1d1d, 0x20302, 0xa0a, 0x505, 0x140, 0x4, 0x2 }, + { 59000, 0x21e1d, 0x20302, 0xa0a, 0x505, 0x140, 0x4, 0x2 }, + { 60000, 0x2727, 0x20302, 0xd0d, 0x20706, 0x180, 0x4, 0x2 }, + { 61000, 0x21f1e, 0x20302, 0xa0a, 0x505, 0x140, 0x4, 0x2 }, + { 62000, 0x1f1f, 0x20302, 0xa0a, 0x505, 0x140, 0x4, 0x2 }, + { 63000, 0x2201f, 0x20302, 0xa0a, 0x505, 0x140, 0x4, 0x2 }, + { 64000, 0x2020, 0x20302, 0xa0a, 0x505, 0x140, 0x4, 0x2 }, + { 65000, 0x2727, 0x20302, 0xc0c, 0x606, 0x180, 0x4, 0x2 }, + { 66000, 0x2121, 0x20302, 0xa0a, 0x505, 0x180, 0x4, 0x2 }, + { 67000, 0x22221, 0x20302, 0xa0a, 0x505, 0x180, 0x4, 0x2 }, + { 68000, 0x2222, 0x20302, 0xa0a, 0x505, 0x180, 0x4, 0x2 }, + { 69000, 0x22322, 0x20302, 0xa0a, 0x505, 0x180, 0x4, 0x2 }, + { 70000, 0x22726, 0x20302, 0xb0b, 0x20605, 0x180, 0x4, 0x2 }, + { 71000, 0x22423, 0x20302, 0xa0a, 0x505, 0x180, 0x4, 0x2 }, + { 72000, 0x2424, 0x20302, 0xa0a, 0x505, 0x180, 0x4, 0x2 }, + { 73000, 0x22524, 0x20302, 0xa0a, 0x505, 0x180, 0x4, 0x2 }, + { 74000, 0x2525, 0x20302, 0xa0a, 0x505, 0x180, 0x4, 0x2 }, + { 75000, 0x20807, 0x10000, 0xa0a, 0x505, 0xc0, 0x4, 0x0 }, + { 76000, 0x2626, 0x20302, 0xa0a, 0x505, 0x180, 0x4, 0x2 }, + { 77000, 0x22726, 0x20302, 0xa0a, 0x505, 0x180, 0x4, 0x2 }, + { 78000, 0x2727, 0x20302, 0xa0a, 0x505, 0x180, 0x4, 0x2 }, + { 79000, 0x22827, 0x20302, 0xa0a, 0x505, 0x180, 0x4, 0x2 }, + { 80000, 0x808, 0x10000, 0xa0a, 0x505, 0xc0, 0x4, 0x2 }, + { 81000, 0x22928, 0x505, 0x505, 0x20302, 0x180, 0x4, 0x2 }, + { 82000, 0x21514, 0x20302, 0x505, 0x20302, 0x100, 0x4, 0x0 }, + { 83000, 0x22a29, 0x505, 0x505, 0x20302, 0x180, 0x4, 0x2 }, + { 84000, 0x1515, 0x20302, 0x505, 0x20302, 0x100, 0x4, 0x0 }, + { 85000, 0x24d4c, 0x505, 0x909, 0x20504, 0x180, 0x6, 0x2 }, + { 86000, 0x21615, 0x20302, 0x505, 0x20302, 0x100, 0x4, 0x0 }, + { 87000, 0x22c2b, 0x505, 0x505, 0x20302, 0x180, 0x4, 0x2 }, + { 88000, 0x1616, 0x20302, 0x505, 0x20302, 0x140, 0x4, 0x2 }, + { 89000, 0x22d2c, 0x505, 0x505, 0x20302, 0x180, 0x4, 0x2 }, + { 90000, 0x2424, 0x20302, 0x808, 0x404, 0x180, 0x4, 0x2 }, + { 91000, 0x22e2d, 0x505, 0x505, 0x20302, 0x180, 0x4, 0x2 }, + { 92000, 0x1717, 0x20302, 0x505, 0x20302, 0x140, 0x4, 0x2 }, + { 93000, 0x22f2e, 0x505, 0x505, 0x20302, 0x180, 0x4, 0x2 }, + { 94000, 0x21817, 0x20302, 0x505, 0x20302, 0x140, 0x4, 0x2 }, + { 95000, 0x2626, 0x20302, 0x808, 0x404, 0x180, 0x4, 0x2 }, + { 96000, 0x1818, 0x20302, 0x505, 0x20302, 0x140, 0x4, 0x2 }, + { 97000, 0x23130, 0x505, 0x505, 0x20302, 0x180, 0x4, 0x2 }, + { 98000, 0x21918, 0x20302, 0x505, 0x20302, 0x140, 0x4, 0x2 }, + { 99000, 0x23231, 0x505, 0x505, 0x20302, 0x180, 0x4, 0x2 }, + { 100000, 0x808, 0x10000, 0x808, 0x404, 0xc0, 0x4, 0x2 }, + { 101000, 0x23332, 0x505, 0x505, 0x20302, 0x180, 0x4, 0x2 }, + { 102000, 0x21a19, 0x20302, 0x505, 0x20302, 0x140, 0x4, 0x2 }, + { 103000, 0x23433, 0x505, 0x505, 0x20302, 0x180, 0x4, 0x2 }, + { 104000, 0x1a1a, 0x20302, 0x505, 0x20302, 0x140, 0x4, 0x2 }, + { 105000, 0x24a49, 0x505, 0x707, 0x20403, 0x180, 0x6, 0x2 }, + { 106000, 0x21b1a, 0x20302, 0x505, 0x20302, 0x140, 0x4, 0x2 }, + { 107000, 0x23635, 0x505, 0x505, 0x20302, 0x180, 0x4, 0x2 }, + { 108000, 0x1b1b, 0x20302, 0x505, 0x20302, 0x140, 0x4, 0x2 }, + { 109000, 0x23736, 0x505, 0x505, 0x20302, 0x180, 0x4, 0x2 }, + { 110000, 0x22726, 0x20302, 0x707, 0x20403, 0x180, 0x4, 0x2 }, + { 111000, 0x23837, 0x505, 0x505, 0x20302, 0x180, 0x4, 0x2 }, + { 112000, 0x1c1c, 0x20302, 0x505, 0x20302, 0x140, 0x4, 0x2 }, + { 113000, 0x23938, 0x505, 0x505, 0x20302, 0x180, 0x4, 0x2 }, + { 114000, 0x21d1c, 0x20302, 0x505, 0x20302, 0x140, 0x4, 0x2 }, + { 115000, 0x22322, 0x20302, 0x606, 0x303, 0x180, 0x4, 0x2 }, + { 116000, 0x1d1d, 0x20302, 0x505, 0x20302, 0x140, 0x4, 0x2 }, + { 117000, 0x23b3a, 0x505, 0x505, 0x20302, 0x180, 0x4, 0x2 }, + { 118000, 0x21e1d, 0x20302, 0x505, 0x20302, 0x140, 0x4, 0x2 }, + { 119000, 0x23c3b, 0x505, 0x505, 0x20302, 0x180, 0x4, 0x2 }, + { 120000, 0x2424, 0x20302, 0x606, 0x303, 0x180, 0x4, 0x2 }, + { 121000, 0x23d3c, 0x505, 0x505, 0x20302, 0x180, 0x4, 0x2 }, + { 122000, 0x21f1e, 0x20302, 0x505, 0x20302, 0x140, 0x4, 0x2 }, + { 123000, 0x23e3d, 0x505, 0x505, 0x20302, 0x180, 0x4, 0x2 }, + { 124000, 0x1f1f, 0x20302, 0x505, 0x20302, 0x140, 0x4, 0x2 }, + { 125000, 0x20807, 0x10000, 0x606, 0x303, 0xc0, 0x4, 0x0 }, + { 126000, 0x2201f, 0x20302, 0x505, 0x20302, 0x140, 0x4, 0x2 }, + { 127000, 0x2403f, 0x505, 0x505, 0x20302, 0x180, 0x6, 0x2 }, + { 128000, 0x2020, 0x20302, 0x505, 0x20302, 0x140, 0x4, 0x2 }, + { 129000, 0x24140, 0x505, 0x505, 0x20302, 0x180, 0x6, 0x2 }, + { 130000, 0x2727, 0x20302, 0x606, 0x303, 0x180, 0x4, 0x2 }, + { 131000, 0x24241, 0x505, 0x505, 0x20302, 0x180, 0x6, 0x2 }, + { 132000, 0x2121, 0x20302, 0x505, 0x20302, 0x180, 0x4, 0x2 }, + { 133000, 0x24342, 0x505, 0x505, 0x20302, 0x180, 0x6, 0x2 }, + { 134000, 0x22221, 0x20302, 0x505, 0x20302, 0x180, 0x4, 0x2 }, + { 135000, 0x20e0d, 0x101, 0x505, 0x20302, 0x100, 0x4, 0x0 }, + { 136000, 0x2222, 0x20302, 0x505, 0x20302, 0x180, 0x4, 0x2 }, + { 137000, 0x24544, 0x505, 0x505, 0x20302, 0x180, 0x6, 0x2 }, + { 138000, 0x22322, 0x20302, 0x505, 0x20302, 0x180, 0x4, 0x2 }, + { 139000, 0x24645, 0x505, 0x505, 0x20302, 0x180, 0x6, 0x2 }, + { 140000, 0x707, 0x10000, 0x505, 0x20302, 0xc0, 0x4, 0x0 }, + { 141000, 0x24746, 0x505, 0x505, 0x20302, 0x180, 0x6, 0x2 }, + { 142000, 0x22423, 0x20302, 0x505, 0x20302, 0x180, 0x4, 0x2 }, + { 143000, 0x24847, 0x505, 0x505, 0x20302, 0x180, 0x6, 0x2 }, + { 144000, 0x2424, 0x20302, 0x505, 0x20302, 0x180, 0x4, 0x2 }, + { 145000, 0x20f0e, 0x101, 0x505, 0x20302, 0x100, 0x4, 0x0 }, + { 146000, 0x22524, 0x20302, 0x505, 0x20302, 0x180, 0x4, 0x2 }, + { 147000, 0x24a49, 0x505, 0x505, 0x20302, 0x180, 0x6, 0x2 }, + { 148000, 0x2525, 0x20302, 0x505, 0x20302, 0x180, 0x4, 0x2 }, + { 149000, 0x24b4a, 0x505, 0x505, 0x20302, 0x180, 0x6, 0x2 }, + { 150000, 0x20807, 0x10000, 0x505, 0x20302, 0xc0, 0x4, 0x0 }, + { 151000, 0x24c4b, 0x505, 0x505, 0x20302, 0x180, 0x6, 0x2 }, + { 152000, 0x2626, 0x20302, 0x505, 0x20302, 0x180, 0x4, 0x2 }, + { 153000, 0x24d4c, 0x505, 0x505, 0x20302, 0x180, 0x6, 0x2 }, + { 154000, 0x22726, 0x20302, 0x505, 0x20302, 0x180, 0x4, 0x2 }, + { 155000, 0x2100f, 0x101, 0x505, 0x20302, 0x100, 0x4, 0x0 }, + { 156000, 0x2727, 0x20302, 0x505, 0x20302, 0x180, 0x4, 0x2 }, + { 157000, 0x24f4e, 0x505, 0x505, 0x20302, 0x180, 0x6, 0x2 }, + { 158000, 0x22827, 0x20302, 0x505, 0x20302, 0x180, 0x4, 0x2 }, + { 159000, 0x2504f, 0x505, 0x505, 0x20302, 0x180, 0x6, 0x2 }, + { 160000, 0x808, 0x10000, 0x505, 0x20302, 0xc0, 0x4, 0x2 }, + { 160937, 0x23433, 0x404, 0x404, 0x202, 0x180, 0x4, 0x2 }, + { 161904, 0x2222, 0x20403, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 162962, 0x2c2c, 0x20504, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 163888, 0x3b3b, 0x20504, 0x404, 0x202, 0x180, 0x4, 0x2 }, + { 165000, 0x2121, 0x20302, 0x404, 0x202, 0x180, 0x4, 0x2 }, + { 165625, 0x21b1a, 0x202, 0x404, 0x202, 0x140, 0x4, 0x2 }, + { 166666, 0x1414, 0x20201, 0x404, 0x202, 0x100, 0x4, 0x0 }, + { 167857, 0x2f2f, 0x20403, 0x404, 0x202, 0x180, 0x4, 0x2 }, + { 168750, 0x20e0d, 0x101, 0x404, 0x202, 0x100, 0x4, 0x0 }, + { 170000, 0x2222, 0x20302, 0x404, 0x202, 0x180, 0x4, 0x2 }, + { 170833, 0x21514, 0x20201, 0x404, 0x202, 0x100, 0x4, 0x0 }, + { 171875, 0x21c1b, 0x202, 0x404, 0x202, 0x140, 0x4, 0x2 }, + { 172916, 0x22a29, 0x303, 0x404, 0x202, 0x180, 0x4, 0x2 }, + { 173809, 0x22524, 0x20403, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 175000, 0x707, 0x10000, 0x404, 0x202, 0xc0, 0x4, 0x0 }, + { 175925, 0x2302f, 0x20504, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 176785, 0x23231, 0x20403, 0x404, 0x202, 0x180, 0x4, 0x2 }, + { 177777, 0x4040, 0x20504, 0x404, 0x202, 0x180, 0x6, 0x2 }, + { 178750, 0x24847, 0x505, 0x404, 0x202, 0x180, 0x6, 0x2 }, + { 180000, 0x2424, 0x20302, 0x404, 0x202, 0x180, 0x4, 0x2 }, + { 180952, 0x2626, 0x20403, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 181944, 0x24241, 0x20504, 0x404, 0x202, 0x180, 0x6, 0x2 }, + { 182812, 0x23b3a, 0x404, 0x404, 0x202, 0x180, 0x4, 0x2 }, + { 183928, 0x23433, 0x20403, 0x404, 0x202, 0x180, 0x4, 0x2 }, + { 185000, 0x2525, 0x20302, 0x404, 0x202, 0x180, 0x4, 0x2 }, + { 185937, 0x23c3b, 0x404, 0x404, 0x202, 0x180, 0x4, 0x2 }, + { 186666, 0x1c1c, 0x20302, 0x303, 0x20201, 0x140, 0x4, 0x2 }, + { 187500, 0x20807, 0x10000, 0x404, 0x202, 0xc0, 0x4, 0x0 }, + { 188888, 0x4444, 0x20504, 0x404, 0x202, 0x180, 0x6, 0x2 }, + { 190000, 0x2626, 0x20302, 0x404, 0x202, 0x180, 0x4, 0x2 }, + { 190740, 0x23433, 0x20504, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 191666, 0x1717, 0x20201, 0x404, 0x202, 0x140, 0x4, 0x2 }, + { 192857, 0x3636, 0x20403, 0x404, 0x202, 0x180, 0x4, 0x2 }, + { 193750, 0x2100f, 0x101, 0x404, 0x202, 0x100, 0x4, 0x0 }, + { 195000, 0x2727, 0x20302, 0x404, 0x202, 0x180, 0x4, 0x2 }, + { 195833, 0x21817, 0x20201, 0x404, 0x202, 0x140, 0x4, 0x2 }, + { 196875, 0x2201f, 0x202, 0x404, 0x202, 0x140, 0x4, 0x2 }, + { 197916, 0x2302f, 0x303, 0x404, 0x202, 0x180, 0x4, 0x2 }, + { 198750, 0x2504f, 0x505, 0x404, 0x202, 0x180, 0x6, 0x2 }, + { 200000, 0x808, 0x10000, 0x404, 0x202, 0xc0, 0x4, 0x2 }, + { 200000, 0x808, 0x10000, 0x404, 0x202, 0xc0, 0x4, 0x2 }, + { 201851, 0x23736, 0x20504, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 202777, 0x22524, 0x303, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 203703, 0x3737, 0x20504, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 205000, 0x23e3d, 0x505, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 205555, 0x21312, 0x20201, 0x303, 0x20201, 0x100, 0x4, 0x0 }, + { 206666, 0x1f1f, 0x20302, 0x303, 0x20201, 0x140, 0x4, 0x2 }, + { 207500, 0x22a29, 0x505, 0x202, 0x101, 0x180, 0x4, 0x2 }, + { 208333, 0x20d0c, 0x101, 0x303, 0x20201, 0x100, 0x4, 0x0 }, + { 210000, 0x2201f, 0x20302, 0x303, 0x20201, 0x140, 0x4, 0x2 }, + { 210714, 0x21e1d, 0x20403, 0x202, 0x101, 0x140, 0x4, 0x2 }, + { 211904, 0x22d2c, 0x20403, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 212962, 0x23a39, 0x20504, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 213888, 0x22726, 0x303, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 215000, 0x24140, 0x505, 0x303, 0x20201, 0x180, 0x6, 0x2 }, + { 215625, 0x22322, 0x404, 0x202, 0x101, 0x180, 0x4, 0x2 }, + { 216666, 0x20706, 0x10000, 0x303, 0x20201, 0xc0, 0x4, 0x0 }, + { 217857, 0x21f1e, 0x20403, 0x202, 0x101, 0x140, 0x4, 0x2 }, + { 218750, 0x23534, 0x404, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 220000, 0x2121, 0x20302, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 220833, 0x21b1a, 0x202, 0x303, 0x20201, 0x140, 0x4, 0x2 }, + { 221875, 0x22423, 0x404, 0x202, 0x101, 0x180, 0x4, 0x2 }, + { 222916, 0x23635, 0x404, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 223809, 0x2f2f, 0x20403, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 225000, 0x20e0d, 0x101, 0x303, 0x20201, 0x100, 0x4, 0x0 }, + { 225925, 0x3d3d, 0x20504, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 226666, 0x2222, 0x20302, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 227777, 0x21514, 0x20201, 0x303, 0x20201, 0x100, 0x4, 0x0 }, + { 228571, 0x3030, 0x20403, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 230000, 0x22322, 0x20302, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 230952, 0x23130, 0x20403, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 231666, 0x24645, 0x505, 0x303, 0x20201, 0x180, 0x6, 0x2 }, + { 232500, 0x22f2e, 0x505, 0x202, 0x101, 0x180, 0x4, 0x2 }, + { 233333, 0x707, 0x10000, 0x303, 0x20201, 0xc0, 0x4, 0x0 }, + { 235000, 0x24746, 0x505, 0x303, 0x20201, 0x180, 0x6, 0x2 }, + { 235714, 0x23231, 0x20403, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 236666, 0x22423, 0x20302, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 237500, 0x21d1c, 0x202, 0x303, 0x20201, 0x140, 0x4, 0x2 }, + { 238888, 0x21615, 0x20201, 0x303, 0x20201, 0x100, 0x4, 0x0 }, + { 240000, 0x2424, 0x20302, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 240740, 0x4141, 0x20504, 0x303, 0x20201, 0x180, 0x6, 0x2 }, + { 241666, 0x20f0e, 0x101, 0x303, 0x20201, 0x100, 0x4, 0x0 }, + { 242857, 0x3333, 0x20403, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 243750, 0x23b3a, 0x404, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 245000, 0x24a49, 0x505, 0x303, 0x20201, 0x180, 0x6, 0x2 }, + { 245833, 0x21e1d, 0x202, 0x303, 0x20201, 0x140, 0x4, 0x2 }, + { 246875, 0x22827, 0x404, 0x202, 0x101, 0x180, 0x4, 0x2 }, + { 247916, 0x23c3b, 0x404, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 248333, 0x24b4a, 0x505, 0x303, 0x20201, 0x180, 0x6, 0x2 }, + { 250000, 0x20807, 0x10000, 0x303, 0x20201, 0xc0, 0x4, 0x0 }, + { 250000, 0x20807, 0x10000, 0x303, 0x20201, 0xc0, 0x4, 0x0 }, + { 251851, 0x4444, 0x20504, 0x303, 0x20201, 0x180, 0x6, 0x2 }, + { 252777, 0x22e2d, 0x303, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 253703, 0x24544, 0x20504, 0x303, 0x20201, 0x180, 0x6, 0x2 }, + { 255000, 0x24d4c, 0x505, 0x303, 0x20201, 0x180, 0x6, 0x2 }, + { 255555, 0x1717, 0x20201, 0x303, 0x20201, 0x140, 0x4, 0x2 }, + { 256666, 0x22726, 0x20302, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 257500, 0x23433, 0x505, 0x202, 0x101, 0x180, 0x4, 0x2 }, + { 258333, 0x2100f, 0x101, 0x303, 0x20201, 0x100, 0x4, 0x0 }, + { 260000, 0x2727, 0x20302, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 260714, 0x22524, 0x20403, 0x202, 0x101, 0x180, 0x4, 0x2 }, + { 261904, 0x3737, 0x20403, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 262962, 0x4747, 0x20504, 0x303, 0x20201, 0x180, 0x6, 0x2 }, + { 263888, 0x2302f, 0x303, 0x303, 0x20201, 0x180, 0x4, 0x2 }, + { 265000, 0x2504f, 0x505, 0x303, 0x20201, 0x180, 0x6, 0x2 }, + { 265625, 0x22b2a, 0x404, 0x202, 0x101, 0x180, 0x4, 0x2 }, + { 266666, 0x808, 0x10000, 0x303, 0x20201, 0xc0, 0x4, 0x2 }, + { 267857, 0x22625, 0x20403, 0x202, 0x101, 0x180, 0x4, 0x2 }, + { 268750, 0x21615, 0x202, 0x202, 0x101, 0x100, 0x4, 0x0 }, + { 270000, 0x1b1b, 0x20302, 0x202, 0x101, 0x140, 0x4, 0x2 }, + { 270833, 0x22120, 0x303, 0x202, 0x101, 0x180, 0x4, 0x2 }, + { 271875, 0x22c2b, 0x404, 0x202, 0x101, 0x180, 0x4, 0x2 }, + { 272500, 0x23736, 0x505, 0x202, 0x101, 0x180, 0x4, 0x2 }, + { 272500, 0x23736, 0x505, 0x202, 0x101, 0x180, 0x4, 0x2 }, + { 275000, 0x20605, 0x10000, 0x202, 0x101, 0xc0, 0x4, 0x0 }, + { 275000, 0x20605, 0x10000, 0x202, 0x101, 0xc0, 0x4, 0x0 }, + { 275000, 0x20605, 0x10000, 0x202, 0x101, 0xc0, 0x4, 0x0 }, + { 277777, 0x3232, 0x20504, 0x202, 0x101, 0x180, 0x4, 0x2 }, + { 278571, 0x2727, 0x20403, 0x202, 0x101, 0x180, 0x4, 0x2 }, + { 280000, 0x1c1c, 0x20302, 0x202, 0x101, 0x140, 0x4, 0x2 }, + { 280555, 0x23332, 0x20504, 0x202, 0x101, 0x180, 0x4, 0x2 }, + { 281250, 0x21716, 0x202, 0x202, 0x101, 0x140, 0x4, 0x2 }, + { 282500, 0x23938, 0x505, 0x202, 0x101, 0x180, 0x4, 0x2 }, + { 283333, 0x1111, 0x20201, 0x202, 0x101, 0x100, 0x4, 0x0 }, + { 285000, 0x21d1c, 0x20302, 0x202, 0x101, 0x140, 0x4, 0x2 }, + { 285714, 0x2828, 0x20403, 0x202, 0x101, 0x180, 0x4, 0x2 }, + { 286111, 0x23433, 0x20504, 0x202, 0x101, 0x180, 0x4, 0x2 }, + { 287500, 0x20c0b, 0x101, 0x202, 0x101, 0xc0, 0x4, 0x2 }, + { 288888, 0x3434, 0x20504, 0x202, 0x101, 0x180, 0x4, 0x2 }, + { 290000, 0x1d1d, 0x20302, 0x202, 0x101, 0x140, 0x4, 0x2 }, + { 290625, 0x22f2e, 0x404, 0x202, 0x101, 0x180, 0x4, 0x2 }, + { 291666, 0x21211, 0x20201, 0x202, 0x101, 0x100, 0x4, 0x0 }, + { 292857, 0x2929, 0x20403, 0x202, 0x101, 0x180, 0x4, 0x2 }, + { 293750, 0x21817, 0x202, 0x202, 0x101, 0x140, 0x4, 0x2 }, + { 295000, 0x21e1d, 0x20302, 0x202, 0x101, 0x140, 0x4, 0x2 }, + { 295833, 0x22423, 0x303, 0x202, 0x101, 0x180, 0x4, 0x2 }, + { 296875, 0x2302f, 0x404, 0x202, 0x101, 0x180, 0x4, 0x2 }, + { 297500, 0x23c3b, 0x505, 0x202, 0x101, 0x180, 0x4, 0x2 }, + { 297500, 0x23c3b, 0x505, 0x202, 0x101, 0x180, 0x4, 0x2 }, + { 300000, 0x606, 0x10000, 0x202, 0x101, 0xc0, 0x4, 0x0 }, + { 300000, 0x606, 0x10000, 0x202, 0x101, 0xc0, 0x4, 0x0 }, + { 302000, 0x24c4b, 0x505, 0x20302, 0x20302, 0x180, 0x6, 0x2 }, + { 302857, 0x3535, 0x20403, 0x20302, 0x20302, 0x180, 0x4, 0x2 }, + { 304000, 0x2626, 0x20302, 0x20302, 0x20302, 0x180, 0x4, 0x2 }, + { 305000, 0x21f1e, 0x202, 0x20302, 0x20302, 0x140, 0x4, 0x2 }, + { 306000, 0x24d4c, 0x505, 0x20302, 0x20302, 0x180, 0x6, 0x2 }, + { 306666, 0x1717, 0x20201, 0x20302, 0x20302, 0x140, 0x4, 0x2 }, + { 308000, 0x22726, 0x20302, 0x20302, 0x20302, 0x180, 0x4, 0x2 }, + { 308888, 0x24645, 0x20504, 0x20302, 0x20302, 0x180, 0x6, 0x2 }, + { 310000, 0x2100f, 0x101, 0x20302, 0x20302, 0x100, 0x4, 0x0 }, + { 310714, 0x22c2b, 0x20403, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 312000, 0x2727, 0x20302, 0x20302, 0x20302, 0x180, 0x4, 0x2 }, + { 312500, 0x23f3e, 0x404, 0x20302, 0x20302, 0x180, 0x6, 0x2 }, + { 314000, 0x24f4e, 0x505, 0x20302, 0x20302, 0x180, 0x6, 0x2 }, + { 315000, 0x2201f, 0x202, 0x20302, 0x20302, 0x140, 0x4, 0x2 }, + { 316000, 0x22827, 0x20302, 0x20302, 0x20302, 0x180, 0x4, 0x2 }, + { 316666, 0x2302f, 0x303, 0x20302, 0x20302, 0x180, 0x4, 0x2 }, + { 318000, 0x2504f, 0x505, 0x20302, 0x20302, 0x180, 0x6, 0x2 }, + { 318750, 0x21a19, 0x202, 0x202, 0x202, 0x140, 0x4, 0x2 }, + { 320000, 0x808, 0x10000, 0x20302, 0x20302, 0xc0, 0x4, 0x2 }, + { 320833, 0x22726, 0x303, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 321875, 0x23433, 0x404, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 322500, 0x24140, 0x505, 0x202, 0x202, 0x180, 0x6, 0x2 }, + { 323809, 0x2222, 0x20403, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 325000, 0x20706, 0x10000, 0x202, 0x202, 0xc0, 0x4, 0x0 }, + { 325925, 0x2c2c, 0x20504, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 326666, 0x21918, 0x20302, 0x20201, 0x20201, 0x140, 0x4, 0x2 }, + { 327777, 0x3b3b, 0x20504, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 328571, 0x2e2e, 0x20403, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 330000, 0x2121, 0x20302, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 330555, 0x23c3b, 0x20504, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 331250, 0x21b1a, 0x202, 0x202, 0x202, 0x140, 0x4, 0x2 }, + { 332500, 0x24342, 0x505, 0x202, 0x202, 0x180, 0x6, 0x2 }, + { 333333, 0x1414, 0x20201, 0x202, 0x202, 0x100, 0x4, 0x0 }, + { 335000, 0x22221, 0x20302, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 335714, 0x2f2f, 0x20403, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 336666, 0x23332, 0x505, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 337500, 0x20e0d, 0x101, 0x202, 0x202, 0x100, 0x4, 0x0 }, + { 338888, 0x3d3d, 0x20504, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 340000, 0x2222, 0x20302, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 340740, 0x2e2e, 0x20504, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 341666, 0x21514, 0x20201, 0x202, 0x202, 0x100, 0x4, 0x0 }, + { 342857, 0x3030, 0x20403, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 343750, 0x21c1b, 0x202, 0x202, 0x202, 0x140, 0x4, 0x2 }, + { 345000, 0x22322, 0x20302, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 345833, 0x22a29, 0x303, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 346875, 0x23837, 0x404, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 347619, 0x22524, 0x20403, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 348148, 0x2f2f, 0x20504, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 350000, 0x707, 0x10000, 0x202, 0x202, 0xc0, 0x4, 0x0 }, + { 350000, 0x707, 0x10000, 0x202, 0x202, 0xc0, 0x4, 0x0 }, + { 351851, 0x2302f, 0x20504, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 352777, 0x2403f, 0x20504, 0x202, 0x202, 0x180, 0x6, 0x2 }, + { 353571, 0x23231, 0x20403, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 355000, 0x22423, 0x20302, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 355555, 0x4040, 0x20504, 0x202, 0x202, 0x180, 0x6, 0x2 }, + { 356666, 0x23635, 0x505, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 357500, 0x24847, 0x505, 0x202, 0x202, 0x180, 0x6, 0x2 }, + { 358333, 0x21615, 0x20201, 0x202, 0x202, 0x100, 0x4, 0x0 }, + { 360000, 0x2424, 0x20302, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 360714, 0x23332, 0x20403, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 361904, 0x2626, 0x20403, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 362962, 0x3131, 0x20504, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 363888, 0x24241, 0x20504, 0x202, 0x202, 0x180, 0x6, 0x2 }, + { 365000, 0x22524, 0x20302, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 365625, 0x23b3a, 0x404, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 366666, 0x1616, 0x20201, 0x202, 0x202, 0x140, 0x4, 0x2 }, + { 367857, 0x23433, 0x20403, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 368750, 0x21e1d, 0x202, 0x202, 0x202, 0x140, 0x4, 0x2 }, + { 370000, 0x2525, 0x20302, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 370833, 0x22d2c, 0x303, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 371875, 0x23c3b, 0x404, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 372500, 0x24b4a, 0x505, 0x202, 0x202, 0x180, 0x6, 0x2 }, + { 373333, 0x1c1c, 0x20302, 0x20201, 0x20201, 0x140, 0x4, 0x2 }, + { 375000, 0x20807, 0x10000, 0x202, 0x202, 0xc0, 0x4, 0x0 }, + { 375000, 0x20807, 0x10000, 0x202, 0x202, 0xc0, 0x4, 0x0 }, + { 376666, 0x23938, 0x505, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 377777, 0x4444, 0x20504, 0x202, 0x202, 0x180, 0x6, 0x2 }, + { 378571, 0x3535, 0x20403, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 380000, 0x2626, 0x20302, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 380952, 0x2828, 0x20403, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 381481, 0x23433, 0x20504, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 382500, 0x24d4c, 0x505, 0x202, 0x202, 0x180, 0x6, 0x2 }, + { 383333, 0x1717, 0x20201, 0x202, 0x202, 0x140, 0x4, 0x2 }, + { 385000, 0x22726, 0x20302, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 385714, 0x3636, 0x20403, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 386666, 0x1d1d, 0x20302, 0x20201, 0x20201, 0x140, 0x4, 0x2 }, + { 387500, 0x2100f, 0x101, 0x202, 0x202, 0x100, 0x4, 0x0 }, + { 388888, 0x4646, 0x20504, 0x202, 0x202, 0x180, 0x6, 0x2 }, + { 390000, 0x2727, 0x20302, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 390625, 0x23f3e, 0x404, 0x202, 0x202, 0x180, 0x6, 0x2 }, + { 391666, 0x21817, 0x20201, 0x202, 0x202, 0x140, 0x4, 0x2 }, + { 392857, 0x3737, 0x20403, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 393750, 0x2201f, 0x202, 0x202, 0x202, 0x140, 0x4, 0x2 }, + { 395000, 0x22827, 0x20302, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 395833, 0x2302f, 0x303, 0x202, 0x202, 0x180, 0x4, 0x2 }, + { 396875, 0x2403f, 0x404, 0x202, 0x202, 0x180, 0x6, 0x2 }, + { 397500, 0x2504f, 0x505, 0x202, 0x202, 0x180, 0x6, 0x2 }, + { 397500, 0x2504f, 0x505, 0x202, 0x202, 0x180, 0x6, 0x2 }, + { 400000, 0x808, 0x10000, 0x202, 0x202, 0xc0, 0x4, 0x2 }, + { 400000, 0x808, 0x10000, 0x202, 0x202, 0xc0, 0x4, 0x2 }, + { 400000, 0x808, 0x10000, 0x202, 0x202, 0xc0, 0x4, 0x2 }, + { 400000, 0x808, 0x10000, 0x202, 0x202, 0xc0, 0x4, 0x2 }, + { 403703, 0x23736, 0x20504, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 405000, 0x22928, 0x505, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 405555, 0x22524, 0x303, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 406666, 0x21f1e, 0x20302, 0x20201, 0x20201, 0x140, 0x4, 0x2 }, + { 407407, 0x3737, 0x20504, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 408333, 0x21918, 0x202, 0x20201, 0x20201, 0x140, 0x4, 0x2 }, + { 410000, 0x23e3d, 0x505, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 410000, 0x23e3d, 0x505, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 411111, 0x21312, 0x20201, 0x20201, 0x20201, 0x100, 0x4, 0x0 }, + { 412500, 0x23231, 0x404, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 413333, 0x1f1f, 0x20302, 0x20201, 0x20201, 0x140, 0x4, 0x2 }, + { 415000, 0x22a29, 0x505, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 415000, 0x22a29, 0x505, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 416666, 0x20d0c, 0x101, 0x20201, 0x20201, 0x100, 0x4, 0x0 }, + { 416666, 0x20d0c, 0x101, 0x20201, 0x20201, 0x100, 0x4, 0x0 }, + { 418750, 0x22221, 0x404, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 420000, 0x2201f, 0x20302, 0x20201, 0x20201, 0x140, 0x4, 0x2 }, + { 420833, 0x23332, 0x404, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 421428, 0x21e1d, 0x20403, 0x101, 0x101, 0x140, 0x4, 0x2 }, + { 422222, 0x1313, 0x20201, 0x20201, 0x20201, 0x100, 0x4, 0x0 }, + { 423809, 0x22d2c, 0x20403, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 425000, 0x21a19, 0x202, 0x20201, 0x20201, 0x140, 0x4, 0x2 }, + { 425925, 0x23a39, 0x20504, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 426666, 0x2020, 0x20302, 0x20201, 0x20201, 0x140, 0x4, 0x2 }, + { 427777, 0x22726, 0x303, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 428571, 0x2d2d, 0x20403, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 430000, 0x24140, 0x505, 0x20201, 0x20201, 0x180, 0x6, 0x2 }, + { 430000, 0x24140, 0x505, 0x20201, 0x20201, 0x180, 0x6, 0x2 }, + { 431250, 0x22322, 0x404, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 431250, 0x22322, 0x404, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 433333, 0x20706, 0x10000, 0x20201, 0x20201, 0xc0, 0x4, 0x0 }, + { 435000, 0x22c2b, 0x505, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 435714, 0x21f1e, 0x20403, 0x101, 0x101, 0x140, 0x4, 0x2 }, + { 436666, 0x24241, 0x505, 0x20201, 0x20201, 0x180, 0x6, 0x2 }, + { 437500, 0x23534, 0x404, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 438888, 0x22827, 0x303, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 440000, 0x2121, 0x20302, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 440740, 0x23c3b, 0x20504, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 441666, 0x21b1a, 0x202, 0x20201, 0x20201, 0x140, 0x4, 0x2 }, + { 442857, 0x22f2e, 0x20403, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 443750, 0x22423, 0x404, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 445000, 0x22d2c, 0x505, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 445833, 0x23635, 0x404, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 446666, 0x22221, 0x20302, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 447619, 0x2f2f, 0x20403, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 448148, 0x23d3c, 0x20504, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 450000, 0x20e0d, 0x101, 0x20201, 0x20201, 0x100, 0x4, 0x0 }, + { 450000, 0x20e0d, 0x101, 0x20201, 0x20201, 0x100, 0x4, 0x0 }, + { 451851, 0x3d3d, 0x20504, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 452380, 0x2302f, 0x20403, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 453333, 0x2222, 0x20302, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 455000, 0x22e2d, 0x505, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 455555, 0x21514, 0x20201, 0x20201, 0x20201, 0x100, 0x4, 0x0 }, + { 456666, 0x24544, 0x505, 0x20201, 0x20201, 0x180, 0x6, 0x2 }, + { 457142, 0x3030, 0x20403, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 458333, 0x21c1b, 0x202, 0x20201, 0x20201, 0x140, 0x4, 0x2 }, + { 460000, 0x22322, 0x20302, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 460000, 0x22322, 0x20302, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 461904, 0x23130, 0x20403, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 462962, 0x23f3e, 0x20504, 0x20201, 0x20201, 0x180, 0x6, 0x2 }, + { 463333, 0x24645, 0x505, 0x20201, 0x20201, 0x180, 0x6, 0x2 }, + { 465000, 0x22f2e, 0x505, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 465000, 0x22f2e, 0x505, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 466666, 0x707, 0x10000, 0x20201, 0x20201, 0xc0, 0x4, 0x0 }, + { 466666, 0x707, 0x10000, 0x20201, 0x20201, 0xc0, 0x4, 0x0 }, + { 468750, 0x22625, 0x404, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 470000, 0x24746, 0x505, 0x20201, 0x20201, 0x180, 0x6, 0x2 }, + { 470833, 0x23938, 0x404, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 471428, 0x23231, 0x20403, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 472222, 0x22b2a, 0x303, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 473333, 0x22423, 0x20302, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 475000, 0x21d1c, 0x202, 0x20201, 0x20201, 0x140, 0x4, 0x2 }, + { 475000, 0x21d1c, 0x202, 0x20201, 0x20201, 0x140, 0x4, 0x2 }, + { 476666, 0x24847, 0x505, 0x20201, 0x20201, 0x180, 0x6, 0x2 }, + { 477777, 0x21615, 0x20201, 0x20201, 0x20201, 0x100, 0x4, 0x0 }, + { 478571, 0x22221, 0x20403, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 480000, 0x2424, 0x20302, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 480952, 0x23332, 0x20403, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 481481, 0x4141, 0x20504, 0x20201, 0x20201, 0x180, 0x6, 0x2 }, + { 481481, 0x4141, 0x20504, 0x20201, 0x20201, 0x180, 0x6, 0x2 }, + { 483333, 0x20f0e, 0x101, 0x20201, 0x20201, 0x100, 0x4, 0x0 }, + { 485000, 0x23130, 0x505, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 485714, 0x3333, 0x20403, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 486666, 0x22524, 0x20302, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 487500, 0x23b3a, 0x404, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 488888, 0x1616, 0x20201, 0x20201, 0x20201, 0x140, 0x4, 0x2 }, + { 490000, 0x24a49, 0x505, 0x20201, 0x20201, 0x180, 0x6, 0x2 }, + { 490476, 0x23433, 0x20403, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 491666, 0x21e1d, 0x202, 0x20201, 0x20201, 0x140, 0x4, 0x2 }, + { 492857, 0x22322, 0x20403, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 493750, 0x22827, 0x404, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 495000, 0x23231, 0x505, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 495833, 0x23c3b, 0x404, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 496666, 0x24b4a, 0x505, 0x20201, 0x20201, 0x180, 0x6, 0x2 }, + { 496666, 0x24b4a, 0x505, 0x20201, 0x20201, 0x180, 0x6, 0x2 }, + { 496666, 0x24b4a, 0x505, 0x20201, 0x20201, 0x180, 0x6, 0x2 }, + { 500000, 0x20807, 0x10000, 0x20201, 0x20201, 0xc0, 0x4, 0x0 }, + { 500000, 0x20807, 0x10000, 0x20201, 0x20201, 0xc0, 0x4, 0x0 }, + { 500000, 0x20807, 0x10000, 0x20201, 0x20201, 0xc0, 0x4, 0x0 }, + { 500000, 0x20807, 0x10000, 0x20201, 0x20201, 0xc0, 0x4, 0x0 }, + { 503703, 0x4444, 0x20504, 0x20201, 0x20201, 0x180, 0x6, 0x2 }, + { 505000, 0x23332, 0x505, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 505555, 0x22e2d, 0x303, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 506666, 0x2626, 0x20302, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 507407, 0x24544, 0x20504, 0x20201, 0x20201, 0x180, 0x6, 0x2 }, + { 508333, 0x21f1e, 0x202, 0x20201, 0x20201, 0x140, 0x4, 0x2 }, + { 510000, 0x24d4c, 0x505, 0x20201, 0x20201, 0x180, 0x6, 0x2 }, + { 510000, 0x24d4c, 0x505, 0x20201, 0x20201, 0x180, 0x6, 0x2 }, + { 511111, 0x1717, 0x20201, 0x20201, 0x20201, 0x140, 0x4, 0x2 }, + { 512500, 0x23e3d, 0x404, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 513333, 0x22726, 0x20302, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 515000, 0x23433, 0x505, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 515000, 0x23433, 0x505, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 516666, 0x2100f, 0x101, 0x20201, 0x20201, 0x100, 0x4, 0x0 }, + { 516666, 0x2100f, 0x101, 0x20201, 0x20201, 0x100, 0x4, 0x0 }, + { 518750, 0x22a29, 0x404, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 520000, 0x2727, 0x20302, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 520833, 0x23f3e, 0x404, 0x20201, 0x20201, 0x180, 0x6, 0x2 }, + { 521428, 0x22524, 0x20403, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 522222, 0x21817, 0x20201, 0x20201, 0x20201, 0x140, 0x4, 0x2 }, + { 523809, 0x3737, 0x20403, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 525000, 0x2201f, 0x202, 0x20201, 0x20201, 0x140, 0x4, 0x2 }, + { 525925, 0x4747, 0x20504, 0x20201, 0x20201, 0x180, 0x6, 0x2 }, + { 526666, 0x22827, 0x20302, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 527777, 0x2302f, 0x303, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 528571, 0x23837, 0x20403, 0x20201, 0x20201, 0x180, 0x4, 0x2 }, + { 530000, 0x2504f, 0x505, 0x20201, 0x20201, 0x180, 0x6, 0x2 }, + { 530000, 0x2504f, 0x505, 0x20201, 0x20201, 0x180, 0x6, 0x2 }, + { 531250, 0x22b2a, 0x404, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 531250, 0x22b2a, 0x404, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 533333, 0x808, 0x10000, 0x20201, 0x20201, 0xc0, 0x4, 0x2 }, + { 535000, 0x23635, 0x505, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 535714, 0x22625, 0x20403, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 535714, 0x22625, 0x20403, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 537500, 0x21615, 0x202, 0x101, 0x101, 0x100, 0x4, 0x0 }, + { 538888, 0x23130, 0x20504, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 540000, 0x1b1b, 0x20302, 0x101, 0x101, 0x140, 0x4, 0x2 }, + { 540000, 0x1b1b, 0x20302, 0x101, 0x101, 0x140, 0x4, 0x2 }, + { 541666, 0x22120, 0x303, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 542857, 0x2626, 0x20403, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 543750, 0x22c2b, 0x404, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 545000, 0x23736, 0x505, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 545000, 0x23736, 0x505, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 545000, 0x23736, 0x505, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 545000, 0x23736, 0x505, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 545000, 0x23736, 0x505, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 550000, 0x20605, 0x10000, 0x101, 0x101, 0xc0, 0x4, 0x0 }, + { 550000, 0x20605, 0x10000, 0x101, 0x101, 0xc0, 0x4, 0x0 }, + { 550000, 0x20605, 0x10000, 0x101, 0x101, 0xc0, 0x4, 0x0 }, + { 550000, 0x20605, 0x10000, 0x101, 0x101, 0xc0, 0x4, 0x0 }, + { 550000, 0x20605, 0x10000, 0x101, 0x101, 0xc0, 0x4, 0x0 }, + { 555000, 0x23837, 0x505, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 555555, 0x3232, 0x20504, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 556250, 0x22d2c, 0x404, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 557142, 0x2727, 0x20403, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 558333, 0x22221, 0x303, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 560000, 0x1c1c, 0x20302, 0x101, 0x101, 0x140, 0x4, 0x2 }, + { 560000, 0x1c1c, 0x20302, 0x101, 0x101, 0x140, 0x4, 0x2 }, + { 561111, 0x23332, 0x20504, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 562500, 0x21716, 0x202, 0x101, 0x101, 0x140, 0x4, 0x2 }, + { 562500, 0x21716, 0x202, 0x101, 0x101, 0x140, 0x4, 0x2 }, + { 565000, 0x23938, 0x505, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 565000, 0x23938, 0x505, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 566666, 0x1111, 0x20201, 0x101, 0x101, 0x100, 0x4, 0x0 }, + { 566666, 0x1111, 0x20201, 0x101, 0x101, 0x100, 0x4, 0x0 }, + { 568750, 0x22e2d, 0x404, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 570000, 0x21d1c, 0x20302, 0x101, 0x101, 0x140, 0x4, 0x2 }, + { 570000, 0x21d1c, 0x20302, 0x101, 0x101, 0x140, 0x4, 0x2 }, + { 571428, 0x2828, 0x20403, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 572222, 0x23433, 0x20504, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 572222, 0x23433, 0x20504, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 575000, 0x20c0b, 0x101, 0x101, 0x101, 0xc0, 0x4, 0x2 }, + { 575000, 0x20c0b, 0x101, 0x101, 0x101, 0xc0, 0x4, 0x2 }, + { 575000, 0x20c0b, 0x101, 0x101, 0x101, 0xc0, 0x4, 0x2 }, + { 577777, 0x3434, 0x20504, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 578571, 0x22928, 0x20403, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 580000, 0x1d1d, 0x20302, 0x101, 0x101, 0x140, 0x4, 0x2 }, + { 580000, 0x1d1d, 0x20302, 0x101, 0x101, 0x140, 0x4, 0x2 }, + { 581250, 0x22f2e, 0x404, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 581250, 0x22f2e, 0x404, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 583333, 0x21211, 0x20201, 0x101, 0x101, 0x100, 0x4, 0x0 }, + { 585000, 0x23b3a, 0x505, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 585714, 0x2929, 0x20403, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 585714, 0x2929, 0x20403, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 587500, 0x21817, 0x202, 0x101, 0x101, 0x140, 0x4, 0x2 }, + { 588888, 0x3535, 0x20504, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 590000, 0x21e1d, 0x20302, 0x101, 0x101, 0x140, 0x4, 0x2 }, + { 590000, 0x21e1d, 0x20302, 0x101, 0x101, 0x140, 0x4, 0x2 }, + { 591666, 0x22423, 0x303, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 592857, 0x22a29, 0x20403, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 593750, 0x2302f, 0x404, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 595000, 0x23c3b, 0x505, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 595000, 0x23c3b, 0x505, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 595000, 0x23c3b, 0x505, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 595000, 0x23c3b, 0x505, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 595000, 0x23c3b, 0x505, 0x101, 0x101, 0x180, 0x4, 0x2 }, + { 600000, 0x606, 0x10000, 0x101, 0x101, 0xc0, 0x4, 0x0 } +}; diff --git a/opae-libs/plugins/xfpga/usrclk/user_clk_pgm_uclock.c b/opae-libs/plugins/xfpga/usrclk/user_clk_pgm_uclock.c new file mode 100644 index 0000000..86f46e8 --- /dev/null +++ b/opae-libs/plugins/xfpga/usrclk/user_clk_pgm_uclock.c @@ -0,0 +1,971 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +//**************************************************************************** +// Arthur.Sheiman@Intel.com Created: 09-08-16 +// Revision: 10-18-16 18:06 + + +#include +#include /* malloc */ +#include /* exit */ +#include /* printf */ +#include /* memcpy */ +#include /* getpid */ +#include +#include +#include +#include +#include +#include +#include + +#include "user_clk_pgm_uclock.h" +#include "user_clk_pgm_uclock_freq_template.h" +#include "user_clk_pgm_uclock_freq_template_322.h" +#include "user_clk_pgm_uclock_eror_messages.h" +#include "user_clk_iopll_freq.h" + + +// user clock sysfs +#define USER_CLOCK_CMD0 "userclk_freqcmd" +#define USER_CLOCK_CMD1 "userclk_freqcntrcmd" +#define USER_CLOCK_STS0 "userclk_freqsts" +#define USER_CLOCK_STS1 "userclk_freqcntrsts" +#define IOPLL_CLOCK_FREQ "intel-pac-iopll.*.auto/userclk/frequency" +#define MAX_FPGA_FREQ 1200 +#define MIN_FPGA_FREQ 25 + +// User clock sleep +#define USRCLK_SLEEEP_1MS 1000000 +#define USRCLK_SLEEEP_10MS 10000000 + +struct QUCPU_Uclock gQUCPU_Uclock; + +static int using_iopll(char* sysfs_usrpath, const char* sysfs_path); + +//Get fpga user clock +fpga_result get_userclock(const char* sysfs_path, + uint64_t* userclk_high, + uint64_t* userclk_low) +{ + char sysfs_usrpath[SYSFS_PATH_MAX]; + QUCPU_tFreqs userClock; + fpga_result result; + uint32_t high, low; + int ret; + + if ((sysfs_path == NULL) || + (userclk_high == NULL) || + (userclk_low == NULL)) { + OPAE_ERR("Invalid input parameters"); + return FPGA_INVALID_PARAM; + } + + // Test for the existence of the userclk_frequency file + // which indicates an S10 driver + ret = using_iopll(sysfs_usrpath, sysfs_path); + if (ret == FPGA_OK) { + result = sysfs_read_u32_pair(sysfs_usrpath, &low, &high, ' '); + if (FPGA_OK != result) + return result; + + *userclk_high = high * 1000; // Adjust to Hz + *userclk_low = low * 1000; + return FPGA_OK; + } else if (ret == FPGA_NO_ACCESS) { + return ret; + } + + // Initialize + if (fi_RunInitz(sysfs_path) != 0) { + OPAE_ERR("Failed to initialize user clock "); + return FPGA_NOT_SUPPORTED; + } + + // get user clock + if (fi_GetFreqs(&userClock) != 0) { + OPAE_ERR("Failed to get user clock Frequency "); + return FPGA_NOT_SUPPORTED; + } + + *userclk_high = userClock.u64i_Frq_ClkUsr; + *userclk_low = userClock.u64i_Frq_DivBy2; + + return FPGA_OK; +} + +// set fpga user clock +fpga_result set_userclock(const char* sysfs_path, + uint64_t userclk_high, + uint64_t userclk_low) +{ + char sysfs_usrpath[SYSFS_PATH_MAX] = { 0, }; + uint64_t freq = userclk_high; + uint64_t refClk = 0; + int fd, res; + char *bufp; + size_t cnt; + int ret; + + if (sysfs_path == NULL) { + OPAE_ERR("Invalid Input parameters"); + return FPGA_INVALID_PARAM; + } + + ret = using_iopll(sysfs_usrpath, sysfs_path); + if (ret == FPGA_OK) { + // Enforce 1x clock within valid range + if ((userclk_low > IOPLL_MAX_FREQ) || + (userclk_low < IOPLL_MIN_FREQ)) { + OPAE_ERR("Invalid Input frequency"); + return FPGA_INVALID_PARAM; + } + + fd = open(sysfs_usrpath, O_WRONLY); + if (fd < 0) { + OPAE_MSG("open(%s) failed: %s", + sysfs_usrpath, strerror(errno)); + return FPGA_NOT_FOUND; + } + bufp = (char *)&iopll_freq_config[userclk_low]; + cnt = sizeof(struct iopll_config); + do { + res = write(fd, bufp, cnt); + if (res < 0) { + OPAE_ERR("Failed to write"); + break; + } + bufp += res; + cnt -= res; + } while (cnt > 0); + close(fd); + + return FPGA_OK; + } else if (ret == FPGA_NO_ACCESS) { + return ret; + } + + // verify user clock freq range (100hz to 1200hz) + if ((userclk_high > MAX_FPGA_FREQ) || + (userclk_low > MAX_FPGA_FREQ)) { + OPAE_ERR("Invalid Input frequency"); + return FPGA_INVALID_PARAM; + } + + if (userclk_low != 0 && userclk_high != 0 + && userclk_low > userclk_high) { + OPAE_ERR("Invalid Input low frequency"); + return FPGA_INVALID_PARAM; + } + + if (freq < MIN_FPGA_FREQ){ + OPAE_ERR("Invalid Input frequency"); + return FPGA_INVALID_PARAM; + } + + // Initialize + if (fi_RunInitz(sysfs_path) != 0) { + OPAE_ERR("Failed to initialize user clock "); + return FPGA_NOT_SUPPORTED; + } + + if ((gQUCPU_Uclock.tInitz_InitialParams.u64i_Version == QUCPU_UI64_STS_1_VER_version_legacy) && + (gQUCPU_Uclock.tInitz_InitialParams.u64i_PLL_ID == QUCPU_UI64_AVMM_FPLL_IPI_200_IDI_RF322M)) + { // Use the 322.265625 MHz REFCLK + refClk = 1; + } + + OPAE_DBG("User clock: %ld \n", freq); + + // set user clock + if (fi_SetFreqs(refClk, freq) != 0) { + OPAE_ERR("Failed to set user clock frequency "); + return FPGA_NOT_SUPPORTED; + } + + return FPGA_OK; +} + +//fi_RunInitz +int fi_RunInitz(const char* sysfs_path) +{ + // fi_RunInitz + // Initialize + // Reinitialization okay too, since will issue machine reset + + uint64_t u64i_PrtData = 0; + uint64_t u64i_AvmmAdr, u64i_AvmmDat; + int i_ReturnErr; + char sysfs_usrpath[SYSFS_PATH_MAX]; + size_t len; + + gQUCPU_Uclock.i_InitzState = 0; + gQUCPU_Uclock.tInitz_InitialParams.u64i_Version = (uint64_t) 0; + gQUCPU_Uclock.tInitz_InitialParams.u64i_PLL_ID = (uint64_t) 0; + gQUCPU_Uclock.tInitz_InitialParams.u64i_NumFrq_Intg_End = (uint64_t) 0; + gQUCPU_Uclock.tInitz_InitialParams.u64i_NumFrq_Frac_Beg = (uint64_t) 0; + gQUCPU_Uclock.tInitz_InitialParams.u64i_NumFrq_Frac_End = (uint64_t) 0; + gQUCPU_Uclock.tInitz_InitialParams.u64i_NumFrq = (uint64_t) 0; + gQUCPU_Uclock.tInitz_InitialParams.u64i_NumReg = (uint64_t) 0; + gQUCPU_Uclock.tInitz_InitialParams.u64i_NumRck = (uint64_t) 0; + gQUCPU_Uclock.u64i_cmd_reg_0 = (uint64_t) 0x0LLU; + gQUCPU_Uclock.u64i_cmd_reg_1 = (uint64_t) 0x0LLU; + gQUCPU_Uclock.u64i_AVMM_seq = (uint64_t) 0x0LLU; + gQUCPU_Uclock.i_Bug_First = 0; + gQUCPU_Uclock.i_Bug_Last = 0; + + + if (sysfs_path == NULL) { + printf(" Invalid input sysfs path \n"); + return -1; + } + len = strnlen(sysfs_path, SYSFS_PATH_MAX - 1); + memcpy(gQUCPU_Uclock.sysfs_path, sysfs_path, len); + gQUCPU_Uclock.sysfs_path[len] = '\0'; + + // Assume return error okay, for now + i_ReturnErr = 0; + + // Initialize default values (for error abort) + gQUCPU_Uclock.tInitz_InitialParams.u64i_Version = 0; + gQUCPU_Uclock.tInitz_InitialParams.u64i_PLL_ID = 0; + + // Initialize command shadow registers + gQUCPU_Uclock.u64i_cmd_reg_0 = ((uint64_t) 0x0LLU); + gQUCPU_Uclock.u64i_cmd_reg_1 = ((uint64_t) 0x0LLU); + + // Initialize sequence IO + gQUCPU_Uclock.u64i_AVMM_seq = ((uint64_t) 0x0LLU); + + // Static values + gQUCPU_Uclock.tInitz_InitialParams.u64i_NumFrq_Intg_End = (uint64_t) QUCPU_INT_NUMFRQ_INTG_END; + gQUCPU_Uclock.tInitz_InitialParams.u64i_NumFrq_Frac_Beg = (uint64_t) QUCPU_INT_NUMFRQ_FRAC_BEG; + gQUCPU_Uclock.tInitz_InitialParams.u64i_NumFrq_Frac_End = (uint64_t) QUCPU_INT_NUMFRQ_FRAC_END; + gQUCPU_Uclock.tInitz_InitialParams.u64i_NumFrq = (uint64_t) QUCPU_INT_NUMFRQ; + gQUCPU_Uclock.tInitz_InitialParams.u64i_NumReg = (uint64_t) QUCPU_INT_NUMREG; + gQUCPU_Uclock.tInitz_InitialParams.u64i_NumRck = (uint64_t) QUCPU_INT_NUMRCK; + + + // Read version number + if (i_ReturnErr == 0) // This always true; added for future safety + { + // Verifying User Clock version number + u64i_PrtData = 0; + if (snprintf(sysfs_usrpath, sizeof(sysfs_usrpath), + "%s/%s", gQUCPU_Uclock.sysfs_path, USER_CLOCK_STS1) < 0) { + OPAE_ERR("snprintf buffer overflow"); + } else { + sysfs_read_u64(sysfs_usrpath, &u64i_PrtData); + //printf(" fi_RunInitz u64i_PrtData %llx \n", u64i_PrtData); + } + + gQUCPU_Uclock.tInitz_InitialParams.u64i_Version = (u64i_PrtData & QUCPU_UI64_STS_1_VER_b63t60) >> 60; + if ((gQUCPU_Uclock.tInitz_InitialParams.u64i_Version != QUCPU_UI64_STS_1_VER_version) && + (gQUCPU_Uclock.tInitz_InitialParams.u64i_Version != QUCPU_UI64_STS_1_VER_version_legacy)) + { // User Clock wrong version number + i_ReturnErr = QUCPU_INT_UCLOCK_RUNINITZ_ERR_VER; + + } // User Clock wrong version number + } // Verifying User Clock version number + + OPAE_DBG("User clock version = %lx \n", gQUCPU_Uclock.tInitz_InitialParams.u64i_Version); + + // Read PLL ID + if (i_ReturnErr == 0) + { // Waiting for fcr PLL calibration not to be busy + i_ReturnErr = fi_WaitCalDone(); + } // Waiting for fcr PLL calibration not to be busy + + if (i_ReturnErr == 0) + { + // Cycle reset and wait for any calibration to finish + // Activating management & machine reset + + gQUCPU_Uclock.u64i_cmd_reg_0 |= (QUCPU_UI64_CMD_0_PRS_b56); + gQUCPU_Uclock.u64i_cmd_reg_0 &= ~(QUCPU_UI64_CMD_0_MRN_b52); + u64i_PrtData = gQUCPU_Uclock.u64i_cmd_reg_0; + + if (snprintf(sysfs_usrpath, sizeof(sysfs_usrpath), + "%s/%s", gQUCPU_Uclock.sysfs_path, USER_CLOCK_CMD0) < 0) { + OPAE_ERR("snprintf buffer overflow"); + } else { + sysfs_write_u64(sysfs_usrpath, u64i_PrtData); + + // Deasserting management & machine reset + gQUCPU_Uclock.u64i_cmd_reg_0 |= (QUCPU_UI64_CMD_0_MRN_b52); + gQUCPU_Uclock.u64i_cmd_reg_0 &= ~(QUCPU_UI64_CMD_0_PRS_b56); + u64i_PrtData = gQUCPU_Uclock.u64i_cmd_reg_0; + + sysfs_write_u64(sysfs_usrpath, u64i_PrtData); + //printf(" fi_RunInitz u64i_PrtData %llx \n", u64i_PrtData); + + // Waiting for fcr PLL calibration not to be busy + i_ReturnErr = fi_WaitCalDone(); + } + } // Cycle reset and wait for any calibration to finish + + if (i_ReturnErr == 0) + { // Checking fPLL ID + u64i_AvmmAdr = QUCPU_UI64_AVMM_FPLL_IPI_200; + i_ReturnErr = fi_AvmmRead(u64i_AvmmAdr, &u64i_AvmmDat); + if (i_ReturnErr == 0) + { // Check identifier + gQUCPU_Uclock.tInitz_InitialParams.u64i_PLL_ID = u64i_AvmmDat & 0xffLLU; + if (!(gQUCPU_Uclock.tInitz_InitialParams.u64i_PLL_ID == QUCPU_UI64_AVMM_FPLL_IPI_200_IDI_RFDUAL + || gQUCPU_Uclock.tInitz_InitialParams.u64i_PLL_ID == QUCPU_UI64_AVMM_FPLL_IPI_200_IDI_RF100M + || gQUCPU_Uclock.tInitz_InitialParams.u64i_PLL_ID == QUCPU_UI64_AVMM_FPLL_IPI_200_IDI_RF322M)) + { // ERROR: Wrong fPLL ID Identifer + printf(" ERROR \n"); + i_ReturnErr = QUCPU_INT_UCLOCK_RUNINITZ_ERR_FPLL_ID_ILLEGAL; + } // ERROR: Wrong fPLL ID Identifer + } // Check identifier + } // Checking fPLL ID + + // Copy structure, initialize, and return based on error status + //*ptInitz_retInitz = gQUCPU_Uclock.tInitz_InitialParams; + gQUCPU_Uclock.i_InitzState = !i_ReturnErr; // Set InitzState to 0 or 1 + + return (i_ReturnErr); +} // fi_RunInitz + +//fu64i_GetAVMM_seq +uint64_t fu64i_GetAVMM_seq() +{ + // fu64i_GetAVMM_seq + // Increment seq + gQUCPU_Uclock.u64i_AVMM_seq++; + gQUCPU_Uclock.u64i_AVMM_seq &= 0x03LLU; + + return(gQUCPU_Uclock.u64i_AVMM_seq); +} // fu64i_GetAVMM_seq + + +//fi_AvmmRWcom +int fi_AvmmRWcom(int i_CmdWrite, + uint64_t u64i_AvmmAdr, + uint64_t u64i_WriteData, + uint64_t *pu64i_ReadData) +{ + // fi_AvmmRWcom + uint64_t u64i_SeqCmdAddrData, u64i_SeqCmdAddrData_seq_2, u64i_SeqCmdAddrData_wrt_1; + uint64_t u64i_SeqCmdAddrData_adr_10, u64i_SeqCmdAddrData_dat_32; + uint64_t u64i_PrtData; + uint64_t u64i_DataX; + uint64_t u64i_FastPoll, u64i_SlowPoll; + long int li_sleep_nanoseconds; + int i_ReturnErr; + char sysfs_usrpath[SYSFS_PATH_MAX] = { 0, }; + + // Assume return error okay, for now + i_ReturnErr = 0; + + // Common portion + u64i_SeqCmdAddrData_seq_2 = fu64i_GetAVMM_seq(); + u64i_SeqCmdAddrData_adr_10 = u64i_AvmmAdr; + + if (i_CmdWrite == 1) + { + // Write data + u64i_SeqCmdAddrData_wrt_1 = 0x1LLU; + u64i_SeqCmdAddrData_dat_32 = u64i_WriteData; + } // Write data + else + { // Read data + u64i_SeqCmdAddrData_wrt_1 = 0x0LLU; + u64i_SeqCmdAddrData_dat_32 = 0x0LLU; + } // Read data + + u64i_SeqCmdAddrData = (u64i_SeqCmdAddrData_seq_2 & 0x00000003LLU) << 48 // [49:48] + | (u64i_SeqCmdAddrData_wrt_1 & 0x00000001LLU) << 44 // [ 44] + | (u64i_SeqCmdAddrData_adr_10 & 0x000003ffLLU) << 32 // [41:32] + | (u64i_SeqCmdAddrData_dat_32 & 0xffffffffLLU) << 0; // [31:00] + + gQUCPU_Uclock.u64i_cmd_reg_0 &= ~QUCPU_UI64_CMD_0_AMM_b51t00; + gQUCPU_Uclock.u64i_cmd_reg_0 |= u64i_SeqCmdAddrData; + + // Write register 0 to kick it off + + u64i_PrtData = gQUCPU_Uclock.u64i_cmd_reg_0; + + if (snprintf(sysfs_usrpath, sizeof(sysfs_usrpath), + "%s/%s", gQUCPU_Uclock.sysfs_path, USER_CLOCK_CMD0) < 0) { + OPAE_ERR("snprintf buffer overflow"); + } else { + sysfs_write_u64(sysfs_usrpath, u64i_PrtData); + } + + li_sleep_nanoseconds = USRCLK_SLEEEP_1MS; + fv_SleepShort(li_sleep_nanoseconds); + + if (snprintf(sysfs_usrpath, sizeof(sysfs_usrpath), + "%s/%s", gQUCPU_Uclock.sysfs_path, USER_CLOCK_STS0) < 0) { + OPAE_ERR("snprintf buffer overflow"); + } + + // Poll register 0 for completion. + // CCI is synchronous and needs only 1 read with matching sequence. + + for (u64i_SlowPoll = 0; u64i_SlowPoll<100; ++u64i_SlowPoll) // 100 ms + { // Poll 0, slow outer loop with 1 ms sleep + for (u64i_FastPoll = 0; u64i_FastPoll<100; ++u64i_FastPoll) + { + // Poll 0, fast inner loop with no sleep + sysfs_read_u64(sysfs_usrpath, &u64i_DataX); + + if ((u64i_DataX & QUCPU_UI64_STS_0_SEQ_b49t48) == (u64i_SeqCmdAddrData & QUCPU_UI64_STS_0_SEQ_b49t48)) + { // Have result + goto GOTO_LABEL_HAVE_RESULT; + } // Have result + } // Poll 0, fast inner loop with no sleep + + // Sleep 1 ms + li_sleep_nanoseconds = USRCLK_SLEEEP_1MS; + fv_SleepShort(li_sleep_nanoseconds); + } // Poll 0, slow outer loop with 1 ms sleep + + i_ReturnErr = QUCPU_INT_UCLOCK_AVMMRWCOM_ERR_TIMEOUT; // Error + +GOTO_LABEL_HAVE_RESULT: // No error + + if (i_CmdWrite == 0) *pu64i_ReadData = u64i_DataX; + return(i_ReturnErr); + +} // fi_AvmmRWcom + + +//fi_AvmmRead +int fi_AvmmRead(uint64_t u64i_AvmmAdr, uint64_t *pu64i_ReadData) +{ + // fi_AvmmRead + int i_CmdWrite = 0; + uint64_t u64i_WriteData = 0; + int res = 0; + + // Perform read with common code + i_CmdWrite = 0; + u64i_WriteData = 0; // Not used for read + res = fi_AvmmRWcom(i_CmdWrite, u64i_AvmmAdr, u64i_WriteData, pu64i_ReadData); + + // Return error status + return(res); +} // fi_AvmmRead + +//fi_AvmmWrite +int fi_AvmmWrite(uint64_t u64i_AvmmAdr, uint64_t u64i_WriteData) +{ + // fi_AvmmWrite + int i_CmdWrite = 0; + uint64_t u64i_ReadData = 0; // Read data is not used + int res = 0; + + // Perform write with common code + i_CmdWrite = 1; + res = fi_AvmmRWcom(i_CmdWrite, u64i_AvmmAdr, u64i_WriteData, &u64i_ReadData); + + // Return error status + return(res); +} // fi_AvmmWrite + + +//Sleep for nanoseconds +void fv_SleepShort(long int li_sleep_nanoseconds) +{ + // fv_SleepShort + // Sleep for nanoseconds + struct timespec timespecRemaining = {0}; + struct timespec timespecWait = {0}; + int res = 0; + + timespecRemaining.tv_nsec = li_sleep_nanoseconds; timespecRemaining.tv_sec = 0; + + do + { // Wait, and retry if wait ended early + timespecWait = timespecRemaining; + res = (int) nanosleep(×pecWait, ×pecRemaining); + if (res != 0 && res != -1) + { // BUG: unexpected nanosleep return value + fv_BugLog((int) QUCPU_INT_UCLOCK_BUG_SLEEP_SHORT); + } // BUG: unexpected nanosleep return value + } // Wait, and retry if wait ended early + while (res != 0); + + return; +} // fv_SleepShort + +// get user clock +// Read the frequency for the User clock and div2 clock +int fi_GetFreqs(QUCPU_tFreqs *ptFreqs_retFreqs) +{ + // fi_GetFreqs + // Read the frequency for the User clock and div2 clock + + uint64_t u64i_PrtData = 0; + long int li_sleep_nanoseconds = 0; + int res = 0; + char sysfs_usrpath[SYSFS_PATH_MAX] = { 0, }; + + // Assume return error okay, for now + res = 0; + + if (!gQUCPU_Uclock.i_InitzState) res = QUCPU_INT_UCLOCK_GETFREQS_ERR_INITZSTATE; + + if (res == 0) + { // Read div2 and 1x user clock frequency + // Low frequency + gQUCPU_Uclock.u64i_cmd_reg_1 &= ~QUCPU_UI64_CMD_1_MEA_b32; + + u64i_PrtData = gQUCPU_Uclock.u64i_cmd_reg_1; + + if (snprintf(sysfs_usrpath, sizeof(sysfs_usrpath), + "%s/%s", gQUCPU_Uclock.sysfs_path, USER_CLOCK_CMD1) < 0) { + OPAE_ERR("snprintf buffer overflow"); + } + + sysfs_write_u64(sysfs_usrpath, u64i_PrtData); + + + li_sleep_nanoseconds = USRCLK_SLEEEP_10MS; // 10 ms for frequency counter + fv_SleepShort(li_sleep_nanoseconds); + + if (snprintf(sysfs_usrpath, sizeof(sysfs_usrpath), + "%s/%s", gQUCPU_Uclock.sysfs_path, USER_CLOCK_STS1) < 0) { + OPAE_ERR("snprintf buffer overflow"); + } else { + sysfs_read_u64(sysfs_usrpath, &u64i_PrtData); + } + + ptFreqs_retFreqs->u64i_Frq_DivBy2 = (u64i_PrtData & QUCPU_UI64_STS_1_FRQ_b16t00) * 10000; // Hz + //printf(" ptFreqs_retFreqs->u64i_Frq_ClkUsr %llx \n", ptFreqs_retFreqs->u64i_Frq_DivBy2); + li_sleep_nanoseconds = USRCLK_SLEEEP_10MS; + fv_SleepShort(li_sleep_nanoseconds); + + // High frequency + gQUCPU_Uclock.u64i_cmd_reg_1 |= QUCPU_UI64_CMD_1_MEA_b32; + + u64i_PrtData = gQUCPU_Uclock.u64i_cmd_reg_1; + + if (snprintf(sysfs_usrpath, sizeof(sysfs_usrpath), + "%s/%s", gQUCPU_Uclock.sysfs_path, USER_CLOCK_CMD1) < 0) { + OPAE_ERR("snprintf buffer overflow"); + } else { + sysfs_write_u64(sysfs_usrpath, u64i_PrtData); + } + + li_sleep_nanoseconds = USRCLK_SLEEEP_10MS; // 10 ms for frequency counter + fv_SleepShort(li_sleep_nanoseconds); + + if (snprintf(sysfs_usrpath, sizeof(sysfs_usrpath), + "%s/%s", gQUCPU_Uclock.sysfs_path, USER_CLOCK_STS1) < 0) { + OPAE_ERR("snprintf buffer overflow"); + } else { + sysfs_read_u64(sysfs_usrpath, &u64i_PrtData); + ptFreqs_retFreqs->u64i_Frq_ClkUsr = (u64i_PrtData & QUCPU_UI64_STS_1_FRQ_b16t00) * 10000; // Hz + } + + //printf(" ptFreqs_retFreqs->u64i_Frq_ClkUsr %llx \n", ptFreqs_retFreqs->u64i_Frq_ClkUsr); + + fv_SleepShort(li_sleep_nanoseconds); + + } // Read div2 and 1x user clock frequency + + OPAE_DBG("\nApproximate frequency:\n" + "High clock = %5.1f MHz\n" + "Low clock = %5.1f MHz\n \n", + ptFreqs_retFreqs->u64i_Frq_ClkUsr / 1.0e6, (ptFreqs_retFreqs->u64i_Frq_DivBy2) / 1.0e6); + + + return (res); +} // fi_GetFreqs + +// set user clock +int fi_SetFreqs(uint64_t u64i_Refclk, + uint64_t u64i_FrqInx) +{ + // fi_SetFreqs + // Set the user clock frequency + uint64_t u64i_I, u64i_MifReg, u64i_PrtData; + uint64_t u64i_AvmmAdr, u64i_AvmmDat, u64i_AvmmMsk; + long int li_sleep_nanoseconds; + int i_ReturnErr; + char sysfs_usrpath[SYSFS_PATH_MAX] = { 0, }; + + // Assume return error okay, for now + i_ReturnErr = 0; + + if (!gQUCPU_Uclock.i_InitzState) i_ReturnErr = QUCPU_INT_UCLOCK_SETFREQS_ERR_INITZSTATE; + + if (i_ReturnErr == 0) + { // Check REFCLK + if (u64i_Refclk == 0) + { // 100 MHz REFCLK requested + if (!(gQUCPU_Uclock.tInitz_InitialParams.u64i_PLL_ID == QUCPU_UI64_AVMM_FPLL_IPI_200_IDI_RFDUAL + || gQUCPU_Uclock.tInitz_InitialParams.u64i_PLL_ID == QUCPU_UI64_AVMM_FPLL_IPI_200_IDI_RF100M)) + i_ReturnErr = QUCPU_INT_UCLOCK_SETFREQS_ERR_REFCLK_100M_MISSING; + } // 100 MHz REFCLK requested + else if (u64i_Refclk == 1) + { // 322.265625 MHz REFCLK requested + if (!(gQUCPU_Uclock.tInitz_InitialParams.u64i_PLL_ID == QUCPU_UI64_AVMM_FPLL_IPI_200_IDI_RFDUAL + || gQUCPU_Uclock.tInitz_InitialParams.u64i_PLL_ID == QUCPU_UI64_AVMM_FPLL_IPI_200_IDI_RF322M)) + i_ReturnErr = QUCPU_INT_UCLOCK_SETFREQS_ERR_REFCLK_322M_MISSING; + } // 322.265625 MHz REFCLK requested + else i_ReturnErr = QUCPU_INT_UCLOCK_SETFREQS_ERR_REFCLK_ILLEGAL; + } // Check REFCLK + + if (i_ReturnErr == 0) + { // Check frequency index + if (u64i_FrqInx > gQUCPU_Uclock.tInitz_InitialParams.u64i_NumFrq_Frac_End) + i_ReturnErr = QUCPU_INT_UCLOCK_SETFREQS_ERR_FINDEX_OVERRANGE; + else if (u64i_FrqInx < gQUCPU_Uclock.tInitz_InitialParams.u64i_NumFrq_Frac_Beg + && u64i_FrqInx > gQUCPU_Uclock.tInitz_InitialParams.u64i_NumFrq_Intg_End) + i_ReturnErr = QUCPU_INT_UCLOCK_SETFREQS_ERR_FINDEX_INTG_RANGE_BAD; + else if (u64i_FrqInx < gQUCPU_Uclock.tInitz_InitialParams.u64i_NumFrq_Frac_Beg + && u64i_Refclk != 1) // Integer-PLL mode, exact requires 322.265625 MHz + i_ReturnErr = QUCPU_INT_UCLOCK_SETFREQS_ERR_FINDEX_INTG_NEEDS_322M; + } // Check frequency index + + + if (i_ReturnErr == 0) + { // Power down PLL + // Altera bug. Power down pin doesn't work SR #11229652. + // WORKAROUND: Use power down port + u64i_AvmmAdr = 0x2e0LLU; + u64i_AvmmDat = 0x03LLU; + u64i_AvmmMsk = 0x03LLU; + + i_ReturnErr = fi_AvmmReadModifyWriteVerify(u64i_AvmmAdr, u64i_AvmmDat, u64i_AvmmMsk); + + // Sleep 1 ms + li_sleep_nanoseconds = USRCLK_SLEEEP_1MS; + fv_SleepShort(li_sleep_nanoseconds); + } // Power down PLL + + if (i_ReturnErr == 0) + { // Verifying fcr PLL not locking + + u64i_PrtData = 0; + if (snprintf(sysfs_usrpath, sizeof(sysfs_usrpath), + "%s/%s", gQUCPU_Uclock.sysfs_path, USER_CLOCK_STS0) < 0) { + OPAE_ERR("snprintf buffer overflow"); + } else { + sysfs_read_u64(sysfs_usrpath, &u64i_PrtData); + //sysfs_read_uint64(gQUCPU_Uclock.sys_path, USER_CLOCK_STS0, &u64i_PrtData); + } + + if ((u64i_PrtData & QUCPU_UI64_STS_0_LCK_b60) != 0) + { // fcr PLL is locked but should be unlocked + i_ReturnErr = QUCPU_INT_UCLOCK_SETFREQS_ERR_PLL_NO_UNLOCK; + } // fcr PLL is locked but should be unlocked + } // Verifying fcr PLL not locking + + if (i_ReturnErr == 0) + { // Select reference and push table + // Selecting desired reference clock + gQUCPU_Uclock.u64i_cmd_reg_0 &= ~QUCPU_UI64_CMD_0_SR1_b58; + if (u64i_Refclk) gQUCPU_Uclock.u64i_cmd_reg_0 |= QUCPU_UI64_CMD_0_SR1_b58; + u64i_PrtData = gQUCPU_Uclock.u64i_cmd_reg_0; + + if (snprintf(sysfs_usrpath, sizeof(sysfs_usrpath), + "%s/%s", gQUCPU_Uclock.sysfs_path, USER_CLOCK_CMD0) < 0) { + OPAE_ERR("snprintf buffer overflow"); + } else { + sysfs_write_u64(sysfs_usrpath, u64i_PrtData); + } + + // Sleep 1 ms + li_sleep_nanoseconds = USRCLK_SLEEEP_1MS; + fv_SleepShort(li_sleep_nanoseconds); + + // Pushing the table + for (u64i_MifReg = 0; u64i_MifReg> 16; + u64i_AvmmDat = (uint64_t) (tbl_entry & 0x000000ff); + u64i_AvmmMsk = (uint64_t) (tbl_entry & 0x0000ff00) >> 8; + i_ReturnErr = fi_AvmmReadModifyWriteVerify(u64i_AvmmAdr, u64i_AvmmDat, u64i_AvmmMsk); + + if (i_ReturnErr) break; + } // Write each register in the diff mif + } // Select reference and push table + + if (i_ReturnErr == 0) + { // Waiting for fcr PLL calibration not to be busy + i_ReturnErr = fi_WaitCalDone(); + } // Waiting for fcr PLL calibration not to be busy + + if (i_ReturnErr == 0) + { // Recalibrating + + // "Request user access to the internal configuration bus" + // and "Wait for reconfig_waitrequest to be deasserted." + // Note that the Verify operation performs the post "wait." + + u64i_AvmmAdr = 0x000LLU; + u64i_AvmmDat = 0x02LLU; + u64i_AvmmMsk = 0xffLLU; + i_ReturnErr = fi_AvmmReadModifyWriteVerify(u64i_AvmmAdr, u64i_AvmmDat, u64i_AvmmMsk); + + if (i_ReturnErr == 0) + { // "To calibrate the fPLL, Read-Modify-Write:" set B1 of 0x100 high + u64i_AvmmAdr = 0x100LLU; + u64i_AvmmDat = 0x02LLU; + u64i_AvmmMsk = 0x02LLU; + i_ReturnErr = fi_AvmmReadModifyWrite(u64i_AvmmAdr, u64i_AvmmDat, u64i_AvmmMsk); + } // "To calibrate the fPLL, Read-Modify-Write:" set B1 of 0x100 high + + if (i_ReturnErr == 0) + { // "Release the internal configuraiton bus to PreSICE to perform recalibration" + u64i_AvmmAdr = 0x000LLU; + u64i_AvmmDat = 0x01LLU; + i_ReturnErr = fi_AvmmWrite(u64i_AvmmAdr, u64i_AvmmDat); + + // Sleep 1 ms + li_sleep_nanoseconds = USRCLK_SLEEEP_1MS; + fv_SleepShort(li_sleep_nanoseconds); + } // "Release the internal configuraiton bus to PreSICE to perform recalibration" + } // Recalibrating + + if (i_ReturnErr == 0) + { // Waiting for fcr PLL calibration not to be busy + i_ReturnErr = fi_WaitCalDone(); + } // Waiting for fcr PLL calibration not to be busy + + if (i_ReturnErr == 0) + { // Power up PLL + // Altera bug. Power down pin doesn't work SR #11229652. + // WORKAROUND: Use power down port + u64i_AvmmAdr = 0x2e0LLU; + u64i_AvmmDat = 0x02LLU; + u64i_AvmmMsk = 0x03LLU; + i_ReturnErr = fi_AvmmReadModifyWriteVerify(u64i_AvmmAdr, u64i_AvmmDat, u64i_AvmmMsk); + } // Power up PLL + + if (i_ReturnErr == 0) + { // Wait for PLL to lock + + for (u64i_I = 0; u64i_I<100; u64i_I++) + { // Poll with 100 ms timeout + u64i_PrtData = 0; + if (snprintf(sysfs_usrpath, sizeof(sysfs_usrpath), + "%s/%s", gQUCPU_Uclock.sysfs_path, USER_CLOCK_STS0) < 0) { + OPAE_ERR("snprintf buffer overflow"); + } else { + sysfs_read_u64(sysfs_usrpath, &u64i_PrtData); + } + + if ((u64i_PrtData & QUCPU_UI64_STS_0_LCK_b60) != 0) break; + + // Sleep 1 ms + li_sleep_nanoseconds = USRCLK_SLEEEP_1MS; + fv_SleepShort(li_sleep_nanoseconds); + } // Poll with 100 ms timeout + + if ((u64i_PrtData & QUCPU_UI64_STS_0_LCK_b60) == 0) + { // fcr PLL lock error + + i_ReturnErr = QUCPU_INT_UCLOCK_SETFREQS_ERR_PLL_LOCK_TO; + } // fcr PLL lock error + } // Verifying fcr PLL is locking + + return (i_ReturnErr); +} // fi_SetFreqs + +// get error message +//Read the frequency for the User clock and div2 clock +const char * fpac_GetErrMsg(int i_ErrMsgInx) +{ + // fpac_GetErrMsg + // Read the frequency for the User clock and div2 clock + const char * pac_ErrMsgStr = NULL; + + // Extra "+1" message has index range error message + pac_ErrMsgStr = pac_UclockErrorMsg[QUCPU_INT_UCLOCK_NUM_ERROR_MESSAGES + 1 - 1]; + + // Check index range + if (i_ErrMsgInx >= 0 + && i_ErrMsgInx < QUCPU_INT_UCLOCK_NUM_ERROR_MESSAGES) { + // All okay, set the message string + pac_ErrMsgStr = pac_UclockErrorMsg[i_ErrMsgInx]; + } // All okay, set the message string + + return (pac_ErrMsgStr); +} // fpac_GetErrMsg + +// fi_AvmmReadModifyWriteVerify +int fi_AvmmReadModifyWriteVerify(uint64_t u64i_AvmmAdr, + uint64_t u64i_AvmmDat, + uint64_t u64i_AvmmMsk) +{ + // fi_AvmmReadModifyWriteVerify + int res = 0; + uint64_t u64i_VerifyData = 0; + + res = fi_AvmmReadModifyWrite(u64i_AvmmAdr, u64i_AvmmDat, u64i_AvmmMsk); + + if (res == 0) + { // Read back the data and verify mask-enabled bits + + res = fi_AvmmRead(u64i_AvmmAdr, &u64i_VerifyData); + + if (res == 0) + { // Perform verify + if ((u64i_VerifyData & u64i_AvmmMsk) != (u64i_AvmmDat & u64i_AvmmMsk)) + { // Verify failure + res = QUCPU_INT_UCLOCK_AVMMRMWV_ERR_VERIFY; + } // Verify failure + } // Perform verify + } // Read back the data and verify mask-enabled bits + + return(res); +} // fi_AvmmReadModifyWriteVerify + + +// fi_AvmmReadModifyWrite +int fi_AvmmReadModifyWrite(uint64_t u64i_AvmmAdr, + uint64_t u64i_AvmmDat, + uint64_t u64i_AvmmMsk) +{ + uint64_t u64i_ReadData = 0; + uint64_t u64i_WriteData = 0; + int res = 0; + + // Read data + res = fi_AvmmRead(u64i_AvmmAdr, &u64i_ReadData); + + if (res == 0) + { // Modify the read data and write it + u64i_WriteData = (u64i_ReadData & ~u64i_AvmmMsk) | (u64i_AvmmDat & u64i_AvmmMsk); + res = fi_AvmmWrite(u64i_AvmmAdr, u64i_WriteData); + } // Modify the read data and write it + + return(res); +} // fi_AvmmReadModifyWrite + +// fv_BugLog +// Logs first and last bugs +void fv_BugLog(int i_BugID) +{ + if (gQUCPU_Uclock.i_Bug_First) + { // This is not the first bug + gQUCPU_Uclock.i_Bug_Last = i_BugID; + } // This is not the first bug + else + { // This is the first bug + gQUCPU_Uclock.i_Bug_First = i_BugID; + } // This is the first bug + + return; +} // fv_BugLog + +// wait caldone +// Wait for calibration to be done +int fi_WaitCalDone(void) +{ + // fi_WaitCalDone + // Wait for calibration to be done + uint64_t u64i_PrtData = 0; + uint64_t u64i_I = 0; + long int li_sleep_nanoseconds = 0; + int res = 0; + char sysfs_usrpath[SYSFS_PATH_MAX] = { 0, }; + + // Waiting for fcr PLL calibration not to be busy + for (u64i_I = 0; u64i_I<1000; u64i_I++) + { // Poll with 1000 ms timeout + u64i_PrtData = 0; + if (snprintf(sysfs_usrpath, sizeof(sysfs_usrpath), + "%s/%s", gQUCPU_Uclock.sysfs_path, USER_CLOCK_STS0) < 0) { + OPAE_ERR("snprintf buffer overflow"); + } else { + sysfs_read_u64(sysfs_usrpath, &u64i_PrtData); + } + + if ((u64i_PrtData & QUCPU_UI64_STS_0_BSY_b61) == 0) break; + + // Sleep 1 ms + li_sleep_nanoseconds = USRCLK_SLEEEP_1MS; + fv_SleepShort(li_sleep_nanoseconds); + } // Poll with 1000 ms timeout + + + if ((u64i_PrtData & QUCPU_UI64_STS_0_BSY_b61) != 0) + { // ERROR: calibration busy too long + res = QUCPU_INT_UCLOCK_WAITCALDONE_ERR_BSY_TO; + } // ERROR: calibration busy too long + + return(res); +} // fi_WaitCalDone + +// Determine whether or not the IOPLL is serving as the source of +// the user clock. +static int using_iopll(char* sysfs_usrpath, const char* sysfs_path) +{ + glob_t iopll_glob; + size_t len; + int res; + + // Test for the existence of the userclk_frequency file + // which indicates an S10 driver + + if (snprintf(sysfs_usrpath, SYSFS_PATH_MAX, + "%s/%s", sysfs_path, IOPLL_CLOCK_FREQ) < 0) { + OPAE_ERR("snprintf buffer overflow"); + return FPGA_EXCEPTION; + } + + res = glob(sysfs_usrpath, 0, NULL, &iopll_glob); + if (res) { + if (iopll_glob.gl_pathv) + globfree(&iopll_glob); + return FPGA_NOT_FOUND; + } + + if (iopll_glob.gl_pathc > 1) + OPAE_MSG("WARNING: Port has multiple sysfs frequency files"); + + len = strnlen(iopll_glob.gl_pathv[0], SYSFS_PATH_MAX - 1); + memcpy(sysfs_usrpath, iopll_glob.gl_pathv[0], len); + sysfs_usrpath[len] = '\0'; + + globfree(&iopll_glob); + + if (access(sysfs_usrpath, F_OK | R_OK | W_OK) != 0) { + OPAE_ERR("Unable to access sysfs frequency file"); + return FPGA_NO_ACCESS; + } + + return FPGA_OK; +} diff --git a/opae-libs/plugins/xfpga/usrclk/user_clk_pgm_uclock.h b/opae-libs/plugins/xfpga/usrclk/user_clk_pgm_uclock.h new file mode 100644 index 0000000..eb61feb --- /dev/null +++ b/opae-libs/plugins/xfpga/usrclk/user_clk_pgm_uclock.h @@ -0,0 +1,253 @@ +// Copyright(c) 2017, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +//**************************************************************************** +// Arthur.Sheiman@Intel.com Created: 03-31-16 +// Revised: 10-26-16 18:28 +// +// User Clock header file +// +//**************************************************************************** + +#ifndef USER_CLK_PGM_UCLK_H_ +#define USER_CLK_PGM_UCLK_H_ + +#include + +// .h include, defines +#include "user_clk_pgm_uclock_freq_template_D.h" +// Errors, decimal code +#include "user_clk_pgm_uclock_eror_messages_D.h" +// Private member variables and objects +#include "user_clk_pgm_uclock_freq_template_A.h" +#include "user_clk_pgm_uclock_eror_messages_A.h" + +#include "common_int.h" + +// qph_user_clk.sv Equates +#if defined(DEF_BDX_P) +// BDX-P: +#define QUCPU_UI64_PRT_UCLK_CMD_0 \ + ((uint64_t)0x0000000000000605LLU) // 0x03028 / 8 = 0x00605 +#define QUCPU_UI64_PRT_UCLK_CMD_1 \ + ((uint64_t)0x0000000000000606LLU) // 0x03030 / 8 = 0x00606 +#define QUCPU_UI64_PRT_UCLK_STS_0 \ + ((uint64_t)0x0000000000000607LLU) // 0x03038 / 8 = 0x00607 +#define QUCPU_UI64_PRT_UCLK_STS_1 \ + ((uint64_t)0x0000000000000608LLU) // 0x03040 / 8 = 0x00608 + +#elif defined(DEF_SKX_P) +// SKX-P: +#define QUCPU_UI64_PRT_UCLK_CMD_0 \ + ((uint64_t)0x000000000000000aLLU) // 0x00050 / 8 = 0x0060a +#define QUCPU_UI64_PRT_UCLK_CMD_1 \ + ((uint64_t)0x000000000000000bLLU) // 0x00058 / 8 = 0x0060b +#define QUCPU_UI64_PRT_UCLK_STS_0 \ + ((uint64_t)0x000000000000000cLLU) // 0x00060 / 8 = 0x0060c +#define QUCPU_UI64_PRT_UCLK_STS_1 \ + ((uint64_t)0x000000000000000dLLU) // 0x00068 / 8 = 0x0060d +#endif + +#define QUCPU_UI64_AFU_MMIO_PRT_OFFSET_QW \ + ((uint64_t)0x0000000000000000LLU) // 0x00000 / 8 = 0x00000 + +#define QUCPU_UI64_CMD_0_SR1_b58 \ + ((uint64_t)0x0400000000000000LLU) // fPLL Select: 0=refclk0, 1=refclk1 +#define QUCPU_UI64_CMD_0_PDN_b57 \ + ((uint64_t)0x0200000000000000LLU) // fPLL Powerdoen +#define QUCPU_UI64_CMD_0_PRS_b56 \ + ((uint64_t)0x0100000000000000LLU) // fPLL management reset +#define QUCPU_UI64_CMD_0_MRN_b52 \ + ((uint64_t)0x0010000000000000LLU) // mmmach machine reset_n +#define QUCPU_UI64_CMD_0_SEQ_b49t48 \ + ((uint64_t)0x0003000000000000LLU) // mmmach sequence +#define QUCPU_UI64_CMD_0_WRT_b44 \ + ((uint64_t)0x0000100000000000LLU) // mmmach write +#define QUCPU_UI64_CMD_0_ADR_b41t32 \ + ((uint64_t)0x000003ff00000000LLU) // mmmach MM address +#define QUCPU_UI64_CMD_0_DAT_b31t00 \ + ((uint64_t)0x00000000ffffffffLLU) // mmmach MM write data + +#define QUCPU_UI64_CMD_0_AMM_b51t00 \ + ((uint64_t)0x000fffffffffffffLLU) // Avmm mmmach portion + +#define QUCPU_UI64_STS_0_ERR_b63 \ + ((uint64_t)0x8000000000000000LLU) // mmmach error +#define QUCPU_UI64_STS_0_RCK_b62 \ + ((uint64_t)0x4000000000000000LLU) // 0=refclk0, 1=refclk1 +#define QUCPU_UI64_STS_0_BSY_b61 \ + ((uint64_t)0x2000000000000000LLU) // fPLL cal busy +#define QUCPU_UI64_STS_0_LCK_b60 \ + ((uint64_t)0x1000000000000000LLU) // fPLL locked +#define QUCPU_UI64_STS_0_SR1_b58 \ + ((uint64_t)0x0400000000000000LLU) // fPLL Select: 0=refclk0, 1=refclk1 +#define QUCPU_UI64_STS_0_PDN_b57 \ + ((uint64_t)0x0200000000000000LLU) // fPLL Powerdoen +#define QUCPU_UI64_STS_0_PRS_b56 \ + ((uint64_t)0x0100000000000000LLU) // fPLL management reset +#define QUCPU_UI64_STS_0_MRN_b52 \ + ((uint64_t)0x0010000000000000LLU) // mmmach machine reset_n +#define QUCPU_UI64_STS_0_SEQ_b49t48 \ + ((uint64_t)0x0003000000000000LLU) // mmmach sequence +#define QUCPU_UI64_STS_0_WRT_b44 \ + ((uint64_t)0x0000100000000000LLU) // mmmach write +#define QUCPU_UI64_STS_0_ADR_b41t32 \ + ((uint64_t)0x000003ff00000000LLU) // mmmach MM address +#define QUCPU_UI64_STS_0_DAT_b31t00 \ + ((uint64_t)0x00000000ffffffffLLU) // mmmach MM read data + +#define QUCPU_UI64_CMD_1_MEA_b32 \ + ((uint64_t)0x0000000100000000LLU) // 1: measure user clock; 0: measure + // 2nd clock, div2 + +#define QUCPU_UI64_STS_1_VER_b63t60 \ + ((uint64_t)0xf000000000000000LLU) // frequency in 10 kHz units +#define QUCPU_UI64_STS_1_MEA_b32 \ + ((uint64_t)0x0000000100000000LLU) // 1: measure user clock; 0: measure + // 2nd clock, div2 +#define QUCPU_UI64_STS_1_FRQ_b16t00 \ + ((uint64_t)0x000000000001ffffLLU) // frequency in 10 kHz units + +#define QUCPU_UI64_STS_1_VER_version \ + ((uint64_t)0x03LLU) // Expected version number +#define QUCPU_UI64_STS_1_VER_version_legacy \ + ((uint64_t)0x01LLU) // Expected version number on legacy systems + + +#define QUCPU_UI64_AVMM_FPLL_IPI_200 ((uint64_t)0x200LLU) // IP identifer +#define QUCPU_UI64_AVMM_FPLL_IPI_200_IDI_RFDUAL \ + ((uint64_t)0x05LLU) // Expected ID, RF=100 MHz & RF=322.265625 MHz +#define QUCPU_UI64_AVMM_FPLL_IPI_200_IDI_RF100M \ + ((uint64_t)0x06LLU) // Expected ID, RF=100 MHz +#define QUCPU_UI64_AVMM_FPLL_IPI_200_IDI_RF322M \ + ((uint64_t)0x07LLU) // Expected ID, RF=322.265625 MHz + +#define QUCPU_UI64_AVMM_FPLL_GPR_280 ((uint64_t)0x280LLU) +#define QUCPU_UI64_AVMM_FPLL_GPR_280_PDN_b00 \ + ((uint64_t)0x0000000000000001LLU) // Powerdown when override set +#define QUCPU_UI64_AVMM_FPLL_GPR_280_ADM_b01 \ + ((uint64_t)0x0000000000000001LLU) // 1: Override listen to ADME; 0: + // listen to powerdown port + +// Bugs, decimal code +#define QUCPU_INT_UCLOCK_BUG_SLEEP_SHORT ((int)1) // Bug in fv_SleepShort + +// Structures and Types +struct QUCPU_sInitz { + uint64_t u64i_Version; // Version of clock user + uint64_t u64i_PLL_ID; // PLL ID + uint64_t u64i_NumFrq_Intg_End; // Integer/exact fPLL indices [0 ..End] + uint64_t u64i_NumFrq_Frac_Beg; // Fractional fPLL indices [Beg..End] + uint64_t u64i_NumFrq_Frac_End; + uint64_t u64i_NumFrq; // Array frequency # of elements + uint64_t u64i_NumReg; // Array registers # of elements + uint64_t u64i_NumRck; // Array ref-clocks # of elements +}; + +struct QUCPU_sFreqs { + uint64_t u64i_Frq_ClkUsr; // Read user clock frequency (Hz) + uint64_t u64i_Frq_DivBy2; // Read user clock frequency (Hz) divided-by-2 + // output +}; + +typedef struct QUCPU_sInitz QUCPU_tInitz; + +typedef struct QUCPU_sFreqs QUCPU_tFreqs; + + +struct QUCPU_Uclock { + char sysfs_path[SYSFS_PATH_MAX]; // Port sysfs path + int i_Bug_First; // First bug + int i_Bug_Last; // Lasr bug + int i_InitzState; // Initialization state + QUCPU_tInitz tInitz_InitialParams; // Initialization parameters + uint64_t u64i_cmd_reg_0; // Command register 0 + uint64_t u64i_cmd_reg_1; // Command register 1 + uint64_t u64i_AVMM_seq; // Sequence ID +}; + +int fi_GetFreqs(QUCPU_tFreqs *ptFreqs_retFreqs); + +int fi_SetFreqs(uint64_t u64i_Refclk, uint64_t u64i_FrqInx); + +int fi_RunInitz(const char *sysfs_path); + +int sysfs_read_file(const char *sysfs_path, const char *csr_path, + uint64_t *value); + +int sysfs_write_file(const char *sysfs_path, const char *csr_path, + uint64_t value); + +int fi_WaitCalDone(void); + +void fv_BugLog(int i_BugID); + +int fi_AvmmReadModifyWrite(uint64_t u64i_AvmmAdr, uint64_t u64i_AvmmDat, + uint64_t u64i_AvmmMsk); + +int fi_AvmmReadModifyWriteVerify(uint64_t u64i_AvmmAdr, uint64_t u64i_AvmmDat, + uint64_t u64i_AvmmMsk); + +void fv_SleepShort(long int li_sleep_nanoseconds); + +int fi_AvmmWrite(uint64_t u64i_AvmmAdr, uint64_t u64i_WriteData); + +int fi_AvmmRead(uint64_t u64i_AvmmAdr, uint64_t *pu64i_ReadData); + +const char *fpac_GetErrMsg(int i_ErrMsgInx); + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * @brief Get fpga user clock + * + * @param sysfs_path port sysfs path + * @parm pointer to high user clock + * @parm pointer to low user clock + * + * @return error code + */ +fpga_result get_userclock(const char *sysfs_path, uint64_t *userclk_high, + uint64_t *userclk_low); + +/** + * @brief set fpga user clock + * + * @param sysfs_path port sysfs path + * @parm high user clock + * @parm low user clock + * + * @return error code + */ +fpga_result set_userclock(const char *sysfs_path, uint64_t userclk_high, + uint64_t userclk_low); + +#ifdef __cplusplus +} +#endif + +#endif // end USER_CLK_PGM_UCLK_H_ diff --git a/opae-libs/plugins/xfpga/usrclk/user_clk_pgm_uclock_eror_messages.h b/opae-libs/plugins/xfpga/usrclk/user_clk_pgm_uclock_eror_messages.h new file mode 100644 index 0000000..500efe1 --- /dev/null +++ b/opae-libs/plugins/xfpga/usrclk/user_clk_pgm_uclock_eror_messages.h @@ -0,0 +1,49 @@ +// Copyright(c) 2017, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +// Arthur.Sheiman@Intel.com Created: 09-08-16 +// Revision: 10-18-16 18:06 + + +const char *pac_UclockErrorMsg[] = { + "QUCPU_Uclock: No error.\0", + "RunInitz: RTL versions number incompatible.\0", + "RunInitz: PLL RTL has illegal ID.\0", + "Timeout waiting for calibration.\0", + "AvmmRMW: Verify error.\0", + "AvmmRWcom: Timeout with AVMM transaction.\0", + "GetFreqs: Not initialized.\0", + "SetFreqs: Not initialized.\0", + "SetFreqs: Illegal reference clock index.\0", + "SetFreqs: RTL not configured for 100 MHz SYSCLK reflk.\0", + "SetFreqs: RTL not configured for 322.265625 MHz reflk.\0", + "SetFreqs: Requested frequency too high.\0", + "SetFreqs: Illegal ExactFreq mode requested.\0", + "SetFreqs: Use 322.265625 MHz refclk for ExactFreq mode.\0", + "SetFreqs: PLL did unlock during power down.\0", + "SetFreqs: Timeout waiting for PLL to lock.\0", + "ERROR: MSG INDEX OUT OF RANGE\0" // "+1" message +}; diff --git a/opae-libs/plugins/xfpga/usrclk/user_clk_pgm_uclock_eror_messages_A.h b/opae-libs/plugins/xfpga/usrclk/user_clk_pgm_uclock_eror_messages_A.h new file mode 100644 index 0000000..12e0f5d --- /dev/null +++ b/opae-libs/plugins/xfpga/usrclk/user_clk_pgm_uclock_eror_messages_A.h @@ -0,0 +1,27 @@ +// Copyright(c) 2017, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +//static const char * pac_UclockErrorMsg[]; diff --git a/opae-libs/plugins/xfpga/usrclk/user_clk_pgm_uclock_eror_messages_D.h b/opae-libs/plugins/xfpga/usrclk/user_clk_pgm_uclock_eror_messages_D.h new file mode 100644 index 0000000..f3cbfba --- /dev/null +++ b/opae-libs/plugins/xfpga/usrclk/user_clk_pgm_uclock_eror_messages_D.h @@ -0,0 +1,48 @@ +// Copyright(c) 2017, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +// Arthur.Sheiman@Intel.com Created: 09-08-16 +// Revision: 10-18-16 18:06 + + +// Errors, decimal code +#define QUCPU_INT_UCLOCK_NO_ERROR ((int) 0) // No error +#define QUCPU_INT_UCLOCK_RUNINITZ_ERR_VER ((int) 1) // Wrong Uclock version error +#define QUCPU_INT_UCLOCK_RUNINITZ_ERR_FPLL_ID_ILLEGAL ((int) 2) // Check PLL: identifier illegal +#define QUCPU_INT_UCLOCK_WAITCALDONE_ERR_BSY_TO ((int) 3) // WaitCalDone: timeout +#define QUCPU_INT_UCLOCK_AVMMRMWV_ERR_VERIFY ((int) 4) // AvmmRMW: verify failure +#define QUCPU_INT_UCLOCK_AVMMRWCOM_ERR_TIMEOUT ((int) 5) // AvmmRWcom: timeout +#define QUCPU_INT_UCLOCK_GETFREQS_ERR_INITZSTATE ((int) 6) // GetFreqs: missing initialization +#define QUCPU_INT_UCLOCK_SETFREQS_ERR_INITZSTATE ((int) 7) // SetFreqs: missing initialization +#define QUCPU_INT_UCLOCK_SETFREQS_ERR_REFCLK_ILLEGAL ((int) 8) // SetFreqs: illegal refclk index +#define QUCPU_INT_UCLOCK_SETFREQS_ERR_REFCLK_100M_MISSING ((int) 9) // SetFreqs: 100 MHz refclk missing from RTL +#define QUCPU_INT_UCLOCK_SETFREQS_ERR_REFCLK_322M_MISSING ((int) 10) // SetFreqs: 322 MHz refclk missing from RTL +#define QUCPU_INT_UCLOCK_SETFREQS_ERR_FINDEX_OVERRANGE ((int) 11) // SetFreqs: f-index > END +#define QUCPU_INT_UCLOCK_SETFREQS_ERR_FINDEX_INTG_RANGE_BAD ((int) 12) // SetFreqs: integer-PLL mode f-index invalid +#define QUCPU_INT_UCLOCK_SETFREQS_ERR_FINDEX_INTG_NEEDS_322M ((int) 13) // SetFreqs: integer-PLL mode needs 322 MHz ref +#define QUCPU_INT_UCLOCK_SETFREQS_ERR_PLL_NO_UNLOCK ((int) 14) // SetFreqs: PLL would not unlock +#define QUCPU_INT_UCLOCK_SETFREQS_ERR_PLL_LOCK_TO ((int) 15) // SetFreqs: timed out waiting for lock +#define QUCPU_INT_UCLOCK_NUM_ERROR_MESSAGES ((int) 16) // Number of error messages diff --git a/opae-libs/plugins/xfpga/usrclk/user_clk_pgm_uclock_freq_template.h b/opae-libs/plugins/xfpga/usrclk/user_clk_pgm_uclock_freq_template.h new file mode 100644 index 0000000..6f572b1 --- /dev/null +++ b/opae-libs/plugins/xfpga/usrclk/user_clk_pgm_uclock_freq_template.h @@ -0,0 +1,18046 @@ +// Copyright(c) 2017, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +// Arthur.Sheiman@Intel.com Created: 09-08-16 +// Revision: 03-21-17 19:51 + + +const uint32_t scu32ia3d_DiffMifTbl[QUCPU_INT_NUMFRQ][QUCPU_INT_NUMREG] + [QUCPU_INT_NUMRCK] = { + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0b}, + {0x110ff1e, 0x110ff16}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff40}, + {0x12cff08, 0x12cff18}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f46}, + {0x1347c30, 0x1347c50}, + {0x135ff03, 0x135ff03}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff05}, + {0x110ff1e, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff0a}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c30}, + {0x135ff03, 0x135ff03}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff3c, 0x10fff3c}, + {0x110ff78, 0x110ff78}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffe4}, + {0x12eff00, 0x12eff29}, + {0x12fff00, 0x12fff41}, + {0x130ff00, 0x130ff9e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff3a, 0x10fff3a}, + {0x110ff74, 0x110ff74}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff99}, + {0x12effc2, 0x12effb0}, + {0x12ffff5, 0x12fffac}, + {0x130ff28, 0x130ffb7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff38, 0x10fff38}, + {0x110ff70, 0x110ff70}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dfff4}, + {0x12effa3, 0x12eff73}, + {0x12fff70, 0x12fff62}, + {0x130ff3d, 0x130ffc4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff36, 0x10fff36}, + {0x110ff6c, 0x110ff6c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dfff4}, + {0x12effa3, 0x12eff73}, + {0x12fff70, 0x12fff62}, + {0x130ff3d, 0x130ffc4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff34, 0x10fff34}, + {0x110ff68, 0x110ff68}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff99}, + {0x12effc2, 0x12effb0}, + {0x12ffff5, 0x12fffac}, + {0x130ff28, 0x130ffb7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff32, 0x10fff32}, + {0x110ff64, 0x110ff64}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffe4}, + {0x12eff00, 0x12eff29}, + {0x12fff00, 0x12fff41}, + {0x130ff00, 0x130ff9e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff31, 0x10fff31}, + {0x110ff62, 0x110ff62}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dffd2}, + {0x12effae, 0x12eff89}, + {0x12fff47, 0x12fffa0}, + {0x130ff61, 0x130ffda}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff2f, 0x10fff2f}, + {0x110ff5e, 0x110ff5e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff3e}, + {0x12effe1, 0x12effed}, + {0x12fff7a, 0x12ffff6}, + {0x130ff14, 0x130ffaa}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff2e, 0x10fff2e}, + {0x110ff5c, 0x110ff5c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dfffc}, + {0x12efff5, 0x12eff18}, + {0x12fff28, 0x12fff73}, + {0x130ff5c, 0x130ffd7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff2d, 0x10fff2d}, + {0x110ff5a, 0x110ff5a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff0c}, + {0x12eff99, 0x12eff63}, + {0x12fff99, 0x12fff94}, + {0x130ff99, 0x130fffd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff2b, 0x10fff2b}, + {0x110ff56, 0x110ff56}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff15}, + {0x12eff99, 0x12eff5e}, + {0x12fff99, 0x12fff24}, + {0x130ff19, 0x130ffae}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff2a, 0x10fff2a}, + {0x110ff54, 0x110ff54}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dfff4}, + {0x12effa3, 0x12eff73}, + {0x12fff70, 0x12fff62}, + {0x130ff3d, 0x130ffc4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff29, 0x10fff29}, + {0x110ff52, 0x110ff52}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff25}, + {0x12eff3d, 0x12effa8}, + {0x12fff0a, 0x12fff45}, + {0x130ff57, 0x130ffd4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff28, 0x10fff28}, + {0x110ff50, 0x110ff50}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffa9}, + {0x12eff66, 0x12efffa}, + {0x12fff66, 0x12fffcd}, + {0x130ff66, 0x130ffdd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff27, 0x10fff27}, + {0x110ff4e, 0x110ff4e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff80}, + {0x12eff1e, 0x12eff6b}, + {0x12fff85, 0x12ffffb}, + {0x130ff6b, 0x130ffe0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff26, 0x10fff26}, + {0x110ff4c, 0x110ff4c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffa9}, + {0x12eff66, 0x12efffa}, + {0x12fff66, 0x12fffcd}, + {0x130ff66, 0x130ffdd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff25, 0x10fff25}, + {0x110ff4a, 0x110ff4a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff25}, + {0x12eff3d, 0x12effa8}, + {0x12fff0a, 0x12fff45}, + {0x130ff57, 0x130ffd4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff24, 0x10fff24}, + {0x110ff48, 0x110ff48}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dfff4}, + {0x12effa3, 0x12eff73}, + {0x12fff70, 0x12fff62}, + {0x130ff3d, 0x130ffc4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff23, 0x10fff23}, + {0x110ff46, 0x110ff46}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff15}, + {0x12eff99, 0x12eff5e}, + {0x12fff99, 0x12fff24}, + {0x130ff19, 0x130ffae}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff23, 0x10fff23}, + {0x110ff46, 0x110ff46}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff6f}, + {0x12effcc, 0x12effcb}, + {0x12fffcc, 0x12fff5a}, + {0x130ffcc, 0x130ff1d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff22, 0x10fff22}, + {0x110ff44, 0x110ff44}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff0c}, + {0x12eff99, 0x12eff63}, + {0x12fff99, 0x12fff94}, + {0x130ff99, 0x130fffd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff21, 0x10fff21}, + {0x110ff42, 0x110ff42}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dfffc}, + {0x12efff5, 0x12eff18}, + {0x12fff28, 0x12fff73}, + {0x130ff5c, 0x130ffd7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff20, 0x10fff20}, + {0x110ff40, 0x110ff40}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff3e}, + {0x12effe1, 0x12effed}, + {0x12fff7a, 0x12ffff6}, + {0x130ff14, 0x130ffaa}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff20, 0x10fff20}, + {0x110ff40, 0x110ff40}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff14}, + {0x12effeb, 0x12eff08}, + {0x12fff51, 0x12fffa5}, + {0x130ffb8, 0x130ff10}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff1f, 0x10fff1f}, + {0x110ff3e, 0x110ff3e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dffd2}, + {0x12effae, 0x12eff89}, + {0x12fff47, 0x12fffa0}, + {0x130ff61, 0x130ffda}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff1e, 0x10fff1e}, + {0x110ff3c, 0x110ff3c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffe4}, + {0x12eff00, 0x12eff29}, + {0x12fff00, 0x12fff41}, + {0x130ff00, 0x130ff9e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff1e, 0x10fff1e}, + {0x110ff3c, 0x110ff3c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff0c}, + {0x12eff99, 0x12eff63}, + {0x12fff99, 0x12fff94}, + {0x130ff99, 0x130fffd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff1d, 0x10fff1d}, + {0x110ff3a, 0x110ff3a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff99}, + {0x12effc2, 0x12effb0}, + {0x12ffff5, 0x12fffac}, + {0x130ff28, 0x130ffb7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff1d, 0x10fff1d}, + {0x110ff3a, 0x110ff3a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dffeb}, + {0x12effa3, 0x12eff78}, + {0x12fff70, 0x12fffd2}, + {0x130ffbd, 0x130ff13}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff1c, 0x10fff1c}, + {0x110ff38, 0x110ff38}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dfff4}, + {0x12effa3, 0x12eff73}, + {0x12fff70, 0x12fff62}, + {0x130ff3d, 0x130ffc4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff1c, 0x10fff1c}, + {0x110ff38, 0x110ff38}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff6f}, + {0x12effcc, 0x12effcb}, + {0x12fffcc, 0x12fff5a}, + {0x130ffcc, 0x130ff1d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff1b, 0x10fff1b}, + {0x110ff36, 0x110ff36}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dfff4}, + {0x12effa3, 0x12eff73}, + {0x12fff70, 0x12fff62}, + {0x130ff3d, 0x130ffc4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff1b, 0x10fff1b}, + {0x110ff36, 0x110ff36}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff98}, + {0x12eff14, 0x12eff5a}, + {0x12fffae, 0x12fff2d}, + {0x130ffc7, 0x130ff1a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff1a, 0x10fff1a}, + {0x110ff34, 0x110ff34}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff99}, + {0x12effc2, 0x12effb0}, + {0x12ffff5, 0x12fffac}, + {0x130ff28, 0x130ffb7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff1a, 0x10fff1a}, + {0x110ff34, 0x110ff34}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff67}, + {0x12eff7a, 0x12eff26}, + {0x12fff14, 0x12fff4a}, + {0x130ffae, 0x130ff0a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff19, 0x10fff19}, + {0x110ff32, 0x110ff32}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffe4}, + {0x12eff00, 0x12eff29}, + {0x12fff00, 0x12fff41}, + {0x130ff00, 0x130ff9e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff19, 0x10fff19}, + {0x110ff32, 0x110ff32}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dffdb}, + {0x12eff00, 0x12eff2e}, + {0x12fff00, 0x12fffb1}, + {0x130ff80, 0x130ffed}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff19, 0x10fff19}, + {0x110ff32, 0x110ff32}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffd2}, + {0x12eff00, 0x12eff33}, + {0x12fff00, 0x12fff21}, + {0x130ff00, 0x130ff3d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff18, 0x10fff18}, + {0x110ff30, 0x110ff30}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dfff4}, + {0x12effa3, 0x12eff73}, + {0x12fff70, 0x12fff62}, + {0x130ff3d, 0x130ffc4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff18, 0x10fff18}, + {0x110ff30, 0x110ff30}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff14}, + {0x12effeb, 0x12eff08}, + {0x12fff51, 0x12fffa5}, + {0x130ffb8, 0x130ff10}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff18, 0x10fff18}, + {0x110ff30, 0x110ff30}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff34}, + {0x12eff33, 0x12eff9c}, + {0x12fff33, 0x12fffe7}, + {0x130ff33, 0x130ff5c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff17, 0x10fff17}, + {0x110ff2e, 0x110ff2e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dfffc}, + {0x12efff5, 0x12eff18}, + {0x12fff28, 0x12fff73}, + {0x130ff5c, 0x130ffd7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff17, 0x10fff17}, + {0x110ff2e, 0x110ff2e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff45}, + {0x12eff85, 0x12eff3c}, + {0x12fffeb, 0x12fff88}, + {0x130ffd1, 0x130ff20}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff17, 0x10fff17}, + {0x110ff2e, 0x110ff2e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff8f}, + {0x12eff14, 0x12eff5f}, + {0x12fffae, 0x12fff9d}, + {0x130ff47, 0x130ff69}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff16, 0x10fff16}, + {0x110ff2c, 0x110ff2c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dfffc}, + {0x12efff5, 0x12eff18}, + {0x12fff28, 0x12fff73}, + {0x130ff5c, 0x130ffd7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff16, 0x10fff16}, + {0x110ff2c, 0x110ff2c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff6f}, + {0x12effcc, 0x12effcb}, + {0x12fffcc, 0x12fff5a}, + {0x130ffcc, 0x130ff1d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff16, 0x10fff16}, + {0x110ff2c, 0x110ff2c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dffe2}, + {0x12effa3, 0x12eff7d}, + {0x12fff70, 0x12fff42}, + {0x130ff3d, 0x130ff63}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff15, 0x10fff15}, + {0x110ff2a, 0x110ff2a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dfff4}, + {0x12effa3, 0x12eff73}, + {0x12fff70, 0x12fff62}, + {0x130ff3d, 0x130ffc4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff15, 0x10fff15}, + {0x110ff2a, 0x110ff2a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff90}, + {0x12effc2, 0x12effb5}, + {0x12ffff5, 0x12fff1c}, + {0x130ffa8, 0x130ff07}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff15, 0x10fff15}, + {0x110ff2a, 0x110ff2a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff2c}, + {0x12effe1, 0x12efff7}, + {0x12fff7a, 0x12fffd6}, + {0x130ff14, 0x130ff49}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff14, 0x10fff14}, + {0x110ff28, 0x110ff28}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffe4}, + {0x12eff00, 0x12eff29}, + {0x12fff00, 0x12fff41}, + {0x130ff00, 0x130ff9e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff14, 0x10fff14}, + {0x110ff28, 0x110ff28}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffa9}, + {0x12eff66, 0x12efffa}, + {0x12fff66, 0x12fffcd}, + {0x130ff66, 0x130ffdd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff14, 0x10fff14}, + {0x110ff28, 0x110ff28}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff6f}, + {0x12effcc, 0x12effcb}, + {0x12fffcc, 0x12fff5a}, + {0x130ffcc, 0x130ff1d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff14, 0x10fff14}, + {0x110ff28, 0x110ff28}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff34}, + {0x12eff33, 0x12eff9c}, + {0x12fff33, 0x12fffe7}, + {0x130ff33, 0x130ff5c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff13, 0x10fff13}, + {0x110ff26, 0x110ff26}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dffba}, + {0x12effb8, 0x12eff9a}, + {0x12fff1e, 0x12fff6e}, + {0x130ff05, 0x130ffa1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff13, 0x10fff13}, + {0x110ff26, 0x110ff26}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffa9}, + {0x12eff66, 0x12efffa}, + {0x12fff66, 0x12fffcd}, + {0x130ff66, 0x130ffdd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff13, 0x10fff13}, + {0x110ff26, 0x110ff26}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff98}, + {0x12eff14, 0x12eff5a}, + {0x12fffae, 0x12fff2d}, + {0x130ffc7, 0x130ff1a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff13, 0x10fff13}, + {0x110ff26, 0x110ff26}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff87}, + {0x12effc2, 0x12effba}, + {0x12ffff5, 0x12fff8c}, + {0x130ff28, 0x130ff56}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff13, 0x10fff13}, + {0x110ff26, 0x110ff26}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff76}, + {0x12eff70, 0x12eff1a}, + {0x12fff3d, 0x12fffec}, + {0x130ff8a, 0x130ff92}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff12, 0x10fff12}, + {0x110ff24, 0x110ff24}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dfff4}, + {0x12effa3, 0x12eff73}, + {0x12fff70, 0x12fff62}, + {0x130ff3d, 0x130ffc4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff12, 0x10fff12}, + {0x110ff24, 0x110ff24}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff0c}, + {0x12eff99, 0x12eff63}, + {0x12fff99, 0x12fff94}, + {0x130ff99, 0x130fffd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff12, 0x10fff12}, + {0x110ff24, 0x110ff24}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff24}, + {0x12eff8f, 0x12eff52}, + {0x12fffc2, 0x12fffc6}, + {0x130fff5, 0x130ff36}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff12, 0x10fff12}, + {0x110ff24, 0x110ff24}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff3c}, + {0x12eff85, 0x12eff41}, + {0x12fffeb, 0x12ffff8}, + {0x130ff51, 0x130ff6f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff12, 0x10fff12}, + {0x110ff24, 0x110ff24}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff55}, + {0x12eff7a, 0x12eff30}, + {0x12fff14, 0x12fff2a}, + {0x130ffae, 0x130ffa9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff11, 0x10fff11}, + {0x110ff22, 0x110ff22}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dffca}, + {0x12eff5c, 0x12effe4}, + {0x12fff8f, 0x12fff8f}, + {0x130ff42, 0x130ffc7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff11, 0x10fff11}, + {0x110ff22, 0x110ff22}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff0c}, + {0x12eff99, 0x12eff63}, + {0x12fff99, 0x12fff94}, + {0x130ff99, 0x130fffd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff11, 0x10fff11}, + {0x110ff22, 0x110ff22}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff4e}, + {0x12effd7, 0x12effe1}, + {0x12fffa3, 0x12fff98}, + {0x130fff0, 0x130ff33}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff11, 0x10fff11}, + {0x110ff22, 0x110ff22}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff8f}, + {0x12eff14, 0x12eff5f}, + {0x12fffae, 0x12fff9d}, + {0x130ff47, 0x130ff69}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff11, 0x10fff11}, + {0x110ff22, 0x110ff22}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dffd1}, + {0x12eff51, 0x12effdd}, + {0x12fffb8, 0x12fffa1}, + {0x130ff9e, 0x130ff9f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff10, 0x10fff10}, + {0x110ff20, 0x110ff20}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff3e}, + {0x12effe1, 0x12effed}, + {0x12fff7a, 0x12ffff6}, + {0x130ff14, 0x130ffaa}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff10, 0x10fff10}, + {0x110ff20, 0x110ff20}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffa9}, + {0x12eff66, 0x12efffa}, + {0x12fff66, 0x12fffcd}, + {0x130ff66, 0x130ffdd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff10, 0x10fff10}, + {0x110ff20, 0x110ff20}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff14}, + {0x12effeb, 0x12eff08}, + {0x12fff51, 0x12fffa5}, + {0x130ffb8, 0x130ff10}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff10, 0x10fff10}, + {0x110ff20, 0x110ff20}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff7f}, + {0x12eff70, 0x12eff15}, + {0x12fff3d, 0x12fff7c}, + {0x130ff0a, 0x130ff43}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff10, 0x10fff10}, + {0x110ff20, 0x110ff20}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dffea}, + {0x12efff5, 0x12eff22}, + {0x12fff28, 0x12fff53}, + {0x130ff5c, 0x130ff76}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff10, 0x10fff10}, + {0x110ff20, 0x110ff20}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff55}, + {0x12eff7a, 0x12eff30}, + {0x12fff14, 0x12fff2a}, + {0x130ffae, 0x130ffa9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0f, 0x10fff0f}, + {0x110ff1e, 0x110ff1e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffe4}, + {0x12eff00, 0x12eff29}, + {0x12fff00, 0x12fff41}, + {0x130ff00, 0x130ff9e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0f, 0x10fff0f}, + {0x110ff1e, 0x110ff1e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff78}, + {0x12effcc, 0x12effc6}, + {0x12fffcc, 0x12fffea}, + {0x130ff4c, 0x130ffcd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0f, 0x10fff0f}, + {0x110ff1e, 0x110ff1e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff0c}, + {0x12eff99, 0x12eff63}, + {0x12fff99, 0x12fff94}, + {0x130ff99, 0x130fffd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0f, 0x10fff0f}, + {0x110ff1e, 0x110ff1e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffa0}, + {0x12eff66, 0x12effff}, + {0x12fff66, 0x12fff3d}, + {0x130ffe6, 0x130ff2d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0f, 0x10fff0f}, + {0x110ff1e, 0x110ff1e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff34}, + {0x12eff33, 0x12eff9c}, + {0x12fff33, 0x12fffe7}, + {0x130ff33, 0x130ff5c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0f, 0x10fff0f}, + {0x110ff1e, 0x110ff1e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dffc9}, + {0x12eff00, 0x12eff38}, + {0x12fff00, 0x12fff91}, + {0x130ff80, 0x130ff8c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0f, 0x10fff0f}, + {0x110ff1e, 0x110ff1e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff5d}, + {0x12effcc, 0x12effd5}, + {0x12fffcc, 0x12fff3a}, + {0x130ffcc, 0x130ffbc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0f, 0x10fff0f}, + {0x110ff1e, 0x110ff1e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dfff1}, + {0x12eff99, 0x12eff71}, + {0x12fff99, 0x12fffe4}, + {0x130ff19, 0x130ffeb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0e, 0x10fff0e}, + {0x110ff1c, 0x110ff1c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dfff4}, + {0x12effa3, 0x12eff73}, + {0x12fff70, 0x12fff62}, + {0x130ff3d, 0x130ffc4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0e, 0x10fff0e}, + {0x110ff1c, 0x110ff1c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dffb1}, + {0x12effb8, 0x12eff9f}, + {0x12fff1e, 0x12fffde}, + {0x130ff85, 0x130fff0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0e, 0x10fff0e}, + {0x110ff1c, 0x110ff1c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff6f}, + {0x12effcc, 0x12effcb}, + {0x12fffcc, 0x12fff5a}, + {0x130ffcc, 0x130ff1d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0e, 0x10fff0e}, + {0x110ff1c, 0x110ff1c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff2c}, + {0x12effe1, 0x12efff7}, + {0x12fff7a, 0x12fffd6}, + {0x130ff14, 0x130ff49}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0e, 0x10fff0e}, + {0x110ff1c, 0x110ff1c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dffea}, + {0x12efff5, 0x12eff22}, + {0x12fff28, 0x12fff53}, + {0x130ff5c, 0x130ff76}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0e, 0x10fff0e}, + {0x110ff1c, 0x110ff1c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dffa7}, + {0x12eff0a, 0x12eff4e}, + {0x12fffd7, 0x12fffcf}, + {0x130ffa3, 0x130ffa2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0e, 0x10fff0e}, + {0x110ff1c, 0x110ff1c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff65}, + {0x12eff1e, 0x12eff7a}, + {0x12fff85, 0x12fff4b}, + {0x130ffeb, 0x130ffcf}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0e, 0x10fff0e}, + {0x110ff1c, 0x110ff1c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff22}, + {0x12eff33, 0x12effa6}, + {0x12fff33, 0x12fffc7}, + {0x130ff33, 0x130fffb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0d, 0x10fff0d}, + {0x110ff1a, 0x110ff1a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff99}, + {0x12effc2, 0x12effb0}, + {0x12ffff5, 0x12fffac}, + {0x130ff28, 0x130ffb7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0d, 0x10fff0d}, + {0x110ff1a, 0x110ff1a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff80}, + {0x12eff1e, 0x12eff6b}, + {0x12fff85, 0x12ffffb}, + {0x130ff6b, 0x130ffe0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0d, 0x10fff0d}, + {0x110ff1a, 0x110ff1a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff67}, + {0x12eff7a, 0x12eff26}, + {0x12fff14, 0x12fff4a}, + {0x130ffae, 0x130ff0a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0d, 0x10fff0d}, + {0x110ff1a, 0x110ff1a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff4e}, + {0x12effd7, 0x12effe1}, + {0x12fffa3, 0x12fff98}, + {0x130fff0, 0x130ff33}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0d, 0x10fff0d}, + {0x110ff1a, 0x110ff1a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff34}, + {0x12eff33, 0x12eff9c}, + {0x12fff33, 0x12fffe7}, + {0x130ff33, 0x130ff5c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0d, 0x10fff0d}, + {0x110ff1a, 0x110ff1a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff1b}, + {0x12eff8f, 0x12eff57}, + {0x12fffc2, 0x12fff36}, + {0x130ff75, 0x130ff86}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0d, 0x10fff0d}, + {0x110ff1a, 0x110ff1a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff02}, + {0x12effeb, 0x12eff12}, + {0x12fff51, 0x12fff85}, + {0x130ffb8, 0x130ffaf}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0d, 0x10fff0d}, + {0x110ff1a, 0x110ff1a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dffe9}, + {0x12eff47, 0x12effcc}, + {0x12fffe1, 0x12fffd3}, + {0x130fffa, 0x130ffd8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0d, 0x10fff0d}, + {0x110ff1a, 0x110ff1a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dffd0}, + {0x12effa3, 0x12eff87}, + {0x12fff70, 0x12fff22}, + {0x130ff3d, 0x130ff02}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0c, 0x10fff0c}, + {0x110ff18, 0x110ff18}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffe4}, + {0x12eff00, 0x12eff29}, + {0x12fff00, 0x12fff41}, + {0x130ff00, 0x130ff9e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0c, 0x10fff0c}, + {0x110ff18, 0x110ff18}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dfff4}, + {0x12effa3, 0x12eff73}, + {0x12fff70, 0x12fff62}, + {0x130ff3d, 0x130ffc4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0c, 0x10fff0c}, + {0x110ff18, 0x110ff18}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff04}, + {0x12eff47, 0x12effbe}, + {0x12fffe1, 0x12fff83}, + {0x130ff7a, 0x130ffea}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0c, 0x10fff0c}, + {0x110ff18, 0x110ff18}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff14}, + {0x12effeb, 0x12eff08}, + {0x12fff51, 0x12fffa5}, + {0x130ffb8, 0x130ff10}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0c, 0x10fff0c}, + {0x110ff18, 0x110ff18}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff24}, + {0x12eff8f, 0x12eff52}, + {0x12fffc2, 0x12fffc6}, + {0x130fff5, 0x130ff36}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0c, 0x10fff0c}, + {0x110ff18, 0x110ff18}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff34}, + {0x12eff33, 0x12eff9c}, + {0x12fff33, 0x12fffe7}, + {0x130ff33, 0x130ff5c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0c, 0x10fff0c}, + {0x110ff18, 0x110ff18}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff45}, + {0x12effd7, 0x12effe6}, + {0x12fffa3, 0x12fff08}, + {0x130ff70, 0x130ff83}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0c, 0x10fff0c}, + {0x110ff18, 0x110ff18}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff55}, + {0x12eff7a, 0x12eff30}, + {0x12fff14, 0x12fff2a}, + {0x130ffae, 0x130ffa9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0c, 0x10fff0c}, + {0x110ff18, 0x110ff18}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff65}, + {0x12eff1e, 0x12eff7a}, + {0x12fff85, 0x12fff4b}, + {0x130ffeb, 0x130ffcf}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0c, 0x10fff0c}, + {0x110ff18, 0x110ff18}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff75}, + {0x12effc2, 0x12effc4}, + {0x12ffff5, 0x12fff6c}, + {0x130ff28, 0x130fff5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0c, 0x10fff0c}, + {0x110ff18, 0x110ff18}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff85}, + {0x12eff66, 0x12eff0e}, + {0x12fff66, 0x12fff8e}, + {0x130ff66, 0x130ff1b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0c, 0x10fff0c}, + {0x110ff18, 0x110ff18}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff95}, + {0x12eff0a, 0x12eff58}, + {0x12fffd7, 0x12fffaf}, + {0x130ffa3, 0x130ff41}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0b, 0x10fff0b}, + {0x110ff16, 0x110ff16}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dffc2}, + {0x12eff0a, 0x12eff3f}, + {0x12fffd7, 0x12fff7f}, + {0x130ff23, 0x130ffb4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0b, 0x10fff0b}, + {0x110ff16, 0x110ff16}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dfffc}, + {0x12efff5, 0x12eff18}, + {0x12fff28, 0x12fff73}, + {0x130ff5c, 0x130ffd7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0b, 0x10fff0b}, + {0x110ff16, 0x110ff16}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff35}, + {0x12effe1, 0x12efff2}, + {0x12fff7a, 0x12fff66}, + {0x130ff94, 0x130fffa}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0b, 0x10fff0b}, + {0x110ff16, 0x110ff16}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff6f}, + {0x12effcc, 0x12effcb}, + {0x12fffcc, 0x12fff5a}, + {0x130ffcc, 0x130ff1d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0b, 0x10fff0b}, + {0x110ff16, 0x110ff16}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dffa8}, + {0x12effb8, 0x12effa4}, + {0x12fff1e, 0x12fff4e}, + {0x130ff05, 0x130ff40}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0b, 0x10fff0b}, + {0x110ff16, 0x110ff16}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dffe2}, + {0x12effa3, 0x12eff7d}, + {0x12fff70, 0x12fff42}, + {0x130ff3d, 0x130ff63}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0b, 0x10fff0b}, + {0x110ff16, 0x110ff16}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff1b}, + {0x12eff8f, 0x12eff57}, + {0x12fffc2, 0x12fff36}, + {0x130ff75, 0x130ff86}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0b, 0x10fff0b}, + {0x110ff16, 0x110ff16}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff55}, + {0x12eff7a, 0x12eff30}, + {0x12fff14, 0x12fff2a}, + {0x130ffae, 0x130ffa9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0b, 0x10fff0b}, + {0x110ff16, 0x110ff16}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff8e}, + {0x12eff66, 0x12eff09}, + {0x12fff66, 0x12fff1e}, + {0x130ffe6, 0x130ffcc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0b, 0x10fff0b}, + {0x110ff16, 0x110ff16}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dffc8}, + {0x12eff51, 0x12effe2}, + {0x12fffb8, 0x12fff11}, + {0x130ff1e, 0x130ffef}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0b, 0x10fff0b}, + {0x110ff16, 0x110ff16}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff01}, + {0x12eff3d, 0x12effbc}, + {0x12fff0a, 0x12fff05}, + {0x130ff57, 0x130ff12}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0b, 0x10fff0b}, + {0x110ff16, 0x110ff16}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff3b}, + {0x12eff28, 0x12eff95}, + {0x12fff5c, 0x12ffff9}, + {0x130ff8f, 0x130ff34}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0b, 0x10fff0b}, + {0x110ff16, 0x110ff16}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff74}, + {0x12eff14, 0x12eff6e}, + {0x12fffae, 0x12fffed}, + {0x130ffc7, 0x130ff57}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffe4}, + {0x12eff00, 0x12eff29}, + {0x12fff00, 0x12fff41}, + {0x130ff00, 0x130ff9e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff46}, + {0x12eff33, 0x12eff92}, + {0x12fff33, 0x12fff07}, + {0x130ff33, 0x130ffbe}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffa9}, + {0x12eff66, 0x12efffa}, + {0x12fff66, 0x12fffcd}, + {0x130ff66, 0x130ffdd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff0c}, + {0x12eff99, 0x12eff63}, + {0x12fff99, 0x12fff94}, + {0x130ff99, 0x130fffd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff6f}, + {0x12effcc, 0x12effcb}, + {0x12fffcc, 0x12fff5a}, + {0x130ffcc, 0x130ff1d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffd2}, + {0x12eff00, 0x12eff33}, + {0x12fff00, 0x12fff21}, + {0x130ff00, 0x130ff3d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff34}, + {0x12eff33, 0x12eff9c}, + {0x12fff33, 0x12fffe7}, + {0x130ff33, 0x130ff5c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff97}, + {0x12eff66, 0x12eff04}, + {0x12fff66, 0x12fffae}, + {0x130ff66, 0x130ff7c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dfffa}, + {0x12eff99, 0x12eff6c}, + {0x12fff99, 0x12fff74}, + {0x130ff99, 0x130ff9c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff5d}, + {0x12effcc, 0x12effd5}, + {0x12fffcc, 0x12fff3a}, + {0x130ffcc, 0x130ffbc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffc0}, + {0x12eff00, 0x12eff3d}, + {0x12fff00, 0x12fff01}, + {0x130ff00, 0x130ffdc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff22}, + {0x12eff33, 0x12effa6}, + {0x12fff33, 0x12fffc7}, + {0x130ff33, 0x130fffb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff85}, + {0x12eff66, 0x12eff0e}, + {0x12fff66, 0x12fff8e}, + {0x130ff66, 0x130ff1b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffe8}, + {0x12eff99, 0x12eff76}, + {0x12fff99, 0x12fff54}, + {0x130ff99, 0x130ff3b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff4b}, + {0x12effcc, 0x12effdf}, + {0x12fffcc, 0x12fff1a}, + {0x130ffcc, 0x130ff5b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffae}, + {0x12eff00, 0x12eff47}, + {0x12fff00, 0x12fffe1}, + {0x130ff00, 0x130ff7a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff10}, + {0x12eff33, 0x12effb0}, + {0x12fff33, 0x12fffa7}, + {0x130ff33, 0x130ff9a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff68}, + {0x12eff28, 0x12eff7c}, + {0x12fff5c, 0x12fffc9}, + {0x130ff0f, 0x130ffa7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dfff4}, + {0x12effa3, 0x12eff73}, + {0x12fff70, 0x12fff62}, + {0x130ff3d, 0x130ffc4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff80}, + {0x12eff1e, 0x12eff6b}, + {0x12fff85, 0x12ffffb}, + {0x130ff6b, 0x130ffe0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff0c}, + {0x12eff99, 0x12eff63}, + {0x12fff99, 0x12fff94}, + {0x130ff99, 0x130fffd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff98}, + {0x12eff14, 0x12eff5a}, + {0x12fffae, 0x12fff2d}, + {0x130ffc7, 0x130ff1a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff24}, + {0x12eff8f, 0x12eff52}, + {0x12fffc2, 0x12fffc6}, + {0x130fff5, 0x130ff36}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dffb0}, + {0x12eff0a, 0x12eff49}, + {0x12fffd7, 0x12fff5f}, + {0x130ff23, 0x130ff53}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff3c}, + {0x12eff85, 0x12eff41}, + {0x12fffeb, 0x12ffff8}, + {0x130ff51, 0x130ff6f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dffc9}, + {0x12eff00, 0x12eff38}, + {0x12fff00, 0x12fff91}, + {0x130ff80, 0x130ff8c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff55}, + {0x12eff7a, 0x12eff30}, + {0x12fff14, 0x12fff2a}, + {0x130ffae, 0x130ffa9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dffe1}, + {0x12efff5, 0x12eff27}, + {0x12fff28, 0x12fffc3}, + {0x130ffdc, 0x130ffc5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff6d}, + {0x12eff70, 0x12eff1f}, + {0x12fff3d, 0x12fff5c}, + {0x130ff0a, 0x130ffe2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dfff9}, + {0x12effeb, 0x12eff16}, + {0x12fff51, 0x12ffff5}, + {0x130ff38, 0x130fffe}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff85}, + {0x12eff66, 0x12eff0e}, + {0x12fff66, 0x12fff8e}, + {0x130ff66, 0x130ff1b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff11}, + {0x12effe1, 0x12eff06}, + {0x12fff7a, 0x12fff27}, + {0x130ff94, 0x130ff38}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff9d}, + {0x12eff5c, 0x12efffd}, + {0x12fff8f, 0x12fffbf}, + {0x130ffc2, 0x130ff54}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff2a}, + {0x12effd7, 0x12efff5}, + {0x12fffa3, 0x12fff58}, + {0x130fff0, 0x130ff71}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dffb6}, + {0x12eff51, 0x12effec}, + {0x12fffb8, 0x12ffff1}, + {0x130ff1e, 0x130ff8d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff42}, + {0x12effcc, 0x12effe4}, + {0x12fffcc, 0x12fff8a}, + {0x130ff4c, 0x130ffaa}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dffce}, + {0x12eff47, 0x12effdb}, + {0x12fffe1, 0x12fff23}, + {0x130ff7a, 0x130ffc7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff5a}, + {0x12effc2, 0x12effd3}, + {0x12ffff5, 0x12fffbc}, + {0x130ffa8, 0x130ffe3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff3e}, + {0x12effe1, 0x12effed}, + {0x12fff7a, 0x12ffff6}, + {0x130ff14, 0x130ffaa}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dfff4}, + {0x12effa3, 0x12eff73}, + {0x12fff70, 0x12fff62}, + {0x130ff3d, 0x130ffc4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffa9}, + {0x12eff66, 0x12efffa}, + {0x12fff66, 0x12fffcd}, + {0x130ff66, 0x130ffdd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff5f}, + {0x12eff28, 0x12eff81}, + {0x12fff5c, 0x12fff39}, + {0x130ff8f, 0x130fff7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff14}, + {0x12effeb, 0x12eff08}, + {0x12fff51, 0x12fffa5}, + {0x130ffb8, 0x130ff10}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dffc9}, + {0x12effae, 0x12eff8e}, + {0x12fff47, 0x12fff10}, + {0x130ffe1, 0x130ff2a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff7f}, + {0x12eff70, 0x12eff15}, + {0x12fff3d, 0x12fff7c}, + {0x130ff0a, 0x130ff43}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff34}, + {0x12eff33, 0x12eff9c}, + {0x12fff33, 0x12fffe7}, + {0x130ff33, 0x130ff5c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dffea}, + {0x12efff5, 0x12eff22}, + {0x12fff28, 0x12fff53}, + {0x130ff5c, 0x130ff76}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff9f}, + {0x12effb8, 0x12effa9}, + {0x12fff1e, 0x12fffbe}, + {0x130ff85, 0x130ff8f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff55}, + {0x12eff7a, 0x12eff30}, + {0x12fff14, 0x12fff2a}, + {0x130ffae, 0x130ffa9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff0a}, + {0x12eff3d, 0x12effb7}, + {0x12fff0a, 0x12fff95}, + {0x130ffd7, 0x130ffc2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffc0}, + {0x12eff00, 0x12eff3d}, + {0x12fff00, 0x12fff01}, + {0x130ff00, 0x130ffdc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff75}, + {0x12effc2, 0x12effc4}, + {0x12ffff5, 0x12fff6c}, + {0x130ff28, 0x130fff5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff2a}, + {0x12eff85, 0x12eff4b}, + {0x12fffeb, 0x12fffd8}, + {0x130ff51, 0x130ff0e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dffe0}, + {0x12eff47, 0x12effd1}, + {0x12fffe1, 0x12fff43}, + {0x130ff7a, 0x130ff28}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff95}, + {0x12eff0a, 0x12eff58}, + {0x12fffd7, 0x12fffaf}, + {0x130ffa3, 0x130ff41}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff4b}, + {0x12effcc, 0x12effdf}, + {0x12fffcc, 0x12fff1a}, + {0x130ffcc, 0x130ff5b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff00}, + {0x12eff8f, 0x12eff66}, + {0x12fffc2, 0x12fff86}, + {0x130fff5, 0x130ff74}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dffb6}, + {0x12eff51, 0x12effec}, + {0x12fffb8, 0x12ffff1}, + {0x130ff1e, 0x130ff8d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff6b}, + {0x12eff14, 0x12eff73}, + {0x12fffae, 0x12fff5d}, + {0x130ff47, 0x130ffa7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff21}, + {0x12effd7, 0x12efffa}, + {0x12fffa3, 0x12fffc8}, + {0x130ff70, 0x130ffc0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffd6}, + {0x12eff99, 0x12eff80}, + {0x12fff99, 0x12fff34}, + {0x130ff99, 0x130ffda}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff8b}, + {0x12eff5c, 0x12eff07}, + {0x12fff8f, 0x12fffa0}, + {0x130ffc2, 0x130fff3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff41}, + {0x12eff1e, 0x12eff8e}, + {0x12fff85, 0x12fff0b}, + {0x130ffeb, 0x130ff0d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dfff6}, + {0x12effe1, 0x12eff14}, + {0x12fff7a, 0x12fff77}, + {0x130ff14, 0x130ff26}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dffac}, + {0x12effa3, 0x12eff9b}, + {0x12fff70, 0x12fffe2}, + {0x130ff3d, 0x130ff3f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff15}, + {0x12eff99, 0x12eff5e}, + {0x12fff99, 0x12fff24}, + {0x130ff19, 0x130ffae}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dfff4}, + {0x12effa3, 0x12eff73}, + {0x12fff70, 0x12fff62}, + {0x130ff3d, 0x130ffc4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dffd2}, + {0x12effae, 0x12eff89}, + {0x12fff47, 0x12fffa0}, + {0x130ff61, 0x130ffda}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dffb1}, + {0x12effb8, 0x12eff9f}, + {0x12fff1e, 0x12fffde}, + {0x130ff85, 0x130fff0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff90}, + {0x12effc2, 0x12effb5}, + {0x12ffff5, 0x12fff1c}, + {0x130ffa8, 0x130ff07}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff6f}, + {0x12effcc, 0x12effcb}, + {0x12fffcc, 0x12fff5a}, + {0x130ffcc, 0x130ff1d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff4e}, + {0x12effd7, 0x12effe1}, + {0x12fffa3, 0x12fff98}, + {0x130fff0, 0x130ff33}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff2c}, + {0x12effe1, 0x12efff7}, + {0x12fff7a, 0x12fffd6}, + {0x130ff14, 0x130ff49}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff0b}, + {0x12effeb, 0x12eff0d}, + {0x12fff51, 0x12fff15}, + {0x130ff38, 0x130ff60}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dffea}, + {0x12efff5, 0x12eff22}, + {0x12fff28, 0x12fff53}, + {0x130ff5c, 0x130ff76}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dffc9}, + {0x12eff00, 0x12eff38}, + {0x12fff00, 0x12fff91}, + {0x130ff80, 0x130ff8c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dffa7}, + {0x12eff0a, 0x12eff4e}, + {0x12fffd7, 0x12fffcf}, + {0x130ffa3, 0x130ffa2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff86}, + {0x12eff14, 0x12eff64}, + {0x12fffae, 0x12fff0d}, + {0x130ffc7, 0x130ffb9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff65}, + {0x12eff1e, 0x12eff7a}, + {0x12fff85, 0x12fff4b}, + {0x130ffeb, 0x130ffcf}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff44}, + {0x12eff28, 0x12eff90}, + {0x12fff5c, 0x12fff89}, + {0x130ff0f, 0x130ffe5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff22}, + {0x12eff33, 0x12effa6}, + {0x12fff33, 0x12fffc7}, + {0x130ff33, 0x130fffb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff01}, + {0x12eff3d, 0x12effbc}, + {0x12fff0a, 0x12fff05}, + {0x130ff57, 0x130ff12}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dffe0}, + {0x12eff47, 0x12effd1}, + {0x12fffe1, 0x12fff43}, + {0x130ff7a, 0x130ff28}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dffbf}, + {0x12eff51, 0x12effe7}, + {0x12fffb8, 0x12fff81}, + {0x130ff9e, 0x130ff3e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff9d}, + {0x12eff5c, 0x12efffd}, + {0x12fff8f, 0x12fffbf}, + {0x130ffc2, 0x130ff54}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff7c}, + {0x12eff66, 0x12eff13}, + {0x12fff66, 0x12ffffe}, + {0x130ffe6, 0x130ff6a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff5b}, + {0x12eff70, 0x12eff29}, + {0x12fff3d, 0x12fff3c}, + {0x130ff0a, 0x130ff81}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff3a}, + {0x12eff7a, 0x12eff3f}, + {0x12fff14, 0x12fff7a}, + {0x130ff2e, 0x130ff97}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff18}, + {0x12eff85, 0x12eff55}, + {0x12fffeb, 0x12fffb8}, + {0x130ff51, 0x130ffad}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dfff7}, + {0x12eff8f, 0x12eff6a}, + {0x12fffc2, 0x12ffff6}, + {0x130ff75, 0x130ffc3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffd6}, + {0x12eff99, 0x12eff80}, + {0x12fff99, 0x12fff34}, + {0x130ff99, 0x130ffda}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dffb5}, + {0x12effa3, 0x12eff96}, + {0x12fff70, 0x12fff72}, + {0x130ffbd, 0x130fff0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff94}, + {0x12effae, 0x12effac}, + {0x12fff47, 0x12fffb0}, + {0x130ffe1, 0x130ff06}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff72}, + {0x12effb8, 0x12effc2}, + {0x12fff1e, 0x12fffee}, + {0x130ff05, 0x130ff1c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff51}, + {0x12effc2, 0x12effd8}, + {0x12ffff5, 0x12fff2c}, + {0x130ff28, 0x130ff33}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff30}, + {0x12effcc, 0x12effee}, + {0x12fffcc, 0x12fff6a}, + {0x130ff4c, 0x130ff49}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff0f}, + {0x12effd7, 0x12eff04}, + {0x12fffa3, 0x12fffa9}, + {0x130ff70, 0x130ff5f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dffed}, + {0x12effe1, 0x12eff19}, + {0x12fff7a, 0x12fffe7}, + {0x130ff94, 0x130ff75}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dffcc}, + {0x12effeb, 0x12eff2f}, + {0x12fff51, 0x12fff25}, + {0x130ffb8, 0x130ff8c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dffab}, + {0x12efff5, 0x12eff45}, + {0x12fff28, 0x12fff63}, + {0x130ffdc, 0x130ffa2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffe4}, + {0x12eff00, 0x12eff29}, + {0x12fff00, 0x12fff41}, + {0x130ff00, 0x130ff9e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dffec}, + {0x12eff51, 0x12effce}, + {0x12fffb8, 0x12fff51}, + {0x130ff1e, 0x130ffb1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dfff4}, + {0x12effa3, 0x12eff73}, + {0x12fff70, 0x12fff62}, + {0x130ff3d, 0x130ffc4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dfffc}, + {0x12efff5, 0x12eff18}, + {0x12fff28, 0x12fff73}, + {0x130ff5c, 0x130ffd7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff04}, + {0x12eff47, 0x12effbe}, + {0x12fffe1, 0x12fff83}, + {0x130ff7a, 0x130ffea}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff0c}, + {0x12eff99, 0x12eff63}, + {0x12fff99, 0x12fff94}, + {0x130ff99, 0x130fffd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff14}, + {0x12effeb, 0x12eff08}, + {0x12fff51, 0x12fffa5}, + {0x130ffb8, 0x130ff10}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff1c}, + {0x12eff3d, 0x12effad}, + {0x12fff0a, 0x12fffb5}, + {0x130ffd7, 0x130ff23}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff24}, + {0x12eff8f, 0x12eff52}, + {0x12fffc2, 0x12fffc6}, + {0x130fff5, 0x130ff36}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff2c}, + {0x12effe1, 0x12efff7}, + {0x12fff7a, 0x12fffd6}, + {0x130ff14, 0x130ff49}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff34}, + {0x12eff33, 0x12eff9c}, + {0x12fff33, 0x12fffe7}, + {0x130ff33, 0x130ff5c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff3c}, + {0x12eff85, 0x12eff41}, + {0x12fffeb, 0x12ffff8}, + {0x130ff51, 0x130ff6f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff45}, + {0x12effd7, 0x12effe6}, + {0x12fffa3, 0x12fff08}, + {0x130ff70, 0x130ff83}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff4d}, + {0x12eff28, 0x12eff8b}, + {0x12fff5c, 0x12fff19}, + {0x130ff8f, 0x130ff96}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff55}, + {0x12eff7a, 0x12eff30}, + {0x12fff14, 0x12fff2a}, + {0x130ffae, 0x130ffa9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff5d}, + {0x12effcc, 0x12effd5}, + {0x12fffcc, 0x12fff3a}, + {0x130ffcc, 0x130ffbc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff65}, + {0x12eff1e, 0x12eff7a}, + {0x12fff85, 0x12fff4b}, + {0x130ffeb, 0x130ffcf}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff6d}, + {0x12eff70, 0x12eff1f}, + {0x12fff3d, 0x12fff5c}, + {0x130ff0a, 0x130ffe2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff75}, + {0x12effc2, 0x12effc4}, + {0x12ffff5, 0x12fff6c}, + {0x130ff28, 0x130fff5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff7d}, + {0x12eff14, 0x12eff69}, + {0x12fffae, 0x12fff7d}, + {0x130ff47, 0x130ff08}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff85}, + {0x12eff66, 0x12eff0e}, + {0x12fff66, 0x12fff8e}, + {0x130ff66, 0x130ff1b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff8d}, + {0x12effb8, 0x12effb3}, + {0x12fff1e, 0x12fff9e}, + {0x130ff85, 0x130ff2e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff95}, + {0x12eff0a, 0x12eff58}, + {0x12fffd7, 0x12fffaf}, + {0x130ffa3, 0x130ff41}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff9d}, + {0x12eff5c, 0x12efffd}, + {0x12fff8f, 0x12fffbf}, + {0x130ffc2, 0x130ff54}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dffa5}, + {0x12effae, 0x12effa2}, + {0x12fff47, 0x12fffd0}, + {0x130ffe1, 0x130ff67}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffae}, + {0x12eff00, 0x12eff47}, + {0x12fff00, 0x12fffe1}, + {0x130ff00, 0x130ff7a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dffb6}, + {0x12eff51, 0x12effec}, + {0x12fffb8, 0x12ffff1}, + {0x130ff1e, 0x130ff8d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dffbe}, + {0x12effa3, 0x12eff91}, + {0x12fff70, 0x12fff02}, + {0x130ff3d, 0x130ffa1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dffc6}, + {0x12efff5, 0x12eff36}, + {0x12fff28, 0x12fff13}, + {0x130ff5c, 0x130ffb4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dffce}, + {0x12eff47, 0x12effdb}, + {0x12fffe1, 0x12fff23}, + {0x130ff7a, 0x130ffc7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffd6}, + {0x12eff99, 0x12eff80}, + {0x12fff99, 0x12fff34}, + {0x130ff99, 0x130ffda}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dffde}, + {0x12effeb, 0x12eff25}, + {0x12fff51, 0x12fff45}, + {0x130ffb8, 0x130ffed}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dffe6}, + {0x12eff3d, 0x12effca}, + {0x12fff0a, 0x12fff55}, + {0x130ffd7, 0x130ff00}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dffee}, + {0x12eff8f, 0x12eff6f}, + {0x12fffc2, 0x12fff66}, + {0x130fff5, 0x130ff13}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dfff6}, + {0x12effe1, 0x12eff14}, + {0x12fff7a, 0x12fff77}, + {0x130ff14, 0x130ff26}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dfffe}, + {0x12eff33, 0x12effb9}, + {0x12fff33, 0x12fff87}, + {0x130ff33, 0x130ff39}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff06}, + {0x12eff85, 0x12eff5f}, + {0x12fffeb, 0x12fff98}, + {0x130ff51, 0x130ff4c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff0f}, + {0x12effd7, 0x12eff04}, + {0x12fffa3, 0x12fffa9}, + {0x130ff70, 0x130ff5f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff17}, + {0x12eff28, 0x12effa9}, + {0x12fff5c, 0x12fffb9}, + {0x130ff8f, 0x130ff72}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff1f}, + {0x12eff7a, 0x12eff4e}, + {0x12fff14, 0x12fffca}, + {0x130ffae, 0x130ff85}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff27}, + {0x12effcc, 0x12efff3}, + {0x12fffcc, 0x12fffda}, + {0x130ffcc, 0x130ff98}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff2f}, + {0x12eff1e, 0x12eff98}, + {0x12fff85, 0x12fffeb}, + {0x130ffeb, 0x130ffab}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff37}, + {0x12eff70, 0x12eff3d}, + {0x12fff3d, 0x12ffffc}, + {0x130ff0a, 0x130ffbe}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff3f}, + {0x12effc2, 0x12effe2}, + {0x12ffff5, 0x12fff0c}, + {0x130ff28, 0x130ffd2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff47}, + {0x12eff14, 0x12eff87}, + {0x12fffae, 0x12fff1d}, + {0x130ff47, 0x130ffe5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff4f}, + {0x12eff66, 0x12eff2c}, + {0x12fff66, 0x12fff2e}, + {0x130ff66, 0x130fff8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff57}, + {0x12effb8, 0x12effd1}, + {0x12fff1e, 0x12fff3e}, + {0x130ff85, 0x130ff0b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff5f}, + {0x12eff0a, 0x12eff76}, + {0x12fffd7, 0x12fff4f}, + {0x130ffa3, 0x130ff1e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff67}, + {0x12eff5c, 0x12eff1b}, + {0x12fff8f, 0x12fff60}, + {0x130ffc2, 0x130ff31}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff70}, + {0x12effae, 0x12effc0}, + {0x12fff47, 0x12fff70}, + {0x130ffe1, 0x130ff44}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffe4}, + {0x12eff00, 0x12eff29}, + {0x12fff00, 0x12fff41}, + {0x130ff00, 0x130ff9e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff15}, + {0x12eff99, 0x12eff5e}, + {0x12fff99, 0x12fff24}, + {0x130ff19, 0x130ffae}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff46}, + {0x12eff33, 0x12eff92}, + {0x12fff33, 0x12fff07}, + {0x130ff33, 0x130ffbe}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff78}, + {0x12effcc, 0x12effc6}, + {0x12fffcc, 0x12fffea}, + {0x130ff4c, 0x130ffcd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffa9}, + {0x12eff66, 0x12efffa}, + {0x12fff66, 0x12fffcd}, + {0x130ff66, 0x130ffdd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dffdb}, + {0x12eff00, 0x12eff2e}, + {0x12fff00, 0x12fffb1}, + {0x130ff80, 0x130ffed}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff0c}, + {0x12eff99, 0x12eff63}, + {0x12fff99, 0x12fff94}, + {0x130ff99, 0x130fffd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff3d}, + {0x12eff33, 0x12eff97}, + {0x12fff33, 0x12fff77}, + {0x130ffb3, 0x130ff0d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff6f}, + {0x12effcc, 0x12effcb}, + {0x12fffcc, 0x12fff5a}, + {0x130ffcc, 0x130ff1d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffa0}, + {0x12eff66, 0x12effff}, + {0x12fff66, 0x12fff3d}, + {0x130ffe6, 0x130ff2d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffd2}, + {0x12eff00, 0x12eff33}, + {0x12fff00, 0x12fff21}, + {0x130ff00, 0x130ff3d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff03}, + {0x12eff99, 0x12eff68}, + {0x12fff99, 0x12fff04}, + {0x130ff19, 0x130ff4d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff34}, + {0x12eff33, 0x12eff9c}, + {0x12fff33, 0x12fffe7}, + {0x130ff33, 0x130ff5c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff66}, + {0x12effcc, 0x12effd0}, + {0x12fffcc, 0x12fffca}, + {0x130ff4c, 0x130ff6c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff97}, + {0x12eff66, 0x12eff04}, + {0x12fff66, 0x12fffae}, + {0x130ff66, 0x130ff7c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dffc9}, + {0x12eff00, 0x12eff38}, + {0x12fff00, 0x12fff91}, + {0x130ff80, 0x130ff8c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dfffa}, + {0x12eff99, 0x12eff6c}, + {0x12fff99, 0x12fff74}, + {0x130ff99, 0x130ff9c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff2b}, + {0x12eff33, 0x12effa1}, + {0x12fff33, 0x12fff57}, + {0x130ffb3, 0x130ffac}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff5d}, + {0x12effcc, 0x12effd5}, + {0x12fffcc, 0x12fff3a}, + {0x130ffcc, 0x130ffbc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff8e}, + {0x12eff66, 0x12eff09}, + {0x12fff66, 0x12fff1e}, + {0x130ffe6, 0x130ffcc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffc0}, + {0x12eff00, 0x12eff3d}, + {0x12fff00, 0x12fff01}, + {0x130ff00, 0x130ffdc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dfff1}, + {0x12eff99, 0x12eff71}, + {0x12fff99, 0x12fffe4}, + {0x130ff19, 0x130ffeb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff22}, + {0x12eff33, 0x12effa6}, + {0x12fff33, 0x12fffc7}, + {0x130ff33, 0x130fffb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff54}, + {0x12effcc, 0x12effda}, + {0x12fffcc, 0x12fffaa}, + {0x130ff4c, 0x130ff0b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff85}, + {0x12eff66, 0x12eff0e}, + {0x12fff66, 0x12fff8e}, + {0x130ff66, 0x130ff1b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dffb7}, + {0x12eff00, 0x12eff42}, + {0x12fff00, 0x12fff71}, + {0x130ff80, 0x130ff2b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffe8}, + {0x12eff99, 0x12eff76}, + {0x12fff99, 0x12fff54}, + {0x130ff99, 0x130ff3b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff19}, + {0x12eff33, 0x12effab}, + {0x12fff33, 0x12fff37}, + {0x130ffb3, 0x130ff4b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff4b}, + {0x12effcc, 0x12effdf}, + {0x12fffcc, 0x12fff1a}, + {0x130ffcc, 0x130ff5b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff7c}, + {0x12eff66, 0x12eff13}, + {0x12fff66, 0x12ffffe}, + {0x130ffe6, 0x130ff6a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffae}, + {0x12eff00, 0x12eff47}, + {0x12fff00, 0x12fffe1}, + {0x130ff00, 0x130ff7a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffdf}, + {0x12eff99, 0x12eff7b}, + {0x12fff99, 0x12fffc4}, + {0x130ff19, 0x130ff8a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff10}, + {0x12eff33, 0x12effb0}, + {0x12fff33, 0x12fffa7}, + {0x130ff33, 0x130ff9a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff42}, + {0x12effcc, 0x12effe4}, + {0x12fffcc, 0x12fff8a}, + {0x130ff4c, 0x130ffaa}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff73}, + {0x12eff66, 0x12eff18}, + {0x12fff66, 0x12fff6e}, + {0x130ff66, 0x130ffba}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dffa5}, + {0x12eff00, 0x12eff4c}, + {0x12fff00, 0x12fff51}, + {0x130ff80, 0x130ffca}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffd6}, + {0x12eff99, 0x12eff80}, + {0x12fff99, 0x12fff34}, + {0x130ff99, 0x130ffda}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff07}, + {0x12eff33, 0x12effb5}, + {0x12fff33, 0x12fff17}, + {0x130ffb3, 0x130ffea}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff39}, + {0x12effcc, 0x12effe9}, + {0x12fffcc, 0x12ffffa}, + {0x130ffcc, 0x130fff9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff6a}, + {0x12eff66, 0x12eff1d}, + {0x12fff66, 0x12fffde}, + {0x130ffe6, 0x130ff09}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff9c}, + {0x12eff00, 0x12eff51}, + {0x12fff00, 0x12fffc1}, + {0x130ff00, 0x130ff19}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffcd}, + {0x12eff99, 0x12eff85}, + {0x12fff99, 0x12fffa4}, + {0x130ff19, 0x130ff29}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dfffe}, + {0x12eff33, 0x12effb9}, + {0x12fff33, 0x12fff87}, + {0x130ff33, 0x130ff39}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff30}, + {0x12effcc, 0x12effee}, + {0x12fffcc, 0x12fff6a}, + {0x130ff4c, 0x130ff49}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff61}, + {0x12eff66, 0x12eff22}, + {0x12fff66, 0x12fff4e}, + {0x130ff66, 0x130ff59}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff93}, + {0x12eff00, 0x12eff56}, + {0x12fff00, 0x12fff31}, + {0x130ff80, 0x130ff69}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffc4}, + {0x12eff99, 0x12eff8a}, + {0x12fff99, 0x12fff14}, + {0x130ff99, 0x130ff79}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dfff5}, + {0x12eff33, 0x12effbe}, + {0x12fff33, 0x12ffff7}, + {0x130ffb3, 0x130ff88}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff27}, + {0x12effcc, 0x12efff3}, + {0x12fffcc, 0x12fffda}, + {0x130ffcc, 0x130ff98}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff58}, + {0x12eff66, 0x12eff27}, + {0x12fff66, 0x12fffbe}, + {0x130ffe6, 0x130ffa8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff8a}, + {0x12eff00, 0x12eff5b}, + {0x12fff00, 0x12fffa1}, + {0x130ff00, 0x130ffb8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffbb}, + {0x12eff99, 0x12eff8f}, + {0x12fff99, 0x12fff84}, + {0x130ff19, 0x130ffc8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffec}, + {0x12eff33, 0x12effc3}, + {0x12fff33, 0x12fff67}, + {0x130ff33, 0x130ffd8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff1e}, + {0x12effcc, 0x12efff8}, + {0x12fffcc, 0x12fff4a}, + {0x130ff4c, 0x130ffe8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff4f}, + {0x12eff66, 0x12eff2c}, + {0x12fff66, 0x12fff2e}, + {0x130ff66, 0x130fff8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff81}, + {0x12eff00, 0x12eff60}, + {0x12fff00, 0x12fff11}, + {0x130ff80, 0x130ff08}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffb2}, + {0x12eff99, 0x12eff94}, + {0x12fff99, 0x12ffff4}, + {0x130ff99, 0x130ff17}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffe3}, + {0x12eff33, 0x12effc8}, + {0x12fff33, 0x12fffd7}, + {0x130ffb3, 0x130ff27}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff15}, + {0x12effcc, 0x12efffd}, + {0x12fffcc, 0x12fffba}, + {0x130ffcc, 0x130ff37}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff46}, + {0x12eff66, 0x12eff31}, + {0x12fff66, 0x12fff9e}, + {0x130ffe6, 0x130ff47}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff78}, + {0x12eff00, 0x12eff65}, + {0x12fff00, 0x12fff81}, + {0x130ff00, 0x130ff57}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffa9}, + {0x12eff99, 0x12eff99}, + {0x12fff99, 0x12fff64}, + {0x130ff19, 0x130ff67}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffda}, + {0x12eff33, 0x12effcd}, + {0x12fff33, 0x12fff47}, + {0x130ff33, 0x130ff77}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff0c}, + {0x12effcc, 0x12eff02}, + {0x12fffcc, 0x12fff2b}, + {0x130ff4c, 0x130ff87}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff3d}, + {0x12eff66, 0x12eff36}, + {0x12fff66, 0x12fff0e}, + {0x130ff66, 0x130ff97}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff6f}, + {0x12eff00, 0x12eff6a}, + {0x12fff00, 0x12ffff1}, + {0x130ff80, 0x130ffa6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffa0}, + {0x12eff99, 0x12eff9e}, + {0x12fff99, 0x12fffd4}, + {0x130ff99, 0x130ffb6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffd1}, + {0x12eff33, 0x12effd2}, + {0x12fff33, 0x12fffb7}, + {0x130ffb3, 0x130ffc6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff03}, + {0x12effcc, 0x12eff07}, + {0x12fffcc, 0x12fff9b}, + {0x130ffcc, 0x130ffd6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff34}, + {0x12eff66, 0x12eff3b}, + {0x12fff66, 0x12fff7e}, + {0x130ffe6, 0x130ffe6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff66}, + {0x12eff00, 0x12eff6f}, + {0x12fff00, 0x12fff61}, + {0x130ff00, 0x130fff6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff97}, + {0x12eff99, 0x12effa3}, + {0x12fff99, 0x12fff44}, + {0x130ff19, 0x130ff06}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffc8}, + {0x12eff33, 0x12effd7}, + {0x12fff33, 0x12fff27}, + {0x130ff33, 0x130ff16}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dfffa}, + {0x12effcc, 0x12eff0b}, + {0x12fffcc, 0x12fff0b}, + {0x130ff4c, 0x130ff26}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff2b}, + {0x12eff66, 0x12eff40}, + {0x12fff66, 0x12fffee}, + {0x130ff66, 0x130ff35}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffe4}, + {0x12eff00, 0x12eff29}, + {0x12fff00, 0x12fff41}, + {0x130ff00, 0x130ff9e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff3e}, + {0x12effe1, 0x12effed}, + {0x12fff7a, 0x12ffff6}, + {0x130ff14, 0x130ffaa}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff99}, + {0x12effc2, 0x12effb0}, + {0x12ffff5, 0x12fffac}, + {0x130ff28, 0x130ffb7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dfff4}, + {0x12effa3, 0x12eff73}, + {0x12fff70, 0x12fff62}, + {0x130ff3d, 0x130ffc4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff4e}, + {0x12eff85, 0x12eff37}, + {0x12fffeb, 0x12fff18}, + {0x130ff51, 0x130ffd1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffa9}, + {0x12eff66, 0x12efffa}, + {0x12fff66, 0x12fffcd}, + {0x130ff66, 0x130ffdd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff04}, + {0x12eff47, 0x12effbe}, + {0x12fffe1, 0x12fff83}, + {0x130ff7a, 0x130ffea}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff5f}, + {0x12eff28, 0x12eff81}, + {0x12fff5c, 0x12fff39}, + {0x130ff8f, 0x130fff7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dffb9}, + {0x12eff0a, 0x12eff44}, + {0x12fffd7, 0x12fffef}, + {0x130ffa3, 0x130ff03}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff14}, + {0x12effeb, 0x12eff08}, + {0x12fff51, 0x12fffa5}, + {0x130ffb8, 0x130ff10}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff6f}, + {0x12effcc, 0x12effcb}, + {0x12fffcc, 0x12fff5a}, + {0x130ffcc, 0x130ff1d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dffc9}, + {0x12effae, 0x12eff8e}, + {0x12fff47, 0x12fff10}, + {0x130ffe1, 0x130ff2a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff24}, + {0x12eff8f, 0x12eff52}, + {0x12fffc2, 0x12fffc6}, + {0x130fff5, 0x130ff36}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff7f}, + {0x12eff70, 0x12eff15}, + {0x12fff3d, 0x12fff7c}, + {0x130ff0a, 0x130ff43}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dffda}, + {0x12eff51, 0x12effd8}, + {0x12fffb8, 0x12fff31}, + {0x130ff1e, 0x130ff50}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff34}, + {0x12eff33, 0x12eff9c}, + {0x12fff33, 0x12fffe7}, + {0x130ff33, 0x130ff5c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff8f}, + {0x12eff14, 0x12eff5f}, + {0x12fffae, 0x12fff9d}, + {0x130ff47, 0x130ff69}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dffea}, + {0x12efff5, 0x12eff22}, + {0x12fff28, 0x12fff53}, + {0x130ff5c, 0x130ff76}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff45}, + {0x12effd7, 0x12effe6}, + {0x12fffa3, 0x12fff08}, + {0x130ff70, 0x130ff83}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff9f}, + {0x12effb8, 0x12effa9}, + {0x12fff1e, 0x12fffbe}, + {0x130ff85, 0x130ff8f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dfffa}, + {0x12eff99, 0x12eff6c}, + {0x12fff99, 0x12fff74}, + {0x130ff99, 0x130ff9c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff55}, + {0x12eff7a, 0x12eff30}, + {0x12fff14, 0x12fff2a}, + {0x130ffae, 0x130ffa9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dffaf}, + {0x12eff5c, 0x12efff3}, + {0x12fff8f, 0x12fffdf}, + {0x130ffc2, 0x130ffb5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff0a}, + {0x12eff3d, 0x12effb7}, + {0x12fff0a, 0x12fff95}, + {0x130ffd7, 0x130ffc2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff65}, + {0x12eff1e, 0x12eff7a}, + {0x12fff85, 0x12fff4b}, + {0x130ffeb, 0x130ffcf}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffc0}, + {0x12eff00, 0x12eff3d}, + {0x12fff00, 0x12fff01}, + {0x130ff00, 0x130ffdc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff1a}, + {0x12effe1, 0x12eff01}, + {0x12fff7a, 0x12fffb7}, + {0x130ff14, 0x130ffe8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff75}, + {0x12effc2, 0x12effc4}, + {0x12ffff5, 0x12fff6c}, + {0x130ff28, 0x130fff5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dffd0}, + {0x12effa3, 0x12eff87}, + {0x12fff70, 0x12fff22}, + {0x130ff3d, 0x130ff02}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff2a}, + {0x12eff85, 0x12eff4b}, + {0x12fffeb, 0x12fffd8}, + {0x130ff51, 0x130ff0e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff85}, + {0x12eff66, 0x12eff0e}, + {0x12fff66, 0x12fff8e}, + {0x130ff66, 0x130ff1b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dffe0}, + {0x12eff47, 0x12effd1}, + {0x12fffe1, 0x12fff43}, + {0x130ff7a, 0x130ff28}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff3b}, + {0x12eff28, 0x12eff95}, + {0x12fff5c, 0x12ffff9}, + {0x130ff8f, 0x130ff34}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff95}, + {0x12eff0a, 0x12eff58}, + {0x12fffd7, 0x12fffaf}, + {0x130ffa3, 0x130ff41}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dfff0}, + {0x12effeb, 0x12eff1b}, + {0x12fff51, 0x12fff65}, + {0x130ffb8, 0x130ff4e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff4b}, + {0x12effcc, 0x12effdf}, + {0x12fffcc, 0x12fff1a}, + {0x130ffcc, 0x130ff5b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dffa5}, + {0x12effae, 0x12effa2}, + {0x12fff47, 0x12fffd0}, + {0x130ffe1, 0x130ff67}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff00}, + {0x12eff8f, 0x12eff66}, + {0x12fffc2, 0x12fff86}, + {0x130fff5, 0x130ff74}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff5b}, + {0x12eff70, 0x12eff29}, + {0x12fff3d, 0x12fff3c}, + {0x130ff0a, 0x130ff81}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dffb6}, + {0x12eff51, 0x12effec}, + {0x12fffb8, 0x12ffff1}, + {0x130ff1e, 0x130ff8d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff10}, + {0x12eff33, 0x12effb0}, + {0x12fff33, 0x12fffa7}, + {0x130ff33, 0x130ff9a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff6b}, + {0x12eff14, 0x12eff73}, + {0x12fffae, 0x12fff5d}, + {0x130ff47, 0x130ffa7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dffc6}, + {0x12efff5, 0x12eff36}, + {0x12fff28, 0x12fff13}, + {0x130ff5c, 0x130ffb4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff21}, + {0x12effd7, 0x12efffa}, + {0x12fffa3, 0x12fffc8}, + {0x130ff70, 0x130ffc0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff7b}, + {0x12effb8, 0x12effbd}, + {0x12fff1e, 0x12fff7e}, + {0x130ff85, 0x130ffcd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffd6}, + {0x12eff99, 0x12eff80}, + {0x12fff99, 0x12fff34}, + {0x130ff99, 0x130ffda}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff31}, + {0x12eff7a, 0x12eff44}, + {0x12fff14, 0x12fffea}, + {0x130ffae, 0x130ffe6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff8b}, + {0x12eff5c, 0x12eff07}, + {0x12fff8f, 0x12fffa0}, + {0x130ffc2, 0x130fff3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dffe6}, + {0x12eff3d, 0x12effca}, + {0x12fff0a, 0x12fff55}, + {0x130ffd7, 0x130ff00}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff41}, + {0x12eff1e, 0x12eff8e}, + {0x12fff85, 0x12fff0b}, + {0x130ffeb, 0x130ff0d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff9c}, + {0x12eff00, 0x12eff51}, + {0x12fff00, 0x12fffc1}, + {0x130ff00, 0x130ff19}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dfff6}, + {0x12effe1, 0x12eff14}, + {0x12fff7a, 0x12fff77}, + {0x130ff14, 0x130ff26}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff51}, + {0x12effc2, 0x12effd8}, + {0x12ffff5, 0x12fff2c}, + {0x130ff28, 0x130ff33}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dffac}, + {0x12effa3, 0x12eff9b}, + {0x12fff70, 0x12fffe2}, + {0x130ff3d, 0x130ff3f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff06}, + {0x12eff85, 0x12eff5f}, + {0x12fffeb, 0x12fff98}, + {0x130ff51, 0x130ff4c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff61}, + {0x12eff66, 0x12eff22}, + {0x12fff66, 0x12fff4e}, + {0x130ff66, 0x130ff59}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dffbc}, + {0x12eff47, 0x12effe5}, + {0x12fffe1, 0x12fff03}, + {0x130ff7a, 0x130ff66}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff17}, + {0x12eff28, 0x12effa9}, + {0x12fff5c, 0x12fffb9}, + {0x130ff8f, 0x130ff72}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff71}, + {0x12eff0a, 0x12eff6c}, + {0x12fffd7, 0x12fff6f}, + {0x130ffa3, 0x130ff7f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dffcc}, + {0x12effeb, 0x12eff2f}, + {0x12fff51, 0x12fff25}, + {0x130ffb8, 0x130ff8c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff27}, + {0x12effcc, 0x12efff3}, + {0x12fffcc, 0x12fffda}, + {0x130ffcc, 0x130ff98}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff82}, + {0x12effae, 0x12effb6}, + {0x12fff47, 0x12fff90}, + {0x130ffe1, 0x130ffa5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dffdc}, + {0x12eff8f, 0x12eff79}, + {0x12fffc2, 0x12fff46}, + {0x130fff5, 0x130ffb2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff37}, + {0x12eff70, 0x12eff3d}, + {0x12fff3d, 0x12ffffc}, + {0x130ff0a, 0x130ffbe}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff92}, + {0x12eff51, 0x12eff00}, + {0x12fffb8, 0x12fffb2}, + {0x130ff1e, 0x130ffcb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffec}, + {0x12eff33, 0x12effc3}, + {0x12fff33, 0x12fff67}, + {0x130ff33, 0x130ffd8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff47}, + {0x12eff14, 0x12eff87}, + {0x12fffae, 0x12fff1d}, + {0x130ff47, 0x130ffe5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dffa2}, + {0x12efff5, 0x12eff4a}, + {0x12fff28, 0x12fffd3}, + {0x130ff5c, 0x130fff1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dfffd}, + {0x12effd7, 0x12eff0d}, + {0x12fffa3, 0x12fff89}, + {0x130ff70, 0x130fffe}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff57}, + {0x12effb8, 0x12effd1}, + {0x12fff1e, 0x12fff3e}, + {0x130ff85, 0x130ff0b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffb2}, + {0x12eff99, 0x12eff94}, + {0x12fff99, 0x12ffff4}, + {0x130ff99, 0x130ff17}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff0d}, + {0x12eff7a, 0x12eff58}, + {0x12fff14, 0x12fffaa}, + {0x130ffae, 0x130ff24}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff67}, + {0x12eff5c, 0x12eff1b}, + {0x12fff8f, 0x12fff60}, + {0x130ffc2, 0x130ff31}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dffc2}, + {0x12eff3d, 0x12effde}, + {0x12fff0a, 0x12fff15}, + {0x130ffd7, 0x130ff3e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff1d}, + {0x12eff1e, 0x12effa2}, + {0x12fff85, 0x12fffcb}, + {0x130ffeb, 0x130ff4a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff78}, + {0x12eff00, 0x12eff65}, + {0x12fff00, 0x12fff81}, + {0x130ff00, 0x130ff57}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dffd2}, + {0x12effe1, 0x12eff28}, + {0x12fff7a, 0x12fff37}, + {0x130ff14, 0x130ff64}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff2d}, + {0x12effc2, 0x12effec}, + {0x12ffff5, 0x12fffec}, + {0x130ff28, 0x130ff70}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff88}, + {0x12effa3, 0x12effaf}, + {0x12fff70, 0x12fffa2}, + {0x130ff3d, 0x130ff7d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dffe2}, + {0x12eff85, 0x12eff72}, + {0x12fffeb, 0x12fff58}, + {0x130ff51, 0x130ff8a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff3d}, + {0x12eff66, 0x12eff36}, + {0x12fff66, 0x12fff0e}, + {0x130ff66, 0x130ff97}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff98}, + {0x12eff47, 0x12efff9}, + {0x12fffe1, 0x12fffc3}, + {0x130ff7a, 0x130ffa3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dfff3}, + {0x12eff28, 0x12effbc}, + {0x12fff5c, 0x12fff79}, + {0x130ff8f, 0x130ffb0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff4d}, + {0x12eff0a, 0x12eff80}, + {0x12fffd7, 0x12fff2f}, + {0x130ffa3, 0x130ffbd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dffa8}, + {0x12effeb, 0x12eff43}, + {0x12fff51, 0x12fffe5}, + {0x130ffb8, 0x130ffc9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff03}, + {0x12effcc, 0x12eff07}, + {0x12fffcc, 0x12fff9b}, + {0x130ffcc, 0x130ffd6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff5e}, + {0x12effae, 0x12effca}, + {0x12fff47, 0x12fff50}, + {0x130ffe1, 0x130ffe3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dffb8}, + {0x12eff8f, 0x12eff8d}, + {0x12fffc2, 0x12fff06}, + {0x130fff5, 0x130fff0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff13}, + {0x12eff70, 0x12eff51}, + {0x12fff3d, 0x12fffbc}, + {0x130ff0a, 0x130fffc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff6e}, + {0x12eff51, 0x12eff14}, + {0x12fffb8, 0x12fff72}, + {0x130ff1e, 0x130ff09}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffc8}, + {0x12eff33, 0x12effd7}, + {0x12fff33, 0x12fff27}, + {0x130ff33, 0x130ff16}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff23}, + {0x12eff14, 0x12eff9b}, + {0x12fffae, 0x12fffdd}, + {0x130ff47, 0x130ff22}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff7e}, + {0x12efff5, 0x12eff5e}, + {0x12fff28, 0x12fff93}, + {0x130ff5c, 0x130ff2f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dffd9}, + {0x12effd7, 0x12eff21}, + {0x12fffa3, 0x12fff49}, + {0x130ff70, 0x130ff3c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff33}, + {0x12effb8, 0x12effe5}, + {0x12fff1e, 0x12ffffe}, + {0x130ff85, 0x130ff48}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff8e}, + {0x12eff99, 0x12effa8}, + {0x12fff99, 0x12fffb4}, + {0x130ff99, 0x130ff55}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dffe9}, + {0x12eff7a, 0x12eff6b}, + {0x12fff14, 0x12fff6a}, + {0x130ffae, 0x130ff62}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff43}, + {0x12eff5c, 0x12eff2f}, + {0x12fff8f, 0x12fff20}, + {0x130ffc2, 0x130ff6f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff9e}, + {0x12eff3d, 0x12efff2}, + {0x12fff0a, 0x12fffd5}, + {0x130ffd7, 0x130ff7b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dfff9}, + {0x12eff1e, 0x12effb5}, + {0x12fff85, 0x12fff8b}, + {0x130ffeb, 0x130ff88}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff54}, + {0x12eff00, 0x12eff79}, + {0x12fff00, 0x12fff41}, + {0x130ff00, 0x130ff95}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dffae}, + {0x12effe1, 0x12eff3c}, + {0x12fff7a, 0x12ffff7}, + {0x130ff14, 0x130ffa1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff09}, + {0x12effc2, 0x12eff00}, + {0x12ffff5, 0x12fffad}, + {0x130ff28, 0x130ffae}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff64}, + {0x12effa3, 0x12effc3}, + {0x12fff70, 0x12fff62}, + {0x130ff3d, 0x130ffbb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dffbe}, + {0x12eff85, 0x12eff86}, + {0x12fffeb, 0x12fff18}, + {0x130ff51, 0x130ffc8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff19}, + {0x12eff66, 0x12eff4a}, + {0x12fff66, 0x12fffce}, + {0x130ff66, 0x130ffd4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff74}, + {0x12eff47, 0x12eff0d}, + {0x12fffe1, 0x12fff84}, + {0x130ff7a, 0x130ffe1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dffcf}, + {0x12eff28, 0x12effd0}, + {0x12fff5c, 0x12fff39}, + {0x130ff8f, 0x130ffee}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff29}, + {0x12eff0a, 0x12eff94}, + {0x12fffd7, 0x12fffef}, + {0x130ffa3, 0x130fffa}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff84}, + {0x12effeb, 0x12eff57}, + {0x12fff51, 0x12fffa5}, + {0x130ffb8, 0x130ff07}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffdf}, + {0x12effcc, 0x12eff1a}, + {0x12fffcc, 0x12fff5b}, + {0x130ffcc, 0x130ff14}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff3a}, + {0x12effae, 0x12effde}, + {0x12fff47, 0x12fff10}, + {0x130ffe1, 0x130ff21}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff94}, + {0x12eff8f, 0x12effa1}, + {0x12fffc2, 0x12fffc6}, + {0x130fff5, 0x130ff2d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dffef}, + {0x12eff70, 0x12eff64}, + {0x12fff3d, 0x12fff7c}, + {0x130ff0a, 0x130ff3a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff4a}, + {0x12eff51, 0x12eff28}, + {0x12fffb8, 0x12fff32}, + {0x130ff1e, 0x130ff47}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffa4}, + {0x12eff33, 0x12effeb}, + {0x12fff33, 0x12fffe7}, + {0x130ff33, 0x130ff53}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dffff}, + {0x12eff14, 0x12effae}, + {0x12fffae, 0x12fff9d}, + {0x130ff47, 0x130ff60}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff5a}, + {0x12efff5, 0x12eff72}, + {0x12fff28, 0x12fff53}, + {0x130ff5c, 0x130ff6d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dffb5}, + {0x12effd7, 0x12eff35}, + {0x12fffa3, 0x12fff09}, + {0x130ff70, 0x130ff7a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff0f}, + {0x12effb8, 0x12efff9}, + {0x12fff1e, 0x12fffbe}, + {0x130ff85, 0x130ff86}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff6a}, + {0x12eff99, 0x12effbc}, + {0x12fff99, 0x12fff74}, + {0x130ff99, 0x130ff93}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dffc5}, + {0x12eff7a, 0x12eff7f}, + {0x12fff14, 0x12fff2a}, + {0x130ffae, 0x130ffa0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff1f}, + {0x12eff5c, 0x12eff43}, + {0x12fff8f, 0x12fffe0}, + {0x130ffc2, 0x130ffac}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff7a}, + {0x12eff3d, 0x12eff06}, + {0x12fff0a, 0x12fff96}, + {0x130ffd7, 0x130ffb9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dffd5}, + {0x12eff1e, 0x12effc9}, + {0x12fff85, 0x12fff4b}, + {0x130ffeb, 0x130ffc6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffe4}, + {0x12eff00, 0x12eff29}, + {0x12fff00, 0x12fff41}, + {0x130ff00, 0x130ff9e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff68}, + {0x12eff28, 0x12eff7c}, + {0x12fff5c, 0x12fffc9}, + {0x130ff0f, 0x130ffa7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dffec}, + {0x12eff51, 0x12effce}, + {0x12fffb8, 0x12fff51}, + {0x130ff1e, 0x130ffb1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff70}, + {0x12eff7a, 0x12eff21}, + {0x12fff14, 0x12fffda}, + {0x130ff2e, 0x130ffba}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dfff4}, + {0x12effa3, 0x12eff73}, + {0x12fff70, 0x12fff62}, + {0x130ff3d, 0x130ffc4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff78}, + {0x12effcc, 0x12effc6}, + {0x12fffcc, 0x12fffea}, + {0x130ff4c, 0x130ffcd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dfffc}, + {0x12efff5, 0x12eff18}, + {0x12fff28, 0x12fff73}, + {0x130ff5c, 0x130ffd7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff80}, + {0x12eff1e, 0x12eff6b}, + {0x12fff85, 0x12ffffb}, + {0x130ff6b, 0x130ffe0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff04}, + {0x12eff47, 0x12effbe}, + {0x12fffe1, 0x12fff83}, + {0x130ff7a, 0x130ffea}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff88}, + {0x12eff70, 0x12eff10}, + {0x12fff3d, 0x12fff0c}, + {0x130ff8a, 0x130fff4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff0c}, + {0x12eff99, 0x12eff63}, + {0x12fff99, 0x12fff94}, + {0x130ff99, 0x130fffd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff90}, + {0x12effc2, 0x12effb5}, + {0x12ffff5, 0x12fff1c}, + {0x130ffa8, 0x130ff07}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff14}, + {0x12effeb, 0x12eff08}, + {0x12fff51, 0x12fffa5}, + {0x130ffb8, 0x130ff10}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff98}, + {0x12eff14, 0x12eff5a}, + {0x12fffae, 0x12fff2d}, + {0x130ffc7, 0x130ff1a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff1c}, + {0x12eff3d, 0x12effad}, + {0x12fff0a, 0x12fffb5}, + {0x130ffd7, 0x130ff23}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffa0}, + {0x12eff66, 0x12effff}, + {0x12fff66, 0x12fff3d}, + {0x130ffe6, 0x130ff2d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff24}, + {0x12eff8f, 0x12eff52}, + {0x12fffc2, 0x12fffc6}, + {0x130fff5, 0x130ff36}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dffa8}, + {0x12effb8, 0x12effa4}, + {0x12fff1e, 0x12fff4e}, + {0x130ff05, 0x130ff40}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff2c}, + {0x12effe1, 0x12efff7}, + {0x12fff7a, 0x12fffd6}, + {0x130ff14, 0x130ff49}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dffb0}, + {0x12eff0a, 0x12eff49}, + {0x12fffd7, 0x12fff5f}, + {0x130ff23, 0x130ff53}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff34}, + {0x12eff33, 0x12eff9c}, + {0x12fff33, 0x12fffe7}, + {0x130ff33, 0x130ff5c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dffb8}, + {0x12eff5c, 0x12effee}, + {0x12fff8f, 0x12fff6f}, + {0x130ff42, 0x130ff66}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff3c}, + {0x12eff85, 0x12eff41}, + {0x12fffeb, 0x12ffff8}, + {0x130ff51, 0x130ff6f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dffc0}, + {0x12effae, 0x12eff93}, + {0x12fff47, 0x12fff80}, + {0x130ff61, 0x130ff79}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff45}, + {0x12effd7, 0x12effe6}, + {0x12fffa3, 0x12fff08}, + {0x130ff70, 0x130ff83}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dffc9}, + {0x12eff00, 0x12eff38}, + {0x12fff00, 0x12fff91}, + {0x130ff80, 0x130ff8c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff4d}, + {0x12eff28, 0x12eff8b}, + {0x12fff5c, 0x12fff19}, + {0x130ff8f, 0x130ff96}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dffd1}, + {0x12eff51, 0x12effdd}, + {0x12fffb8, 0x12fffa1}, + {0x130ff9e, 0x130ff9f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff55}, + {0x12eff7a, 0x12eff30}, + {0x12fff14, 0x12fff2a}, + {0x130ffae, 0x130ffa9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dffd9}, + {0x12effa3, 0x12eff82}, + {0x12fff70, 0x12fffb2}, + {0x130ffbd, 0x130ffb2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff5d}, + {0x12effcc, 0x12effd5}, + {0x12fffcc, 0x12fff3a}, + {0x130ffcc, 0x130ffbc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dffe1}, + {0x12efff5, 0x12eff27}, + {0x12fff28, 0x12fffc3}, + {0x130ffdc, 0x130ffc5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff65}, + {0x12eff1e, 0x12eff7a}, + {0x12fff85, 0x12fff4b}, + {0x130ffeb, 0x130ffcf}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dffe9}, + {0x12eff47, 0x12effcc}, + {0x12fffe1, 0x12fffd3}, + {0x130fffa, 0x130ffd8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff6d}, + {0x12eff70, 0x12eff1f}, + {0x12fff3d, 0x12fff5c}, + {0x130ff0a, 0x130ffe2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dfff1}, + {0x12eff99, 0x12eff71}, + {0x12fff99, 0x12fffe4}, + {0x130ff19, 0x130ffeb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff75}, + {0x12effc2, 0x12effc4}, + {0x12ffff5, 0x12fff6c}, + {0x130ff28, 0x130fff5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dfff9}, + {0x12effeb, 0x12eff16}, + {0x12fff51, 0x12ffff5}, + {0x130ff38, 0x130fffe}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff7d}, + {0x12eff14, 0x12eff69}, + {0x12fffae, 0x12fff7d}, + {0x130ff47, 0x130ff08}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff01}, + {0x12eff3d, 0x12effbc}, + {0x12fff0a, 0x12fff05}, + {0x130ff57, 0x130ff12}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff85}, + {0x12eff66, 0x12eff0e}, + {0x12fff66, 0x12fff8e}, + {0x130ff66, 0x130ff1b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff09}, + {0x12eff8f, 0x12eff61}, + {0x12fffc2, 0x12fff16}, + {0x130ff75, 0x130ff25}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff8d}, + {0x12effb8, 0x12effb3}, + {0x12fff1e, 0x12fff9e}, + {0x130ff85, 0x130ff2e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff11}, + {0x12effe1, 0x12eff06}, + {0x12fff7a, 0x12fff27}, + {0x130ff94, 0x130ff38}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff95}, + {0x12eff0a, 0x12eff58}, + {0x12fffd7, 0x12fffaf}, + {0x130ffa3, 0x130ff41}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff19}, + {0x12eff33, 0x12effab}, + {0x12fff33, 0x12fff37}, + {0x130ffb3, 0x130ff4b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff9d}, + {0x12eff5c, 0x12efffd}, + {0x12fff8f, 0x12fffbf}, + {0x130ffc2, 0x130ff54}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff21}, + {0x12eff85, 0x12eff50}, + {0x12fffeb, 0x12fff48}, + {0x130ffd1, 0x130ff5e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dffa5}, + {0x12effae, 0x12effa2}, + {0x12fff47, 0x12fffd0}, + {0x130ffe1, 0x130ff67}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff2a}, + {0x12effd7, 0x12efff5}, + {0x12fffa3, 0x12fff58}, + {0x130fff0, 0x130ff71}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffae}, + {0x12eff00, 0x12eff47}, + {0x12fff00, 0x12fffe1}, + {0x130ff00, 0x130ff7a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff32}, + {0x12eff28, 0x12eff9a}, + {0x12fff5c, 0x12fff69}, + {0x130ff0f, 0x130ff84}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dffb6}, + {0x12eff51, 0x12effec}, + {0x12fffb8, 0x12ffff1}, + {0x130ff1e, 0x130ff8d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff3a}, + {0x12eff7a, 0x12eff3f}, + {0x12fff14, 0x12fff7a}, + {0x130ff2e, 0x130ff97}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dffbe}, + {0x12effa3, 0x12eff91}, + {0x12fff70, 0x12fff02}, + {0x130ff3d, 0x130ffa1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff42}, + {0x12effcc, 0x12effe4}, + {0x12fffcc, 0x12fff8a}, + {0x130ff4c, 0x130ffaa}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dffc6}, + {0x12efff5, 0x12eff36}, + {0x12fff28, 0x12fff13}, + {0x130ff5c, 0x130ffb4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff4a}, + {0x12eff1e, 0x12eff89}, + {0x12fff85, 0x12fff9b}, + {0x130ff6b, 0x130ffbd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dffce}, + {0x12eff47, 0x12effdb}, + {0x12fffe1, 0x12fff23}, + {0x130ff7a, 0x130ffc7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff52}, + {0x12eff70, 0x12eff2e}, + {0x12fff3d, 0x12fffac}, + {0x130ff8a, 0x130ffd0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffd6}, + {0x12eff99, 0x12eff80}, + {0x12fff99, 0x12fff34}, + {0x130ff99, 0x130ffda}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff5a}, + {0x12effc2, 0x12effd3}, + {0x12ffff5, 0x12fffbc}, + {0x130ffa8, 0x130ffe3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dffde}, + {0x12effeb, 0x12eff25}, + {0x12fff51, 0x12fff45}, + {0x130ffb8, 0x130ffed}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff62}, + {0x12eff14, 0x12eff78}, + {0x12fffae, 0x12fffcd}, + {0x130ffc7, 0x130fff6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dffe6}, + {0x12eff3d, 0x12effca}, + {0x12fff0a, 0x12fff55}, + {0x130ffd7, 0x130ff00}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff6a}, + {0x12eff66, 0x12eff1d}, + {0x12fff66, 0x12fffde}, + {0x130ffe6, 0x130ff09}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dffee}, + {0x12eff8f, 0x12eff6f}, + {0x12fffc2, 0x12fff66}, + {0x130fff5, 0x130ff13}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff72}, + {0x12effb8, 0x12effc2}, + {0x12fff1e, 0x12fffee}, + {0x130ff05, 0x130ff1c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dfff6}, + {0x12effe1, 0x12eff14}, + {0x12fff7a, 0x12fff77}, + {0x130ff14, 0x130ff26}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff7a}, + {0x12eff0a, 0x12eff67}, + {0x12fffd7, 0x12fffff}, + {0x130ff23, 0x130ff2f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dfffe}, + {0x12eff33, 0x12effb9}, + {0x12fff33, 0x12fff87}, + {0x130ff33, 0x130ff39}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff82}, + {0x12eff5c, 0x12eff0c}, + {0x12fff8f, 0x12fff10}, + {0x130ff42, 0x130ff43}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff06}, + {0x12eff85, 0x12eff5f}, + {0x12fffeb, 0x12fff98}, + {0x130ff51, 0x130ff4c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff8b}, + {0x12effae, 0x12effb1}, + {0x12fff47, 0x12fff20}, + {0x130ff61, 0x130ff56}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff0f}, + {0x12effd7, 0x12eff04}, + {0x12fffa3, 0x12fffa9}, + {0x130ff70, 0x130ff5f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff93}, + {0x12eff00, 0x12eff56}, + {0x12fff00, 0x12fff31}, + {0x130ff80, 0x130ff69}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff17}, + {0x12eff28, 0x12effa9}, + {0x12fff5c, 0x12fffb9}, + {0x130ff8f, 0x130ff72}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff9b}, + {0x12eff51, 0x12efffb}, + {0x12fffb8, 0x12fff41}, + {0x130ff9e, 0x130ff7c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff1f}, + {0x12eff7a, 0x12eff4e}, + {0x12fff14, 0x12fffca}, + {0x130ffae, 0x130ff85}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dffa3}, + {0x12effa3, 0x12effa0}, + {0x12fff70, 0x12fff52}, + {0x130ffbd, 0x130ff8f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff27}, + {0x12effcc, 0x12efff3}, + {0x12fffcc, 0x12fffda}, + {0x130ffcc, 0x130ff98}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dffab}, + {0x12efff5, 0x12eff45}, + {0x12fff28, 0x12fff63}, + {0x130ffdc, 0x130ffa2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff2f}, + {0x12eff1e, 0x12eff98}, + {0x12fff85, 0x12fffeb}, + {0x130ffeb, 0x130ffab}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dffb3}, + {0x12eff47, 0x12effea}, + {0x12fffe1, 0x12fff73}, + {0x130fffa, 0x130ffb5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff37}, + {0x12eff70, 0x12eff3d}, + {0x12fff3d, 0x12ffffc}, + {0x130ff0a, 0x130ffbe}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffbb}, + {0x12eff99, 0x12eff8f}, + {0x12fff99, 0x12fff84}, + {0x130ff19, 0x130ffc8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff3f}, + {0x12effc2, 0x12effe2}, + {0x12ffff5, 0x12fff0c}, + {0x130ff28, 0x130ffd2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dffc3}, + {0x12effeb, 0x12eff34}, + {0x12fff51, 0x12fff95}, + {0x130ff38, 0x130ffdb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff47}, + {0x12eff14, 0x12eff87}, + {0x12fffae, 0x12fff1d}, + {0x130ff47, 0x130ffe5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dffcb}, + {0x12eff3d, 0x12effd9}, + {0x12fff0a, 0x12fffa5}, + {0x130ff57, 0x130ffee}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff4f}, + {0x12eff66, 0x12eff2c}, + {0x12fff66, 0x12fff2e}, + {0x130ff66, 0x130fff8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dffd3}, + {0x12eff8f, 0x12eff7e}, + {0x12fffc2, 0x12fffb6}, + {0x130ff75, 0x130ff01}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff57}, + {0x12effb8, 0x12effd1}, + {0x12fff1e, 0x12fff3e}, + {0x130ff85, 0x130ff0b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dffdb}, + {0x12effe1, 0x12eff23}, + {0x12fff7a, 0x12fffc7}, + {0x130ff94, 0x130ff14}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff5f}, + {0x12eff0a, 0x12eff76}, + {0x12fffd7, 0x12fff4f}, + {0x130ffa3, 0x130ff1e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffe3}, + {0x12eff33, 0x12effc8}, + {0x12fff33, 0x12fffd7}, + {0x130ffb3, 0x130ff27}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff67}, + {0x12eff5c, 0x12eff1b}, + {0x12fff8f, 0x12fff60}, + {0x130ffc2, 0x130ff31}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dffeb}, + {0x12eff85, 0x12eff6d}, + {0x12fffeb, 0x12fffe8}, + {0x130ffd1, 0x130ff3a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff70}, + {0x12effae, 0x12effc0}, + {0x12fff47, 0x12fff70}, + {0x130ffe1, 0x130ff44}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dfff4}, + {0x12effd7, 0x12eff12}, + {0x12fffa3, 0x12ffff9}, + {0x130fff0, 0x130ff4d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff78}, + {0x12eff00, 0x12eff65}, + {0x12fff00, 0x12fff81}, + {0x130ff00, 0x130ff57}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dfffc}, + {0x12effcc, 0x12effc3}, + {0x12fffcc, 0x12fff32}, + {0x130ff0c, 0x130ffa6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff15}, + {0x12eff99, 0x12eff5e}, + {0x12fff99, 0x12fff24}, + {0x130ff19, 0x130ffae}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff2e}, + {0x12eff66, 0x12efff8}, + {0x12fff66, 0x12fff15}, + {0x130ff26, 0x130ffb6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff46}, + {0x12eff33, 0x12eff92}, + {0x12fff33, 0x12fff07}, + {0x130ff33, 0x130ffbe}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff5f}, + {0x12eff00, 0x12eff2c}, + {0x12fff00, 0x12ffff9}, + {0x130ff40, 0x130ffc5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff78}, + {0x12effcc, 0x12effc6}, + {0x12fffcc, 0x12fffea}, + {0x130ff4c, 0x130ffcd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff90}, + {0x12eff99, 0x12eff60}, + {0x12fff99, 0x12fffdc}, + {0x130ff59, 0x130ffd5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffa9}, + {0x12eff66, 0x12efffa}, + {0x12fff66, 0x12fffcd}, + {0x130ff66, 0x130ffdd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffc2}, + {0x12eff33, 0x12eff94}, + {0x12fff33, 0x12fffbf}, + {0x130ff73, 0x130ffe5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dffdb}, + {0x12eff00, 0x12eff2e}, + {0x12fff00, 0x12fffb1}, + {0x130ff80, 0x130ffed}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dfff3}, + {0x12effcc, 0x12effc8}, + {0x12fffcc, 0x12fffa2}, + {0x130ff8c, 0x130fff5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff0c}, + {0x12eff99, 0x12eff63}, + {0x12fff99, 0x12fff94}, + {0x130ff99, 0x130fffd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff25}, + {0x12eff66, 0x12efffd}, + {0x12fff66, 0x12fff85}, + {0x130ffa6, 0x130ff05}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff3d}, + {0x12eff33, 0x12eff97}, + {0x12fff33, 0x12fff77}, + {0x130ffb3, 0x130ff0d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff56}, + {0x12eff00, 0x12eff31}, + {0x12fff00, 0x12fff69}, + {0x130ffc0, 0x130ff15}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff6f}, + {0x12effcc, 0x12effcb}, + {0x12fffcc, 0x12fff5a}, + {0x130ffcc, 0x130ff1d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff87}, + {0x12eff99, 0x12eff65}, + {0x12fff99, 0x12fff4c}, + {0x130ffd9, 0x130ff25}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffa0}, + {0x12eff66, 0x12effff}, + {0x12fff66, 0x12fff3d}, + {0x130ffe6, 0x130ff2d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffb9}, + {0x12eff33, 0x12eff99}, + {0x12fff33, 0x12fff2f}, + {0x130fff3, 0x130ff35}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffd2}, + {0x12eff00, 0x12eff33}, + {0x12fff00, 0x12fff21}, + {0x130ff00, 0x130ff3d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffea}, + {0x12effcc, 0x12effcd}, + {0x12fffcc, 0x12fff12}, + {0x130ff0c, 0x130ff45}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff03}, + {0x12eff99, 0x12eff68}, + {0x12fff99, 0x12fff04}, + {0x130ff19, 0x130ff4d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff1c}, + {0x12eff66, 0x12eff02}, + {0x12fff66, 0x12ffff6}, + {0x130ff26, 0x130ff54}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff34}, + {0x12eff33, 0x12eff9c}, + {0x12fff33, 0x12fffe7}, + {0x130ff33, 0x130ff5c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff4d}, + {0x12eff00, 0x12eff36}, + {0x12fff00, 0x12fffd9}, + {0x130ff40, 0x130ff64}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff66}, + {0x12effcc, 0x12effd0}, + {0x12fffcc, 0x12fffca}, + {0x130ff4c, 0x130ff6c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff7e}, + {0x12eff99, 0x12eff6a}, + {0x12fff99, 0x12fffbc}, + {0x130ff59, 0x130ff74}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff97}, + {0x12eff66, 0x12eff04}, + {0x12fff66, 0x12fffae}, + {0x130ff66, 0x130ff7c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffb0}, + {0x12eff33, 0x12eff9e}, + {0x12fff33, 0x12fff9f}, + {0x130ff73, 0x130ff84}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dffc9}, + {0x12eff00, 0x12eff38}, + {0x12fff00, 0x12fff91}, + {0x130ff80, 0x130ff8c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffe1}, + {0x12effcc, 0x12effd2}, + {0x12fffcc, 0x12fff82}, + {0x130ff8c, 0x130ff94}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dfffa}, + {0x12eff99, 0x12eff6c}, + {0x12fff99, 0x12fff74}, + {0x130ff99, 0x130ff9c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff13}, + {0x12eff66, 0x12eff07}, + {0x12fff66, 0x12fff66}, + {0x130ffa6, 0x130ffa4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff2b}, + {0x12eff33, 0x12effa1}, + {0x12fff33, 0x12fff57}, + {0x130ffb3, 0x130ffac}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff44}, + {0x12eff00, 0x12eff3b}, + {0x12fff00, 0x12fff49}, + {0x130ffc0, 0x130ffb4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff5d}, + {0x12effcc, 0x12effd5}, + {0x12fffcc, 0x12fff3a}, + {0x130ffcc, 0x130ffbc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff75}, + {0x12eff99, 0x12eff6f}, + {0x12fff99, 0x12fff2c}, + {0x130ffd9, 0x130ffc4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff8e}, + {0x12eff66, 0x12eff09}, + {0x12fff66, 0x12fff1e}, + {0x130ffe6, 0x130ffcc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffa7}, + {0x12eff33, 0x12effa3}, + {0x12fff33, 0x12fff0f}, + {0x130fff3, 0x130ffd4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffc0}, + {0x12eff00, 0x12eff3d}, + {0x12fff00, 0x12fff01}, + {0x130ff00, 0x130ffdc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffd8}, + {0x12effcc, 0x12effd7}, + {0x12fffcc, 0x12ffff2}, + {0x130ff0c, 0x130ffe3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dfff1}, + {0x12eff99, 0x12eff71}, + {0x12fff99, 0x12fffe4}, + {0x130ff19, 0x130ffeb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff0a}, + {0x12eff66, 0x12eff0c}, + {0x12fff66, 0x12fffd6}, + {0x130ff26, 0x130fff3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff22}, + {0x12eff33, 0x12effa6}, + {0x12fff33, 0x12fffc7}, + {0x130ff33, 0x130fffb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff3b}, + {0x12eff00, 0x12eff40}, + {0x12fff00, 0x12fffb9}, + {0x130ff40, 0x130ff03}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff54}, + {0x12effcc, 0x12effda}, + {0x12fffcc, 0x12fffaa}, + {0x130ff4c, 0x130ff0b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff6c}, + {0x12eff99, 0x12eff74}, + {0x12fff99, 0x12fff9c}, + {0x130ff59, 0x130ff13}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff85}, + {0x12eff66, 0x12eff0e}, + {0x12fff66, 0x12fff8e}, + {0x130ff66, 0x130ff1b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff9e}, + {0x12eff33, 0x12effa8}, + {0x12fff33, 0x12fff7f}, + {0x130ff73, 0x130ff23}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dffb7}, + {0x12eff00, 0x12eff42}, + {0x12fff00, 0x12fff71}, + {0x130ff80, 0x130ff2b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffcf}, + {0x12effcc, 0x12effdc}, + {0x12fffcc, 0x12fff62}, + {0x130ff8c, 0x130ff33}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffe8}, + {0x12eff99, 0x12eff76}, + {0x12fff99, 0x12fff54}, + {0x130ff99, 0x130ff3b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff01}, + {0x12eff66, 0x12eff11}, + {0x12fff66, 0x12fff46}, + {0x130ffa6, 0x130ff43}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff19}, + {0x12eff33, 0x12effab}, + {0x12fff33, 0x12fff37}, + {0x130ffb3, 0x130ff4b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff32}, + {0x12eff00, 0x12eff45}, + {0x12fff00, 0x12fff29}, + {0x130ffc0, 0x130ff53}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff4b}, + {0x12effcc, 0x12effdf}, + {0x12fffcc, 0x12fff1a}, + {0x130ffcc, 0x130ff5b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff63}, + {0x12eff99, 0x12eff79}, + {0x12fff99, 0x12fff0c}, + {0x130ffd9, 0x130ff63}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff7c}, + {0x12eff66, 0x12eff13}, + {0x12fff66, 0x12ffffe}, + {0x130ffe6, 0x130ff6a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff95}, + {0x12eff33, 0x12effad}, + {0x12fff33, 0x12fffef}, + {0x130fff3, 0x130ff72}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffae}, + {0x12eff00, 0x12eff47}, + {0x12fff00, 0x12fffe1}, + {0x130ff00, 0x130ff7a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffc6}, + {0x12effcc, 0x12effe1}, + {0x12fffcc, 0x12fffd2}, + {0x130ff0c, 0x130ff82}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffdf}, + {0x12eff99, 0x12eff7b}, + {0x12fff99, 0x12fffc4}, + {0x130ff19, 0x130ff8a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dfff8}, + {0x12eff66, 0x12eff15}, + {0x12fff66, 0x12fffb6}, + {0x130ff26, 0x130ff92}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff10}, + {0x12eff33, 0x12effb0}, + {0x12fff33, 0x12fffa7}, + {0x130ff33, 0x130ff9a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff29}, + {0x12eff00, 0x12eff4a}, + {0x12fff00, 0x12fff99}, + {0x130ff40, 0x130ffa2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff42}, + {0x12effcc, 0x12effe4}, + {0x12fffcc, 0x12fff8a}, + {0x130ff4c, 0x130ffaa}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff5a}, + {0x12eff99, 0x12eff7e}, + {0x12fff99, 0x12fff7c}, + {0x130ff59, 0x130ffb2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff73}, + {0x12eff66, 0x12eff18}, + {0x12fff66, 0x12fff6e}, + {0x130ff66, 0x130ffba}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff8c}, + {0x12eff33, 0x12effb2}, + {0x12fff33, 0x12fff5f}, + {0x130ff73, 0x130ffc2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dffa5}, + {0x12eff00, 0x12eff4c}, + {0x12fff00, 0x12fff51}, + {0x130ff80, 0x130ffca}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffbd}, + {0x12effcc, 0x12effe6}, + {0x12fffcc, 0x12fff42}, + {0x130ff8c, 0x130ffd2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffd6}, + {0x12eff99, 0x12eff80}, + {0x12fff99, 0x12fff34}, + {0x130ff99, 0x130ffda}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffef}, + {0x12eff66, 0x12eff1a}, + {0x12fff66, 0x12fff26}, + {0x130ffa6, 0x130ffe2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff07}, + {0x12eff33, 0x12effb5}, + {0x12fff33, 0x12fff17}, + {0x130ffb3, 0x130ffea}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff20}, + {0x12eff00, 0x12eff4f}, + {0x12fff00, 0x12fff09}, + {0x130ffc0, 0x130fff2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff39}, + {0x12effcc, 0x12effe9}, + {0x12fffcc, 0x12ffffa}, + {0x130ffcc, 0x130fff9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff51}, + {0x12eff99, 0x12eff83}, + {0x12fff99, 0x12fffec}, + {0x130ffd9, 0x130ff01}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff6a}, + {0x12eff66, 0x12eff1d}, + {0x12fff66, 0x12fffde}, + {0x130ffe6, 0x130ff09}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff83}, + {0x12eff33, 0x12effb7}, + {0x12fff33, 0x12fffcf}, + {0x130fff3, 0x130ff11}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff9c}, + {0x12eff00, 0x12eff51}, + {0x12fff00, 0x12fffc1}, + {0x130ff00, 0x130ff19}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffb4}, + {0x12effcc, 0x12effeb}, + {0x12fffcc, 0x12fffb2}, + {0x130ff0c, 0x130ff21}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffcd}, + {0x12eff99, 0x12eff85}, + {0x12fff99, 0x12fffa4}, + {0x130ff19, 0x130ff29}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffe6}, + {0x12eff66, 0x12eff1f}, + {0x12fff66, 0x12fff96}, + {0x130ff26, 0x130ff31}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dfffe}, + {0x12eff33, 0x12effb9}, + {0x12fff33, 0x12fff87}, + {0x130ff33, 0x130ff39}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff17}, + {0x12eff00, 0x12eff54}, + {0x12fff00, 0x12fff79}, + {0x130ff40, 0x130ff41}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff30}, + {0x12effcc, 0x12effee}, + {0x12fffcc, 0x12fff6a}, + {0x130ff4c, 0x130ff49}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff48}, + {0x12eff99, 0x12eff88}, + {0x12fff99, 0x12fff5c}, + {0x130ff59, 0x130ff51}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff61}, + {0x12eff66, 0x12eff22}, + {0x12fff66, 0x12fff4e}, + {0x130ff66, 0x130ff59}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff7a}, + {0x12eff33, 0x12effbc}, + {0x12fff33, 0x12fff3f}, + {0x130ff73, 0x130ff61}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff93}, + {0x12eff00, 0x12eff56}, + {0x12fff00, 0x12fff31}, + {0x130ff80, 0x130ff69}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffab}, + {0x12effcc, 0x12efff0}, + {0x12fffcc, 0x12fff22}, + {0x130ff8c, 0x130ff71}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffc4}, + {0x12eff99, 0x12eff8a}, + {0x12fff99, 0x12fff14}, + {0x130ff99, 0x130ff79}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffdd}, + {0x12eff66, 0x12eff24}, + {0x12fff66, 0x12fff06}, + {0x130ffa6, 0x130ff81}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dfff5}, + {0x12eff33, 0x12effbe}, + {0x12fff33, 0x12ffff7}, + {0x130ffb3, 0x130ff88}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff0e}, + {0x12eff00, 0x12eff59}, + {0x12fff00, 0x12fffe9}, + {0x130ffc0, 0x130ff90}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff27}, + {0x12effcc, 0x12efff3}, + {0x12fffcc, 0x12fffda}, + {0x130ffcc, 0x130ff98}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff3f}, + {0x12eff99, 0x12eff8d}, + {0x12fff99, 0x12fffcc}, + {0x130ffd9, 0x130ffa0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff58}, + {0x12eff66, 0x12eff27}, + {0x12fff66, 0x12fffbe}, + {0x130ffe6, 0x130ffa8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff71}, + {0x12eff33, 0x12effc1}, + {0x12fff33, 0x12fffaf}, + {0x130fff3, 0x130ffb0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff8a}, + {0x12eff00, 0x12eff5b}, + {0x12fff00, 0x12fffa1}, + {0x130ff00, 0x130ffb8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffa2}, + {0x12effcc, 0x12efff5}, + {0x12fffcc, 0x12fff92}, + {0x130ff0c, 0x130ffc0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffbb}, + {0x12eff99, 0x12eff8f}, + {0x12fff99, 0x12fff84}, + {0x130ff19, 0x130ffc8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffd4}, + {0x12eff66, 0x12eff29}, + {0x12fff66, 0x12fff76}, + {0x130ff26, 0x130ffd0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffec}, + {0x12eff33, 0x12effc3}, + {0x12fff33, 0x12fff67}, + {0x130ff33, 0x130ffd8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff05}, + {0x12eff00, 0x12eff5e}, + {0x12fff00, 0x12fff59}, + {0x130ff40, 0x130ffe0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff1e}, + {0x12effcc, 0x12efff8}, + {0x12fffcc, 0x12fff4a}, + {0x130ff4c, 0x130ffe8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff36}, + {0x12eff99, 0x12eff92}, + {0x12fff99, 0x12fff3c}, + {0x130ff59, 0x130fff0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff4f}, + {0x12eff66, 0x12eff2c}, + {0x12fff66, 0x12fff2e}, + {0x130ff66, 0x130fff8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff68}, + {0x12eff33, 0x12effc6}, + {0x12fff33, 0x12fff1f}, + {0x130ff73, 0x130ff00}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff81}, + {0x12eff00, 0x12eff60}, + {0x12fff00, 0x12fff11}, + {0x130ff80, 0x130ff08}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff99}, + {0x12effcc, 0x12efffa}, + {0x12fffcc, 0x12fff02}, + {0x130ff8c, 0x130ff10}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffb2}, + {0x12eff99, 0x12eff94}, + {0x12fff99, 0x12ffff4}, + {0x130ff99, 0x130ff17}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffcb}, + {0x12eff66, 0x12eff2e}, + {0x12fff66, 0x12fffe6}, + {0x130ffa6, 0x130ff1f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffe3}, + {0x12eff33, 0x12effc8}, + {0x12fff33, 0x12fffd7}, + {0x130ffb3, 0x130ff27}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dfffc}, + {0x12eff00, 0x12eff62}, + {0x12fff00, 0x12fffc9}, + {0x130ffc0, 0x130ff2f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff15}, + {0x12effcc, 0x12efffd}, + {0x12fffcc, 0x12fffba}, + {0x130ffcc, 0x130ff37}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff2d}, + {0x12eff99, 0x12eff97}, + {0x12fff99, 0x12fffac}, + {0x130ffd9, 0x130ff3f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff46}, + {0x12eff66, 0x12eff31}, + {0x12fff66, 0x12fff9e}, + {0x130ffe6, 0x130ff47}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff5f}, + {0x12eff33, 0x12effcb}, + {0x12fff33, 0x12fff8f}, + {0x130fff3, 0x130ff4f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff78}, + {0x12eff00, 0x12eff65}, + {0x12fff00, 0x12fff81}, + {0x130ff00, 0x130ff57}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff90}, + {0x12effcc, 0x12effff}, + {0x12fffcc, 0x12fff72}, + {0x130ff0c, 0x130ff5f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffa9}, + {0x12eff99, 0x12eff99}, + {0x12fff99, 0x12fff64}, + {0x130ff19, 0x130ff67}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffc2}, + {0x12eff66, 0x12eff33}, + {0x12fff66, 0x12fff56}, + {0x130ff26, 0x130ff6f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffda}, + {0x12eff33, 0x12effcd}, + {0x12fff33, 0x12fff47}, + {0x130ff33, 0x130ff77}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dfff3}, + {0x12eff00, 0x12eff67}, + {0x12fff00, 0x12fff39}, + {0x130ff40, 0x130ff7f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff0c}, + {0x12effcc, 0x12eff02}, + {0x12fffcc, 0x12fff2b}, + {0x130ff4c, 0x130ff87}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff24}, + {0x12eff99, 0x12eff9c}, + {0x12fff99, 0x12fff1c}, + {0x130ff59, 0x130ff8f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff3d}, + {0x12eff66, 0x12eff36}, + {0x12fff66, 0x12fff0e}, + {0x130ff66, 0x130ff97}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff56}, + {0x12eff33, 0x12effd0}, + {0x12fff33, 0x12fffff}, + {0x130ff73, 0x130ff9e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff6f}, + {0x12eff00, 0x12eff6a}, + {0x12fff00, 0x12ffff1}, + {0x130ff80, 0x130ffa6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff87}, + {0x12effcc, 0x12eff04}, + {0x12fffcc, 0x12fffe3}, + {0x130ff8c, 0x130ffae}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffa0}, + {0x12eff99, 0x12eff9e}, + {0x12fff99, 0x12fffd4}, + {0x130ff99, 0x130ffb6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffb9}, + {0x12eff66, 0x12eff38}, + {0x12fff66, 0x12fffc6}, + {0x130ffa6, 0x130ffbe}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffd1}, + {0x12eff33, 0x12effd2}, + {0x12fff33, 0x12fffb7}, + {0x130ffb3, 0x130ffc6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dffea}, + {0x12eff00, 0x12eff6c}, + {0x12fff00, 0x12fffa9}, + {0x130ffc0, 0x130ffce}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff03}, + {0x12effcc, 0x12eff07}, + {0x12fffcc, 0x12fff9b}, + {0x130ffcc, 0x130ffd6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff1b}, + {0x12eff99, 0x12effa1}, + {0x12fff99, 0x12fff8c}, + {0x130ffd9, 0x130ffde}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff34}, + {0x12eff66, 0x12eff3b}, + {0x12fff66, 0x12fff7e}, + {0x130ffe6, 0x130ffe6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff4d}, + {0x12eff33, 0x12effd5}, + {0x12fff33, 0x12fff6f}, + {0x130fff3, 0x130ffee}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff66}, + {0x12eff00, 0x12eff6f}, + {0x12fff00, 0x12fff61}, + {0x130ff00, 0x130fff6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff7e}, + {0x12effcc, 0x12eff09}, + {0x12fffcc, 0x12fff53}, + {0x130ff0c, 0x130fffe}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff97}, + {0x12eff99, 0x12effa3}, + {0x12fff99, 0x12fff44}, + {0x130ff19, 0x130ff06}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffb0}, + {0x12eff66, 0x12eff3d}, + {0x12fff66, 0x12fff36}, + {0x130ff26, 0x130ff0e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffc8}, + {0x12eff33, 0x12effd7}, + {0x12fff33, 0x12fff27}, + {0x130ff33, 0x130ff16}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dffe1}, + {0x12eff00, 0x12eff71}, + {0x12fff00, 0x12fff19}, + {0x130ff40, 0x130ff1e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dfffa}, + {0x12effcc, 0x12eff0b}, + {0x12fffcc, 0x12fff0b}, + {0x130ff4c, 0x130ff26}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff12}, + {0x12eff99, 0x12effa6}, + {0x12fff99, 0x12ffffc}, + {0x130ff59, 0x130ff2d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff2b}, + {0x12eff66, 0x12eff40}, + {0x12fff66, 0x12fffee}, + {0x130ff66, 0x130ff35}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff44}, + {0x12eff33, 0x12effda}, + {0x12fff33, 0x12fffdf}, + {0x130ff73, 0x130ff3d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffe4}, + {0x12eff00, 0x12eff29}, + {0x12fff00, 0x12fff41}, + {0x130ff00, 0x130ff9e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff91}, + {0x12eff70, 0x12eff0b}, + {0x12fff3d, 0x12fff9c}, + {0x130ff0a, 0x130ffa4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff3e}, + {0x12effe1, 0x12effed}, + {0x12fff7a, 0x12ffff6}, + {0x130ff14, 0x130ffaa}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dffec}, + {0x12eff51, 0x12effce}, + {0x12fffb8, 0x12fff51}, + {0x130ff1e, 0x130ffb1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff99}, + {0x12effc2, 0x12effb0}, + {0x12ffff5, 0x12fffac}, + {0x130ff28, 0x130ffb7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff46}, + {0x12eff33, 0x12eff92}, + {0x12fff33, 0x12fff07}, + {0x130ff33, 0x130ffbe}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dfff4}, + {0x12effa3, 0x12eff73}, + {0x12fff70, 0x12fff62}, + {0x130ff3d, 0x130ffc4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dffa1}, + {0x12eff14, 0x12eff55}, + {0x12fffae, 0x12fffbd}, + {0x130ff47, 0x130ffca}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff4e}, + {0x12eff85, 0x12eff37}, + {0x12fffeb, 0x12fff18}, + {0x130ff51, 0x130ffd1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dfffc}, + {0x12efff5, 0x12eff18}, + {0x12fff28, 0x12fff73}, + {0x130ff5c, 0x130ffd7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffa9}, + {0x12eff66, 0x12efffa}, + {0x12fff66, 0x12fffcd}, + {0x130ff66, 0x130ffdd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff57}, + {0x12effd7, 0x12effdc}, + {0x12fffa3, 0x12fff28}, + {0x130ff70, 0x130ffe4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff04}, + {0x12eff47, 0x12effbe}, + {0x12fffe1, 0x12fff83}, + {0x130ff7a, 0x130ffea}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dffb1}, + {0x12effb8, 0x12eff9f}, + {0x12fff1e, 0x12fffde}, + {0x130ff85, 0x130fff0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff5f}, + {0x12eff28, 0x12eff81}, + {0x12fff5c, 0x12fff39}, + {0x130ff8f, 0x130fff7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff0c}, + {0x12eff99, 0x12eff63}, + {0x12fff99, 0x12fff94}, + {0x130ff99, 0x130fffd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dffb9}, + {0x12eff0a, 0x12eff44}, + {0x12fffd7, 0x12fffef}, + {0x130ffa3, 0x130ff03}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff67}, + {0x12eff7a, 0x12eff26}, + {0x12fff14, 0x12fff4a}, + {0x130ffae, 0x130ff0a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff14}, + {0x12effeb, 0x12eff08}, + {0x12fff51, 0x12fffa5}, + {0x130ffb8, 0x130ff10}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dffc1}, + {0x12eff5c, 0x12effe9}, + {0x12fff8f, 0x12fffff}, + {0x130ffc2, 0x130ff16}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff6f}, + {0x12effcc, 0x12effcb}, + {0x12fffcc, 0x12fff5a}, + {0x130ffcc, 0x130ff1d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff1c}, + {0x12eff3d, 0x12effad}, + {0x12fff0a, 0x12fffb5}, + {0x130ffd7, 0x130ff23}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dffc9}, + {0x12effae, 0x12eff8e}, + {0x12fff47, 0x12fff10}, + {0x130ffe1, 0x130ff2a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff77}, + {0x12eff1e, 0x12eff70}, + {0x12fff85, 0x12fff6b}, + {0x130ffeb, 0x130ff30}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff24}, + {0x12eff8f, 0x12eff52}, + {0x12fffc2, 0x12fffc6}, + {0x130fff5, 0x130ff36}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffd2}, + {0x12eff00, 0x12eff33}, + {0x12fff00, 0x12fff21}, + {0x130ff00, 0x130ff3d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff7f}, + {0x12eff70, 0x12eff15}, + {0x12fff3d, 0x12fff7c}, + {0x130ff0a, 0x130ff43}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff2c}, + {0x12effe1, 0x12efff7}, + {0x12fff7a, 0x12fffd6}, + {0x130ff14, 0x130ff49}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dffda}, + {0x12eff51, 0x12effd8}, + {0x12fffb8, 0x12fff31}, + {0x130ff1e, 0x130ff50}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff87}, + {0x12effc2, 0x12effba}, + {0x12ffff5, 0x12fff8c}, + {0x130ff28, 0x130ff56}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff34}, + {0x12eff33, 0x12eff9c}, + {0x12fff33, 0x12fffe7}, + {0x130ff33, 0x130ff5c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dffe2}, + {0x12effa3, 0x12eff7d}, + {0x12fff70, 0x12fff42}, + {0x130ff3d, 0x130ff63}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff8f}, + {0x12eff14, 0x12eff5f}, + {0x12fffae, 0x12fff9d}, + {0x130ff47, 0x130ff69}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff3c}, + {0x12eff85, 0x12eff41}, + {0x12fffeb, 0x12ffff8}, + {0x130ff51, 0x130ff6f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dffea}, + {0x12efff5, 0x12eff22}, + {0x12fff28, 0x12fff53}, + {0x130ff5c, 0x130ff76}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff97}, + {0x12eff66, 0x12eff04}, + {0x12fff66, 0x12fffae}, + {0x130ff66, 0x130ff7c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff45}, + {0x12effd7, 0x12effe6}, + {0x12fffa3, 0x12fff08}, + {0x130ff70, 0x130ff83}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dfff2}, + {0x12eff47, 0x12effc7}, + {0x12fffe1, 0x12fff63}, + {0x130ff7a, 0x130ff89}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff9f}, + {0x12effb8, 0x12effa9}, + {0x12fff1e, 0x12fffbe}, + {0x130ff85, 0x130ff8f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff4d}, + {0x12eff28, 0x12eff8b}, + {0x12fff5c, 0x12fff19}, + {0x130ff8f, 0x130ff96}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dfffa}, + {0x12eff99, 0x12eff6c}, + {0x12fff99, 0x12fff74}, + {0x130ff99, 0x130ff9c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dffa7}, + {0x12eff0a, 0x12eff4e}, + {0x12fffd7, 0x12fffcf}, + {0x130ffa3, 0x130ffa2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff55}, + {0x12eff7a, 0x12eff30}, + {0x12fff14, 0x12fff2a}, + {0x130ffae, 0x130ffa9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff02}, + {0x12effeb, 0x12eff12}, + {0x12fff51, 0x12fff85}, + {0x130ffb8, 0x130ffaf}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dffaf}, + {0x12eff5c, 0x12efff3}, + {0x12fff8f, 0x12fffdf}, + {0x130ffc2, 0x130ffb5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff5d}, + {0x12effcc, 0x12effd5}, + {0x12fffcc, 0x12fff3a}, + {0x130ffcc, 0x130ffbc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff0a}, + {0x12eff3d, 0x12effb7}, + {0x12fff0a, 0x12fff95}, + {0x130ffd7, 0x130ffc2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dffb7}, + {0x12effae, 0x12eff98}, + {0x12fff47, 0x12ffff0}, + {0x130ffe1, 0x130ffc8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff65}, + {0x12eff1e, 0x12eff7a}, + {0x12fff85, 0x12fff4b}, + {0x130ffeb, 0x130ffcf}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff12}, + {0x12eff8f, 0x12eff5c}, + {0x12fffc2, 0x12fffa6}, + {0x130fff5, 0x130ffd5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffc0}, + {0x12eff00, 0x12eff3d}, + {0x12fff00, 0x12fff01}, + {0x130ff00, 0x130ffdc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff6d}, + {0x12eff70, 0x12eff1f}, + {0x12fff3d, 0x12fff5c}, + {0x130ff0a, 0x130ffe2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff1a}, + {0x12effe1, 0x12eff01}, + {0x12fff7a, 0x12fffb7}, + {0x130ff14, 0x130ffe8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dffc8}, + {0x12eff51, 0x12effe2}, + {0x12fffb8, 0x12fff11}, + {0x130ff1e, 0x130ffef}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff75}, + {0x12effc2, 0x12effc4}, + {0x12ffff5, 0x12fff6c}, + {0x130ff28, 0x130fff5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff22}, + {0x12eff33, 0x12effa6}, + {0x12fff33, 0x12fffc7}, + {0x130ff33, 0x130fffb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dffd0}, + {0x12effa3, 0x12eff87}, + {0x12fff70, 0x12fff22}, + {0x130ff3d, 0x130ff02}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff7d}, + {0x12eff14, 0x12eff69}, + {0x12fffae, 0x12fff7d}, + {0x130ff47, 0x130ff08}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff2a}, + {0x12eff85, 0x12eff4b}, + {0x12fffeb, 0x12fffd8}, + {0x130ff51, 0x130ff0e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dffd8}, + {0x12efff5, 0x12eff2c}, + {0x12fff28, 0x12fff33}, + {0x130ff5c, 0x130ff15}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff85}, + {0x12eff66, 0x12eff0e}, + {0x12fff66, 0x12fff8e}, + {0x130ff66, 0x130ff1b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff33}, + {0x12effd7, 0x12efff0}, + {0x12fffa3, 0x12fffe8}, + {0x130ff70, 0x130ff21}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dffe0}, + {0x12eff47, 0x12effd1}, + {0x12fffe1, 0x12fff43}, + {0x130ff7a, 0x130ff28}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff8d}, + {0x12effb8, 0x12effb3}, + {0x12fff1e, 0x12fff9e}, + {0x130ff85, 0x130ff2e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff3b}, + {0x12eff28, 0x12eff95}, + {0x12fff5c, 0x12ffff9}, + {0x130ff8f, 0x130ff34}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffe8}, + {0x12eff99, 0x12eff76}, + {0x12fff99, 0x12fff54}, + {0x130ff99, 0x130ff3b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff95}, + {0x12eff0a, 0x12eff58}, + {0x12fffd7, 0x12fffaf}, + {0x130ffa3, 0x130ff41}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff43}, + {0x12eff7a, 0x12eff3a}, + {0x12fff14, 0x12fff0a}, + {0x130ffae, 0x130ff48}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dfff0}, + {0x12effeb, 0x12eff1b}, + {0x12fff51, 0x12fff65}, + {0x130ffb8, 0x130ff4e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff9d}, + {0x12eff5c, 0x12efffd}, + {0x12fff8f, 0x12fffbf}, + {0x130ffc2, 0x130ff54}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff4b}, + {0x12effcc, 0x12effdf}, + {0x12fffcc, 0x12fff1a}, + {0x130ffcc, 0x130ff5b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dfff8}, + {0x12eff3d, 0x12effc0}, + {0x12fff0a, 0x12fff75}, + {0x130ffd7, 0x130ff61}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dffa5}, + {0x12effae, 0x12effa2}, + {0x12fff47, 0x12fffd0}, + {0x130ffe1, 0x130ff67}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff53}, + {0x12eff1e, 0x12eff84}, + {0x12fff85, 0x12fff2b}, + {0x130ffeb, 0x130ff6e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff00}, + {0x12eff8f, 0x12eff66}, + {0x12fffc2, 0x12fff86}, + {0x130fff5, 0x130ff74}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffae}, + {0x12eff00, 0x12eff47}, + {0x12fff00, 0x12fffe1}, + {0x130ff00, 0x130ff7a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff5b}, + {0x12eff70, 0x12eff29}, + {0x12fff3d, 0x12fff3c}, + {0x130ff0a, 0x130ff81}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff08}, + {0x12effe1, 0x12eff0b}, + {0x12fff7a, 0x12fff97}, + {0x130ff14, 0x130ff87}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dffb6}, + {0x12eff51, 0x12effec}, + {0x12fffb8, 0x12ffff1}, + {0x130ff1e, 0x130ff8d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff63}, + {0x12effc2, 0x12effce}, + {0x12ffff5, 0x12fff4c}, + {0x130ff28, 0x130ff94}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff10}, + {0x12eff33, 0x12effb0}, + {0x12fff33, 0x12fffa7}, + {0x130ff33, 0x130ff9a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dffbe}, + {0x12effa3, 0x12eff91}, + {0x12fff70, 0x12fff02}, + {0x130ff3d, 0x130ffa1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff6b}, + {0x12eff14, 0x12eff73}, + {0x12fffae, 0x12fff5d}, + {0x130ff47, 0x130ffa7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff18}, + {0x12eff85, 0x12eff55}, + {0x12fffeb, 0x12fffb8}, + {0x130ff51, 0x130ffad}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dffc6}, + {0x12efff5, 0x12eff36}, + {0x12fff28, 0x12fff13}, + {0x130ff5c, 0x130ffb4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff73}, + {0x12eff66, 0x12eff18}, + {0x12fff66, 0x12fff6e}, + {0x130ff66, 0x130ffba}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff21}, + {0x12effd7, 0x12efffa}, + {0x12fffa3, 0x12fffc8}, + {0x130ff70, 0x130ffc0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dffce}, + {0x12eff47, 0x12effdb}, + {0x12fffe1, 0x12fff23}, + {0x130ff7a, 0x130ffc7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff7b}, + {0x12effb8, 0x12effbd}, + {0x12fff1e, 0x12fff7e}, + {0x130ff85, 0x130ffcd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff29}, + {0x12eff28, 0x12eff9f}, + {0x12fff5c, 0x12fffd9}, + {0x130ff8f, 0x130ffd3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffd6}, + {0x12eff99, 0x12eff80}, + {0x12fff99, 0x12fff34}, + {0x130ff99, 0x130ffda}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff83}, + {0x12eff0a, 0x12eff62}, + {0x12fffd7, 0x12fff8f}, + {0x130ffa3, 0x130ffe0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff31}, + {0x12eff7a, 0x12eff44}, + {0x12fff14, 0x12fffea}, + {0x130ffae, 0x130ffe6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dffde}, + {0x12effeb, 0x12eff25}, + {0x12fff51, 0x12fff45}, + {0x130ffb8, 0x130ffed}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff8b}, + {0x12eff5c, 0x12eff07}, + {0x12fff8f, 0x12fffa0}, + {0x130ffc2, 0x130fff3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff39}, + {0x12effcc, 0x12effe9}, + {0x12fffcc, 0x12ffffa}, + {0x130ffcc, 0x130fff9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dffe6}, + {0x12eff3d, 0x12effca}, + {0x12fff0a, 0x12fff55}, + {0x130ffd7, 0x130ff00}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff94}, + {0x12effae, 0x12effac}, + {0x12fff47, 0x12fffb0}, + {0x130ffe1, 0x130ff06}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff41}, + {0x12eff1e, 0x12eff8e}, + {0x12fff85, 0x12fff0b}, + {0x130ffeb, 0x130ff0d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dffee}, + {0x12eff8f, 0x12eff6f}, + {0x12fffc2, 0x12fff66}, + {0x130fff5, 0x130ff13}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff9c}, + {0x12eff00, 0x12eff51}, + {0x12fff00, 0x12fffc1}, + {0x130ff00, 0x130ff19}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff49}, + {0x12eff70, 0x12eff33}, + {0x12fff3d, 0x12fff1c}, + {0x130ff0a, 0x130ff20}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dfff6}, + {0x12effe1, 0x12eff14}, + {0x12fff7a, 0x12fff77}, + {0x130ff14, 0x130ff26}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dffa4}, + {0x12eff51, 0x12efff6}, + {0x12fffb8, 0x12fffd1}, + {0x130ff1e, 0x130ff2c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff51}, + {0x12effc2, 0x12effd8}, + {0x12ffff5, 0x12fff2c}, + {0x130ff28, 0x130ff33}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dfffe}, + {0x12eff33, 0x12effb9}, + {0x12fff33, 0x12fff87}, + {0x130ff33, 0x130ff39}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dffac}, + {0x12effa3, 0x12eff9b}, + {0x12fff70, 0x12fffe2}, + {0x130ff3d, 0x130ff3f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff59}, + {0x12eff14, 0x12eff7d}, + {0x12fffae, 0x12fff3d}, + {0x130ff47, 0x130ff46}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff06}, + {0x12eff85, 0x12eff5f}, + {0x12fffeb, 0x12fff98}, + {0x130ff51, 0x130ff4c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dffb4}, + {0x12efff5, 0x12eff40}, + {0x12fff28, 0x12ffff3}, + {0x130ff5c, 0x130ff52}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff61}, + {0x12eff66, 0x12eff22}, + {0x12fff66, 0x12fff4e}, + {0x130ff66, 0x130ff59}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff0f}, + {0x12effd7, 0x12eff04}, + {0x12fffa3, 0x12fffa9}, + {0x130ff70, 0x130ff5f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dffbc}, + {0x12eff47, 0x12effe5}, + {0x12fffe1, 0x12fff03}, + {0x130ff7a, 0x130ff66}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff69}, + {0x12effb8, 0x12effc7}, + {0x12fff1e, 0x12fff5e}, + {0x130ff85, 0x130ff6c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff17}, + {0x12eff28, 0x12effa9}, + {0x12fff5c, 0x12fffb9}, + {0x130ff8f, 0x130ff72}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffc4}, + {0x12eff99, 0x12eff8a}, + {0x12fff99, 0x12fff14}, + {0x130ff99, 0x130ff79}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff71}, + {0x12eff0a, 0x12eff6c}, + {0x12fffd7, 0x12fff6f}, + {0x130ffa3, 0x130ff7f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff1f}, + {0x12eff7a, 0x12eff4e}, + {0x12fff14, 0x12fffca}, + {0x130ffae, 0x130ff85}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dffcc}, + {0x12effeb, 0x12eff2f}, + {0x12fff51, 0x12fff25}, + {0x130ffb8, 0x130ff8c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff79}, + {0x12eff5c, 0x12eff11}, + {0x12fff8f, 0x12fff80}, + {0x130ffc2, 0x130ff92}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff27}, + {0x12effcc, 0x12efff3}, + {0x12fffcc, 0x12fffda}, + {0x130ffcc, 0x130ff98}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dffd4}, + {0x12eff3d, 0x12effd4}, + {0x12fff0a, 0x12fff35}, + {0x130ffd7, 0x130ff9f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff82}, + {0x12effae, 0x12effb6}, + {0x12fff47, 0x12fff90}, + {0x130ffe1, 0x130ffa5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff2f}, + {0x12eff1e, 0x12eff98}, + {0x12fff85, 0x12fffeb}, + {0x130ffeb, 0x130ffab}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dffdc}, + {0x12eff8f, 0x12eff79}, + {0x12fffc2, 0x12fff46}, + {0x130fff5, 0x130ffb2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff8a}, + {0x12eff00, 0x12eff5b}, + {0x12fff00, 0x12fffa1}, + {0x130ff00, 0x130ffb8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff37}, + {0x12eff70, 0x12eff3d}, + {0x12fff3d, 0x12ffffc}, + {0x130ff0a, 0x130ffbe}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dffe4}, + {0x12effe1, 0x12eff1e}, + {0x12fff7a, 0x12fff57}, + {0x130ff14, 0x130ffc5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff92}, + {0x12eff51, 0x12eff00}, + {0x12fffb8, 0x12fffb2}, + {0x130ff1e, 0x130ffcb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff3f}, + {0x12effc2, 0x12effe2}, + {0x12ffff5, 0x12fff0c}, + {0x130ff28, 0x130ffd2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffec}, + {0x12eff33, 0x12effc3}, + {0x12fff33, 0x12fff67}, + {0x130ff33, 0x130ffd8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff9a}, + {0x12effa3, 0x12effa5}, + {0x12fff70, 0x12fffc2}, + {0x130ff3d, 0x130ffde}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff47}, + {0x12eff14, 0x12eff87}, + {0x12fffae, 0x12fff1d}, + {0x130ff47, 0x130ffe5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dfff4}, + {0x12eff85, 0x12eff68}, + {0x12fffeb, 0x12fff78}, + {0x130ff51, 0x130ffeb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dffa2}, + {0x12efff5, 0x12eff4a}, + {0x12fff28, 0x12fffd3}, + {0x130ff5c, 0x130fff1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff4f}, + {0x12eff66, 0x12eff2c}, + {0x12fff66, 0x12fff2e}, + {0x130ff66, 0x130fff8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dfffd}, + {0x12effd7, 0x12eff0d}, + {0x12fffa3, 0x12fff89}, + {0x130ff70, 0x130fffe}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dffaa}, + {0x12eff47, 0x12effef}, + {0x12fffe1, 0x12fffe3}, + {0x130ff7a, 0x130ff04}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff57}, + {0x12effb8, 0x12effd1}, + {0x12fff1e, 0x12fff3e}, + {0x130ff85, 0x130ff0b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff05}, + {0x12eff28, 0x12effb3}, + {0x12fff5c, 0x12fff99}, + {0x130ff8f, 0x130ff11}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffb2}, + {0x12eff99, 0x12eff94}, + {0x12fff99, 0x12ffff4}, + {0x130ff99, 0x130ff17}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff5f}, + {0x12eff0a, 0x12eff76}, + {0x12fffd7, 0x12fff4f}, + {0x130ffa3, 0x130ff1e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff0d}, + {0x12eff7a, 0x12eff58}, + {0x12fff14, 0x12fffaa}, + {0x130ffae, 0x130ff24}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dffba}, + {0x12effeb, 0x12eff39}, + {0x12fff51, 0x12fff05}, + {0x130ffb8, 0x130ff2b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff67}, + {0x12eff5c, 0x12eff1b}, + {0x12fff8f, 0x12fff60}, + {0x130ffc2, 0x130ff31}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff15}, + {0x12effcc, 0x12efffd}, + {0x12fffcc, 0x12fffba}, + {0x130ffcc, 0x130ff37}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dffc2}, + {0x12eff3d, 0x12effde}, + {0x12fff0a, 0x12fff15}, + {0x130ffd7, 0x130ff3e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff70}, + {0x12effae, 0x12effc0}, + {0x12fff47, 0x12fff70}, + {0x130ffe1, 0x130ff44}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff1d}, + {0x12eff1e, 0x12effa2}, + {0x12fff85, 0x12fffcb}, + {0x130ffeb, 0x130ff4a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dffca}, + {0x12eff8f, 0x12eff83}, + {0x12fffc2, 0x12fff26}, + {0x130fff5, 0x130ff51}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff78}, + {0x12eff00, 0x12eff65}, + {0x12fff00, 0x12fff81}, + {0x130ff00, 0x130ff57}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff25}, + {0x12eff70, 0x12eff47}, + {0x12fff3d, 0x12fffdc}, + {0x130ff0a, 0x130ff5d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dffd2}, + {0x12effe1, 0x12eff28}, + {0x12fff7a, 0x12fff37}, + {0x130ff14, 0x130ff64}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff80}, + {0x12eff51, 0x12eff0a}, + {0x12fffb8, 0x12fff92}, + {0x130ff1e, 0x130ff6a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff2d}, + {0x12effc2, 0x12effec}, + {0x12ffff5, 0x12fffec}, + {0x130ff28, 0x130ff70}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffda}, + {0x12eff33, 0x12effcd}, + {0x12fff33, 0x12fff47}, + {0x130ff33, 0x130ff77}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff88}, + {0x12effa3, 0x12effaf}, + {0x12fff70, 0x12fffa2}, + {0x130ff3d, 0x130ff7d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff35}, + {0x12eff14, 0x12eff91}, + {0x12fffae, 0x12ffffd}, + {0x130ff47, 0x130ff83}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dffe2}, + {0x12eff85, 0x12eff72}, + {0x12fffeb, 0x12fff58}, + {0x130ff51, 0x130ff8a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff90}, + {0x12efff5, 0x12eff54}, + {0x12fff28, 0x12fffb3}, + {0x130ff5c, 0x130ff90}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff3d}, + {0x12eff66, 0x12eff36}, + {0x12fff66, 0x12fff0e}, + {0x130ff66, 0x130ff97}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dffeb}, + {0x12effd7, 0x12eff17}, + {0x12fffa3, 0x12fff69}, + {0x130ff70, 0x130ff9d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff98}, + {0x12eff47, 0x12efff9}, + {0x12fffe1, 0x12fffc3}, + {0x130ff7a, 0x130ffa3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff45}, + {0x12effb8, 0x12effdb}, + {0x12fff1e, 0x12fff1e}, + {0x130ff85, 0x130ffaa}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dfff3}, + {0x12eff28, 0x12effbc}, + {0x12fff5c, 0x12fff79}, + {0x130ff8f, 0x130ffb0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffa0}, + {0x12eff99, 0x12eff9e}, + {0x12fff99, 0x12fffd4}, + {0x130ff99, 0x130ffb6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff4d}, + {0x12eff0a, 0x12eff80}, + {0x12fffd7, 0x12fff2f}, + {0x130ffa3, 0x130ffbd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dfffb}, + {0x12eff7a, 0x12eff61}, + {0x12fff14, 0x12fff8a}, + {0x130ffae, 0x130ffc3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dffa8}, + {0x12effeb, 0x12eff43}, + {0x12fff51, 0x12fffe5}, + {0x130ffb8, 0x130ffc9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff55}, + {0x12eff5c, 0x12eff25}, + {0x12fff8f, 0x12fff40}, + {0x130ffc2, 0x130ffd0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff03}, + {0x12effcc, 0x12eff07}, + {0x12fffcc, 0x12fff9b}, + {0x130ffcc, 0x130ffd6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dffb0}, + {0x12eff3d, 0x12effe8}, + {0x12fff0a, 0x12ffff5}, + {0x130ffd7, 0x130ffdc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff5e}, + {0x12effae, 0x12effca}, + {0x12fff47, 0x12fff50}, + {0x130ffe1, 0x130ffe3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff0b}, + {0x12eff1e, 0x12effac}, + {0x12fff85, 0x12fffab}, + {0x130ffeb, 0x130ffe9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dffb8}, + {0x12eff8f, 0x12eff8d}, + {0x12fffc2, 0x12fff06}, + {0x130fff5, 0x130fff0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff66}, + {0x12eff00, 0x12eff6f}, + {0x12fff00, 0x12fff61}, + {0x130ff00, 0x130fff6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff13}, + {0x12eff70, 0x12eff51}, + {0x12fff3d, 0x12fffbc}, + {0x130ff0a, 0x130fffc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dffc0}, + {0x12effe1, 0x12eff32}, + {0x12fff7a, 0x12fff17}, + {0x130ff14, 0x130ff03}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff6e}, + {0x12eff51, 0x12eff14}, + {0x12fffb8, 0x12fff72}, + {0x130ff1e, 0x130ff09}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff1b}, + {0x12effc2, 0x12efff6}, + {0x12ffff5, 0x12fffcc}, + {0x130ff28, 0x130ff0f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffc8}, + {0x12eff33, 0x12effd7}, + {0x12fff33, 0x12fff27}, + {0x130ff33, 0x130ff16}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff76}, + {0x12effa3, 0x12effb9}, + {0x12fff70, 0x12fff82}, + {0x130ff3d, 0x130ff1c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff23}, + {0x12eff14, 0x12eff9b}, + {0x12fffae, 0x12fffdd}, + {0x130ff47, 0x130ff22}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dffd0}, + {0x12eff85, 0x12eff7c}, + {0x12fffeb, 0x12fff38}, + {0x130ff51, 0x130ff29}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff7e}, + {0x12efff5, 0x12eff5e}, + {0x12fff28, 0x12fff93}, + {0x130ff5c, 0x130ff2f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff2b}, + {0x12eff66, 0x12eff40}, + {0x12fff66, 0x12fffee}, + {0x130ff66, 0x130ff35}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dffd9}, + {0x12effd7, 0x12eff21}, + {0x12fffa3, 0x12fff49}, + {0x130ff70, 0x130ff3c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff86}, + {0x12eff47, 0x12eff03}, + {0x12fffe1, 0x12fffa4}, + {0x130ff7a, 0x130ff42}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff33}, + {0x12effb8, 0x12effe5}, + {0x12fff1e, 0x12ffffe}, + {0x130ff85, 0x130ff48}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dffe1}, + {0x12eff28, 0x12effc6}, + {0x12fff5c, 0x12fff59}, + {0x130ff8f, 0x130ff4f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff8e}, + {0x12eff99, 0x12effa8}, + {0x12fff99, 0x12fffb4}, + {0x130ff99, 0x130ff55}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff3b}, + {0x12eff0a, 0x12eff8a}, + {0x12fffd7, 0x12fff0f}, + {0x130ffa3, 0x130ff5c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dffe9}, + {0x12eff7a, 0x12eff6b}, + {0x12fff14, 0x12fff6a}, + {0x130ffae, 0x130ff62}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff96}, + {0x12effeb, 0x12eff4d}, + {0x12fff51, 0x12fffc5}, + {0x130ffb8, 0x130ff68}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff43}, + {0x12eff5c, 0x12eff2f}, + {0x12fff8f, 0x12fff20}, + {0x130ffc2, 0x130ff6f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dfff1}, + {0x12effcc, 0x12eff10}, + {0x12fffcc, 0x12fff7b}, + {0x130ffcc, 0x130ff75}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff9e}, + {0x12eff3d, 0x12efff2}, + {0x12fff0a, 0x12fffd5}, + {0x130ffd7, 0x130ff7b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff4c}, + {0x12effae, 0x12effd4}, + {0x12fff47, 0x12fff30}, + {0x130ffe1, 0x130ff82}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dfff9}, + {0x12eff1e, 0x12effb5}, + {0x12fff85, 0x12fff8b}, + {0x130ffeb, 0x130ff88}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dffa6}, + {0x12eff8f, 0x12eff97}, + {0x12fffc2, 0x12fffe6}, + {0x130fff5, 0x130ff8e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff54}, + {0x12eff00, 0x12eff79}, + {0x12fff00, 0x12fff41}, + {0x130ff00, 0x130ff95}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff01}, + {0x12eff70, 0x12eff5b}, + {0x12fff3d, 0x12fff9c}, + {0x130ff0a, 0x130ff9b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dffae}, + {0x12effe1, 0x12eff3c}, + {0x12fff7a, 0x12ffff7}, + {0x130ff14, 0x130ffa1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff5c}, + {0x12eff51, 0x12eff1e}, + {0x12fffb8, 0x12fff52}, + {0x130ff1e, 0x130ffa8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff09}, + {0x12effc2, 0x12eff00}, + {0x12ffff5, 0x12fffad}, + {0x130ff28, 0x130ffae}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffb6}, + {0x12eff33, 0x12effe1}, + {0x12fff33, 0x12fff07}, + {0x130ff33, 0x130ffb5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff64}, + {0x12effa3, 0x12effc3}, + {0x12fff70, 0x12fff62}, + {0x130ff3d, 0x130ffbb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff11}, + {0x12eff14, 0x12effa5}, + {0x12fffae, 0x12fffbd}, + {0x130ff47, 0x130ffc1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dffbe}, + {0x12eff85, 0x12eff86}, + {0x12fffeb, 0x12fff18}, + {0x130ff51, 0x130ffc8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff6c}, + {0x12efff5, 0x12eff68}, + {0x12fff28, 0x12fff73}, + {0x130ff5c, 0x130ffce}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff19}, + {0x12eff66, 0x12eff4a}, + {0x12fff66, 0x12fffce}, + {0x130ff66, 0x130ffd4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dffc7}, + {0x12effd7, 0x12eff2b}, + {0x12fffa3, 0x12fff29}, + {0x130ff70, 0x130ffdb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff74}, + {0x12eff47, 0x12eff0d}, + {0x12fffe1, 0x12fff84}, + {0x130ff7a, 0x130ffe1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff21}, + {0x12effb8, 0x12effef}, + {0x12fff1e, 0x12fffde}, + {0x130ff85, 0x130ffe7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dffcf}, + {0x12eff28, 0x12effd0}, + {0x12fff5c, 0x12fff39}, + {0x130ff8f, 0x130ffee}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff7c}, + {0x12eff99, 0x12effb2}, + {0x12fff99, 0x12fff94}, + {0x130ff99, 0x130fff4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff17}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff29}, + {0x12eff0a, 0x12eff94}, + {0x12fffd7, 0x12fffef}, + {0x130ffa3, 0x130fffa}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dffd7}, + {0x12eff7a, 0x12eff75}, + {0x12fff14, 0x12fff4a}, + {0x130ffae, 0x130ff01}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff84}, + {0x12effeb, 0x12eff57}, + {0x12fff51, 0x12fffa5}, + {0x130ffb8, 0x130ff07}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff31}, + {0x12eff5c, 0x12eff39}, + {0x12fff8f, 0x12fff00}, + {0x130ffc2, 0x130ff0e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffdf}, + {0x12effcc, 0x12eff1a}, + {0x12fffcc, 0x12fff5b}, + {0x130ffcc, 0x130ff14}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff8c}, + {0x12eff3d, 0x12efffc}, + {0x12fff0a, 0x12fffb5}, + {0x130ffd7, 0x130ff1a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff3a}, + {0x12effae, 0x12effde}, + {0x12fff47, 0x12fff10}, + {0x130ffe1, 0x130ff21}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dffe7}, + {0x12eff1e, 0x12effbf}, + {0x12fff85, 0x12fff6b}, + {0x130ffeb, 0x130ff27}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff94}, + {0x12eff8f, 0x12effa1}, + {0x12fffc2, 0x12fffc6}, + {0x130fff5, 0x130ff2d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff42}, + {0x12eff00, 0x12eff83}, + {0x12fff00, 0x12fff21}, + {0x130ff00, 0x130ff34}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dffef}, + {0x12eff70, 0x12eff64}, + {0x12fff3d, 0x12fff7c}, + {0x130ff0a, 0x130ff3a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff9c}, + {0x12effe1, 0x12eff46}, + {0x12fff7a, 0x12fffd7}, + {0x130ff14, 0x130ff40}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff4a}, + {0x12eff51, 0x12eff28}, + {0x12fffb8, 0x12fff32}, + {0x130ff1e, 0x130ff47}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dfff7}, + {0x12effc2, 0x12eff09}, + {0x12ffff5, 0x12fff8d}, + {0x130ff28, 0x130ff4d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffa4}, + {0x12eff33, 0x12effeb}, + {0x12fff33, 0x12fffe7}, + {0x130ff33, 0x130ff53}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff52}, + {0x12effa3, 0x12effcd}, + {0x12fff70, 0x12fff42}, + {0x130ff3d, 0x130ff5a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dffff}, + {0x12eff14, 0x12effae}, + {0x12fffae, 0x12fff9d}, + {0x130ff47, 0x130ff60}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dffac}, + {0x12eff85, 0x12eff90}, + {0x12fffeb, 0x12ffff8}, + {0x130ff51, 0x130ff66}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff5a}, + {0x12efff5, 0x12eff72}, + {0x12fff28, 0x12fff53}, + {0x130ff5c, 0x130ff6d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff07}, + {0x12eff66, 0x12eff54}, + {0x12fff66, 0x12fffae}, + {0x130ff66, 0x130ff73}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dffb5}, + {0x12effd7, 0x12eff35}, + {0x12fffa3, 0x12fff09}, + {0x130ff70, 0x130ff7a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff62}, + {0x12eff47, 0x12eff17}, + {0x12fffe1, 0x12fff64}, + {0x130ff7a, 0x130ff80}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff0f}, + {0x12effb8, 0x12efff9}, + {0x12fff1e, 0x12fffbe}, + {0x130ff85, 0x130ff86}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dffbd}, + {0x12eff28, 0x12effda}, + {0x12fff5c, 0x12fff19}, + {0x130ff8f, 0x130ff8d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff6a}, + {0x12eff99, 0x12effbc}, + {0x12fff99, 0x12fff74}, + {0x130ff99, 0x130ff93}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff17}, + {0x12eff0a, 0x12eff9e}, + {0x12fffd7, 0x12fffcf}, + {0x130ffa3, 0x130ff99}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dffc5}, + {0x12eff7a, 0x12eff7f}, + {0x12fff14, 0x12fff2a}, + {0x130ffae, 0x130ffa0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff72}, + {0x12effeb, 0x12eff61}, + {0x12fff51, 0x12fff85}, + {0x130ffb8, 0x130ffa6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff1f}, + {0x12eff5c, 0x12eff43}, + {0x12fff8f, 0x12fffe0}, + {0x130ffc2, 0x130ffac}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffcd}, + {0x12effcc, 0x12eff24}, + {0x12fffcc, 0x12fff3b}, + {0x130ffcc, 0x130ffb3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff7a}, + {0x12eff3d, 0x12eff06}, + {0x12fff0a, 0x12fff96}, + {0x130ffd7, 0x130ffb9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff28}, + {0x12effae, 0x12effe8}, + {0x12fff47, 0x12ffff0}, + {0x130ffe1, 0x130ffbf}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dffd5}, + {0x12eff1e, 0x12effc9}, + {0x12fff85, 0x12fff4b}, + {0x130ffeb, 0x130ffc6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff18}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff82}, + {0x12eff8f, 0x12effab}, + {0x12fffc2, 0x12fffa6}, + {0x130fff5, 0x130ffcc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffe4}, + {0x12eff00, 0x12eff29}, + {0x12fff00, 0x12fff41}, + {0x130ff00, 0x130ff9e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff26}, + {0x12eff14, 0x12eff53}, + {0x12fffae, 0x12fff05}, + {0x130ff07, 0x130ffa3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff68}, + {0x12eff28, 0x12eff7c}, + {0x12fff5c, 0x12fffc9}, + {0x130ff0f, 0x130ffa7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dffaa}, + {0x12eff3d, 0x12effa5}, + {0x12fff0a, 0x12fff8d}, + {0x130ff17, 0x130ffac}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dffec}, + {0x12eff51, 0x12effce}, + {0x12fffb8, 0x12fff51}, + {0x130ff1e, 0x130ffb1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff2e}, + {0x12eff66, 0x12efff8}, + {0x12fff66, 0x12fff15}, + {0x130ff26, 0x130ffb6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff70}, + {0x12eff7a, 0x12eff21}, + {0x12fff14, 0x12fffda}, + {0x130ff2e, 0x130ffba}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dffb2}, + {0x12eff8f, 0x12eff4a}, + {0x12fffc2, 0x12fff9e}, + {0x130ff35, 0x130ffbf}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dfff4}, + {0x12effa3, 0x12eff73}, + {0x12fff70, 0x12fff62}, + {0x130ff3d, 0x130ffc4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff36}, + {0x12effb8, 0x12eff9d}, + {0x12fff1e, 0x12fff26}, + {0x130ff45, 0x130ffc9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff78}, + {0x12effcc, 0x12effc6}, + {0x12fffcc, 0x12fffea}, + {0x130ff4c, 0x130ffcd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dffba}, + {0x12effe1, 0x12effef}, + {0x12fff7a, 0x12fffae}, + {0x130ff54, 0x130ffd2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dfffc}, + {0x12efff5, 0x12eff18}, + {0x12fff28, 0x12fff73}, + {0x130ff5c, 0x130ffd7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff3e}, + {0x12eff0a, 0x12eff42}, + {0x12fffd7, 0x12fff37}, + {0x130ff63, 0x130ffdc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff80}, + {0x12eff1e, 0x12eff6b}, + {0x12fff85, 0x12ffffb}, + {0x130ff6b, 0x130ffe0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffc2}, + {0x12eff33, 0x12eff94}, + {0x12fff33, 0x12fffbf}, + {0x130ff73, 0x130ffe5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff04}, + {0x12eff47, 0x12effbe}, + {0x12fffe1, 0x12fff83}, + {0x130ff7a, 0x130ffea}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff46}, + {0x12eff5c, 0x12effe7}, + {0x12fff8f, 0x12fff47}, + {0x130ff82, 0x130ffef}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff88}, + {0x12eff70, 0x12eff10}, + {0x12fff3d, 0x12fff0c}, + {0x130ff8a, 0x130fff4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dffca}, + {0x12eff85, 0x12eff39}, + {0x12fffeb, 0x12fffd0}, + {0x130ff91, 0x130fff8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff12}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff0c}, + {0x12eff99, 0x12eff63}, + {0x12fff99, 0x12fff94}, + {0x130ff99, 0x130fffd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff4e}, + {0x12effae, 0x12eff8c}, + {0x12fff47, 0x12fff58}, + {0x130ffa1, 0x130ff02}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff90}, + {0x12effc2, 0x12effb5}, + {0x12ffff5, 0x12fff1c}, + {0x130ffa8, 0x130ff07}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dffd2}, + {0x12effd7, 0x12effde}, + {0x12fffa3, 0x12fffe0}, + {0x130ffb0, 0x130ff0b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff14}, + {0x12effeb, 0x12eff08}, + {0x12fff51, 0x12fffa5}, + {0x130ffb8, 0x130ff10}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff56}, + {0x12eff00, 0x12eff31}, + {0x12fff00, 0x12fff69}, + {0x130ffc0, 0x130ff15}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff98}, + {0x12eff14, 0x12eff5a}, + {0x12fffae, 0x12fff2d}, + {0x130ffc7, 0x130ff1a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dffda}, + {0x12eff28, 0x12eff83}, + {0x12fff5c, 0x12ffff1}, + {0x130ffcf, 0x130ff1e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff1c}, + {0x12eff3d, 0x12effad}, + {0x12fff0a, 0x12fffb5}, + {0x130ffd7, 0x130ff23}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff5e}, + {0x12eff51, 0x12effd6}, + {0x12fffb8, 0x12fff79}, + {0x130ffde, 0x130ff28}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffa0}, + {0x12eff66, 0x12effff}, + {0x12fff66, 0x12fff3d}, + {0x130ffe6, 0x130ff2d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dffe2}, + {0x12eff7a, 0x12eff28}, + {0x12fff14, 0x12fff02}, + {0x130ffee, 0x130ff32}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff24}, + {0x12eff8f, 0x12eff52}, + {0x12fffc2, 0x12fffc6}, + {0x130fff5, 0x130ff36}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff66}, + {0x12effa3, 0x12eff7b}, + {0x12fff70, 0x12fff8a}, + {0x130fffd, 0x130ff3b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dffa8}, + {0x12effb8, 0x12effa4}, + {0x12fff1e, 0x12fff4e}, + {0x130ff05, 0x130ff40}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffea}, + {0x12effcc, 0x12effcd}, + {0x12fffcc, 0x12fff12}, + {0x130ff0c, 0x130ff45}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff2c}, + {0x12effe1, 0x12efff7}, + {0x12fff7a, 0x12fffd6}, + {0x130ff14, 0x130ff49}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff6e}, + {0x12efff5, 0x12eff20}, + {0x12fff28, 0x12fff9b}, + {0x130ff1c, 0x130ff4e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dffb0}, + {0x12eff0a, 0x12eff49}, + {0x12fffd7, 0x12fff5f}, + {0x130ff23, 0x130ff53}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dfff2}, + {0x12eff1e, 0x12eff72}, + {0x12fff85, 0x12fff23}, + {0x130ff2b, 0x130ff58}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff34}, + {0x12eff33, 0x12eff9c}, + {0x12fff33, 0x12fffe7}, + {0x130ff33, 0x130ff5c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff76}, + {0x12eff47, 0x12effc5}, + {0x12fffe1, 0x12fffab}, + {0x130ff3a, 0x130ff61}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dffb8}, + {0x12eff5c, 0x12effee}, + {0x12fff8f, 0x12fff6f}, + {0x130ff42, 0x130ff66}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dfffa}, + {0x12eff70, 0x12eff17}, + {0x12fff3d, 0x12fff34}, + {0x130ff4a, 0x130ff6b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff3c}, + {0x12eff85, 0x12eff41}, + {0x12fffeb, 0x12ffff8}, + {0x130ff51, 0x130ff6f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff7e}, + {0x12eff99, 0x12eff6a}, + {0x12fff99, 0x12fffbc}, + {0x130ff59, 0x130ff74}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dffc0}, + {0x12effae, 0x12eff93}, + {0x12fff47, 0x12fff80}, + {0x130ff61, 0x130ff79}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff03}, + {0x12effc2, 0x12effbd}, + {0x12ffff5, 0x12fff44}, + {0x130ff68, 0x130ff7e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff45}, + {0x12effd7, 0x12effe6}, + {0x12fffa3, 0x12fff08}, + {0x130ff70, 0x130ff83}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff87}, + {0x12effeb, 0x12eff0f}, + {0x12fff51, 0x12fffcd}, + {0x130ff78, 0x130ff87}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dffc9}, + {0x12eff00, 0x12eff38}, + {0x12fff00, 0x12fff91}, + {0x130ff80, 0x130ff8c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff0b}, + {0x12eff14, 0x12eff62}, + {0x12fffae, 0x12fff55}, + {0x130ff87, 0x130ff91}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff4d}, + {0x12eff28, 0x12eff8b}, + {0x12fff5c, 0x12fff19}, + {0x130ff8f, 0x130ff96}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff8f}, + {0x12eff3d, 0x12effb4}, + {0x12fff0a, 0x12fffdd}, + {0x130ff97, 0x130ff9a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dffd1}, + {0x12eff51, 0x12effdd}, + {0x12fffb8, 0x12fffa1}, + {0x130ff9e, 0x130ff9f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff13}, + {0x12eff66, 0x12eff07}, + {0x12fff66, 0x12fff66}, + {0x130ffa6, 0x130ffa4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff55}, + {0x12eff7a, 0x12eff30}, + {0x12fff14, 0x12fff2a}, + {0x130ffae, 0x130ffa9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff97}, + {0x12eff8f, 0x12eff59}, + {0x12fffc2, 0x12fffee}, + {0x130ffb5, 0x130ffad}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dffd9}, + {0x12effa3, 0x12eff82}, + {0x12fff70, 0x12fffb2}, + {0x130ffbd, 0x130ffb2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff1b}, + {0x12effb8, 0x12effac}, + {0x12fff1e, 0x12fff76}, + {0x130ffc5, 0x130ffb7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff5d}, + {0x12effcc, 0x12effd5}, + {0x12fffcc, 0x12fff3a}, + {0x130ffcc, 0x130ffbc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff9f}, + {0x12effe1, 0x12efffe}, + {0x12fff7a, 0x12ffffe}, + {0x130ffd4, 0x130ffc0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dffe1}, + {0x12efff5, 0x12eff27}, + {0x12fff28, 0x12fffc3}, + {0x130ffdc, 0x130ffc5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff23}, + {0x12eff0a, 0x12eff51}, + {0x12fffd7, 0x12fff87}, + {0x130ffe3, 0x130ffca}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff65}, + {0x12eff1e, 0x12eff7a}, + {0x12fff85, 0x12fff4b}, + {0x130ffeb, 0x130ffcf}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffa7}, + {0x12eff33, 0x12effa3}, + {0x12fff33, 0x12fff0f}, + {0x130fff3, 0x130ffd4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dffe9}, + {0x12eff47, 0x12effcc}, + {0x12fffe1, 0x12fffd3}, + {0x130fffa, 0x130ffd8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff2b}, + {0x12eff5c, 0x12efff6}, + {0x12fff8f, 0x12fff97}, + {0x130ff02, 0x130ffdd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff6d}, + {0x12eff70, 0x12eff1f}, + {0x12fff3d, 0x12fff5c}, + {0x130ff0a, 0x130ffe2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dffaf}, + {0x12eff85, 0x12eff48}, + {0x12fffeb, 0x12fff20}, + {0x130ff11, 0x130ffe7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dfff1}, + {0x12eff99, 0x12eff71}, + {0x12fff99, 0x12fffe4}, + {0x130ff19, 0x130ffeb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff33}, + {0x12effae, 0x12eff9b}, + {0x12fff47, 0x12fffa8}, + {0x130ff21, 0x130fff0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff75}, + {0x12effc2, 0x12effc4}, + {0x12ffff5, 0x12fff6c}, + {0x130ff28, 0x130fff5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dffb7}, + {0x12effd7, 0x12effed}, + {0x12fffa3, 0x12fff30}, + {0x130ff30, 0x130fffa}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff13}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dfff9}, + {0x12effeb, 0x12eff16}, + {0x12fff51, 0x12ffff5}, + {0x130ff38, 0x130fffe}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff3b}, + {0x12eff00, 0x12eff40}, + {0x12fff00, 0x12fffb9}, + {0x130ff40, 0x130ff03}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff7d}, + {0x12eff14, 0x12eff69}, + {0x12fffae, 0x12fff7d}, + {0x130ff47, 0x130ff08}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dffbf}, + {0x12eff28, 0x12eff92}, + {0x12fff5c, 0x12fff41}, + {0x130ff4f, 0x130ff0d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff01}, + {0x12eff3d, 0x12effbc}, + {0x12fff0a, 0x12fff05}, + {0x130ff57, 0x130ff12}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff43}, + {0x12eff51, 0x12effe5}, + {0x12fffb8, 0x12fffc9}, + {0x130ff5e, 0x130ff16}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff85}, + {0x12eff66, 0x12eff0e}, + {0x12fff66, 0x12fff8e}, + {0x130ff66, 0x130ff1b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dffc7}, + {0x12eff7a, 0x12eff37}, + {0x12fff14, 0x12fff52}, + {0x130ff6e, 0x130ff20}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff09}, + {0x12eff8f, 0x12eff61}, + {0x12fffc2, 0x12fff16}, + {0x130ff75, 0x130ff25}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff4b}, + {0x12effa3, 0x12eff8a}, + {0x12fff70, 0x12fffda}, + {0x130ff7d, 0x130ff29}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff8d}, + {0x12effb8, 0x12effb3}, + {0x12fff1e, 0x12fff9e}, + {0x130ff85, 0x130ff2e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffcf}, + {0x12effcc, 0x12effdc}, + {0x12fffcc, 0x12fff62}, + {0x130ff8c, 0x130ff33}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff11}, + {0x12effe1, 0x12eff06}, + {0x12fff7a, 0x12fff27}, + {0x130ff94, 0x130ff38}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff53}, + {0x12efff5, 0x12eff2f}, + {0x12fff28, 0x12fffeb}, + {0x130ff9c, 0x130ff3c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff95}, + {0x12eff0a, 0x12eff58}, + {0x12fffd7, 0x12fffaf}, + {0x130ffa3, 0x130ff41}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dffd7}, + {0x12eff1e, 0x12eff81}, + {0x12fff85, 0x12fff73}, + {0x130ffab, 0x130ff46}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff19}, + {0x12eff33, 0x12effab}, + {0x12fff33, 0x12fff37}, + {0x130ffb3, 0x130ff4b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff5b}, + {0x12eff47, 0x12effd4}, + {0x12fffe1, 0x12ffffb}, + {0x130ffba, 0x130ff4f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff9d}, + {0x12eff5c, 0x12efffd}, + {0x12fff8f, 0x12fffbf}, + {0x130ffc2, 0x130ff54}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dffdf}, + {0x12eff70, 0x12eff26}, + {0x12fff3d, 0x12fff84}, + {0x130ffca, 0x130ff59}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff21}, + {0x12eff85, 0x12eff50}, + {0x12fffeb, 0x12fff48}, + {0x130ffd1, 0x130ff5e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff63}, + {0x12eff99, 0x12eff79}, + {0x12fff99, 0x12fff0c}, + {0x130ffd9, 0x130ff63}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dffa5}, + {0x12effae, 0x12effa2}, + {0x12fff47, 0x12fffd0}, + {0x130ffe1, 0x130ff67}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dffe8}, + {0x12effc2, 0x12effcb}, + {0x12ffff5, 0x12fff94}, + {0x130ffe8, 0x130ff6c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff2a}, + {0x12effd7, 0x12efff5}, + {0x12fffa3, 0x12fff58}, + {0x130fff0, 0x130ff71}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff6c}, + {0x12effeb, 0x12eff1e}, + {0x12fff51, 0x12fff1d}, + {0x130fff8, 0x130ff76}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffae}, + {0x12eff00, 0x12eff47}, + {0x12fff00, 0x12fffe1}, + {0x130ff00, 0x130ff7a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dfff0}, + {0x12eff14, 0x12eff70}, + {0x12fffae, 0x12fffa5}, + {0x130ff07, 0x130ff7f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff32}, + {0x12eff28, 0x12eff9a}, + {0x12fff5c, 0x12fff69}, + {0x130ff0f, 0x130ff84}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff74}, + {0x12eff3d, 0x12effc3}, + {0x12fff0a, 0x12fff2d}, + {0x130ff17, 0x130ff89}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dffb6}, + {0x12eff51, 0x12effec}, + {0x12fffb8, 0x12ffff1}, + {0x130ff1e, 0x130ff8d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dfff8}, + {0x12eff66, 0x12eff15}, + {0x12fff66, 0x12fffb6}, + {0x130ff26, 0x130ff92}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff3a}, + {0x12eff7a, 0x12eff3f}, + {0x12fff14, 0x12fff7a}, + {0x130ff2e, 0x130ff97}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff7c}, + {0x12eff8f, 0x12eff68}, + {0x12fffc2, 0x12fff3e}, + {0x130ff35, 0x130ff9c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dffbe}, + {0x12effa3, 0x12eff91}, + {0x12fff70, 0x12fff02}, + {0x130ff3d, 0x130ffa1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff00}, + {0x12effb8, 0x12effbb}, + {0x12fff1e, 0x12fffc6}, + {0x130ff45, 0x130ffa5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff42}, + {0x12effcc, 0x12effe4}, + {0x12fffcc, 0x12fff8a}, + {0x130ff4c, 0x130ffaa}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff84}, + {0x12effe1, 0x12eff0d}, + {0x12fff7a, 0x12fff4f}, + {0x130ff54, 0x130ffaf}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dffc6}, + {0x12efff5, 0x12eff36}, + {0x12fff28, 0x12fff13}, + {0x130ff5c, 0x130ffb4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff08}, + {0x12eff0a, 0x12eff60}, + {0x12fffd7, 0x12fffd7}, + {0x130ff63, 0x130ffb8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff4a}, + {0x12eff1e, 0x12eff89}, + {0x12fff85, 0x12fff9b}, + {0x130ff6b, 0x130ffbd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff8c}, + {0x12eff33, 0x12effb2}, + {0x12fff33, 0x12fff5f}, + {0x130ff73, 0x130ffc2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dffce}, + {0x12eff47, 0x12effdb}, + {0x12fffe1, 0x12fff23}, + {0x130ff7a, 0x130ffc7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff10}, + {0x12eff5c, 0x12eff05}, + {0x12fff8f, 0x12fffe8}, + {0x130ff82, 0x130ffcb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff52}, + {0x12eff70, 0x12eff2e}, + {0x12fff3d, 0x12fffac}, + {0x130ff8a, 0x130ffd0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff94}, + {0x12eff85, 0x12eff57}, + {0x12fffeb, 0x12fff70}, + {0x130ff91, 0x130ffd5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffd6}, + {0x12eff99, 0x12eff80}, + {0x12fff99, 0x12fff34}, + {0x130ff99, 0x130ffda}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff18}, + {0x12effae, 0x12effaa}, + {0x12fff47, 0x12ffff8}, + {0x130ffa1, 0x130ffde}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff5a}, + {0x12effc2, 0x12effd3}, + {0x12ffff5, 0x12fffbc}, + {0x130ffa8, 0x130ffe3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff9c}, + {0x12effd7, 0x12efffc}, + {0x12fffa3, 0x12fff80}, + {0x130ffb0, 0x130ffe8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dffde}, + {0x12effeb, 0x12eff25}, + {0x12fff51, 0x12fff45}, + {0x130ffb8, 0x130ffed}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff20}, + {0x12eff00, 0x12eff4f}, + {0x12fff00, 0x12fff09}, + {0x130ffc0, 0x130fff2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff62}, + {0x12eff14, 0x12eff78}, + {0x12fffae, 0x12fffcd}, + {0x130ffc7, 0x130fff6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff14}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dffa4}, + {0x12eff28, 0x12effa1}, + {0x12fff5c, 0x12fff91}, + {0x130ffcf, 0x130fffb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dffe6}, + {0x12eff3d, 0x12effca}, + {0x12fff0a, 0x12fff55}, + {0x130ffd7, 0x130ff00}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff28}, + {0x12eff51, 0x12efff4}, + {0x12fffb8, 0x12fff19}, + {0x130ffde, 0x130ff05}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff6a}, + {0x12eff66, 0x12eff1d}, + {0x12fff66, 0x12fffde}, + {0x130ffe6, 0x130ff09}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dffac}, + {0x12eff7a, 0x12eff46}, + {0x12fff14, 0x12fffa2}, + {0x130ffee, 0x130ff0e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dffee}, + {0x12eff8f, 0x12eff6f}, + {0x12fffc2, 0x12fff66}, + {0x130fff5, 0x130ff13}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff30}, + {0x12effa3, 0x12eff99}, + {0x12fff70, 0x12fff2a}, + {0x130fffd, 0x130ff18}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff72}, + {0x12effb8, 0x12effc2}, + {0x12fff1e, 0x12fffee}, + {0x130ff05, 0x130ff1c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffb4}, + {0x12effcc, 0x12effeb}, + {0x12fffcc, 0x12fffb2}, + {0x130ff0c, 0x130ff21}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dfff6}, + {0x12effe1, 0x12eff14}, + {0x12fff7a, 0x12fff77}, + {0x130ff14, 0x130ff26}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff38}, + {0x12efff5, 0x12eff3e}, + {0x12fff28, 0x12fff3b}, + {0x130ff1c, 0x130ff2b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff7a}, + {0x12eff0a, 0x12eff67}, + {0x12fffd7, 0x12fffff}, + {0x130ff23, 0x130ff2f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dffbc}, + {0x12eff1e, 0x12eff90}, + {0x12fff85, 0x12fffc3}, + {0x130ff2b, 0x130ff34}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dfffe}, + {0x12eff33, 0x12effb9}, + {0x12fff33, 0x12fff87}, + {0x130ff33, 0x130ff39}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff40}, + {0x12eff47, 0x12effe3}, + {0x12fffe1, 0x12fff4b}, + {0x130ff3a, 0x130ff3e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff82}, + {0x12eff5c, 0x12eff0c}, + {0x12fff8f, 0x12fff10}, + {0x130ff42, 0x130ff43}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dffc4}, + {0x12eff70, 0x12eff35}, + {0x12fff3d, 0x12fffd4}, + {0x130ff4a, 0x130ff47}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff06}, + {0x12eff85, 0x12eff5f}, + {0x12fffeb, 0x12fff98}, + {0x130ff51, 0x130ff4c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff48}, + {0x12eff99, 0x12eff88}, + {0x12fff99, 0x12fff5c}, + {0x130ff59, 0x130ff51}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff8b}, + {0x12effae, 0x12effb1}, + {0x12fff47, 0x12fff20}, + {0x130ff61, 0x130ff56}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dffcd}, + {0x12effc2, 0x12effda}, + {0x12ffff5, 0x12fffe4}, + {0x130ff68, 0x130ff5a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff0f}, + {0x12effd7, 0x12eff04}, + {0x12fffa3, 0x12fffa9}, + {0x130ff70, 0x130ff5f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff51}, + {0x12effeb, 0x12eff2d}, + {0x12fff51, 0x12fff6d}, + {0x130ff78, 0x130ff64}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff93}, + {0x12eff00, 0x12eff56}, + {0x12fff00, 0x12fff31}, + {0x130ff80, 0x130ff69}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dffd5}, + {0x12eff14, 0x12eff7f}, + {0x12fffae, 0x12ffff5}, + {0x130ff87, 0x130ff6d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff17}, + {0x12eff28, 0x12effa9}, + {0x12fff5c, 0x12fffb9}, + {0x130ff8f, 0x130ff72}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff59}, + {0x12eff3d, 0x12effd2}, + {0x12fff0a, 0x12fff7d}, + {0x130ff97, 0x130ff77}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff9b}, + {0x12eff51, 0x12efffb}, + {0x12fffb8, 0x12fff41}, + {0x130ff9e, 0x130ff7c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffdd}, + {0x12eff66, 0x12eff24}, + {0x12fff66, 0x12fff06}, + {0x130ffa6, 0x130ff81}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff1f}, + {0x12eff7a, 0x12eff4e}, + {0x12fff14, 0x12fffca}, + {0x130ffae, 0x130ff85}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff61}, + {0x12eff8f, 0x12eff77}, + {0x12fffc2, 0x12fff8e}, + {0x130ffb5, 0x130ff8a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dffa3}, + {0x12effa3, 0x12effa0}, + {0x12fff70, 0x12fff52}, + {0x130ffbd, 0x130ff8f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dffe5}, + {0x12effb8, 0x12effc9}, + {0x12fff1e, 0x12fff16}, + {0x130ffc5, 0x130ff94}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff27}, + {0x12effcc, 0x12efff3}, + {0x12fffcc, 0x12fffda}, + {0x130ffcc, 0x130ff98}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff69}, + {0x12effe1, 0x12eff1c}, + {0x12fff7a, 0x12fff9f}, + {0x130ffd4, 0x130ff9d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dffab}, + {0x12efff5, 0x12eff45}, + {0x12fff28, 0x12fff63}, + {0x130ffdc, 0x130ffa2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dffed}, + {0x12eff0a, 0x12eff6e}, + {0x12fffd7, 0x12fff27}, + {0x130ffe3, 0x130ffa7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff2f}, + {0x12eff1e, 0x12eff98}, + {0x12fff85, 0x12fffeb}, + {0x130ffeb, 0x130ffab}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff71}, + {0x12eff33, 0x12effc1}, + {0x12fff33, 0x12fffaf}, + {0x130fff3, 0x130ffb0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dffb3}, + {0x12eff47, 0x12effea}, + {0x12fffe1, 0x12fff73}, + {0x130fffa, 0x130ffb5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dfff5}, + {0x12eff5c, 0x12eff13}, + {0x12fff8f, 0x12fff38}, + {0x130ff02, 0x130ffba}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff37}, + {0x12eff70, 0x12eff3d}, + {0x12fff3d, 0x12ffffc}, + {0x130ff0a, 0x130ffbe}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff79}, + {0x12eff85, 0x12eff66}, + {0x12fffeb, 0x12fffc0}, + {0x130ff11, 0x130ffc3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffbb}, + {0x12eff99, 0x12eff8f}, + {0x12fff99, 0x12fff84}, + {0x130ff19, 0x130ffc8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dfffd}, + {0x12effae, 0x12effb8}, + {0x12fff47, 0x12fff48}, + {0x130ff21, 0x130ffcd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff3f}, + {0x12effc2, 0x12effe2}, + {0x12ffff5, 0x12fff0c}, + {0x130ff28, 0x130ffd2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff81}, + {0x12effd7, 0x12eff0b}, + {0x12fffa3, 0x12fffd1}, + {0x130ff30, 0x130ffd6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dffc3}, + {0x12effeb, 0x12eff34}, + {0x12fff51, 0x12fff95}, + {0x130ff38, 0x130ffdb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff05}, + {0x12eff00, 0x12eff5e}, + {0x12fff00, 0x12fff59}, + {0x130ff40, 0x130ffe0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff47}, + {0x12eff14, 0x12eff87}, + {0x12fffae, 0x12fff1d}, + {0x130ff47, 0x130ffe5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff89}, + {0x12eff28, 0x12effb0}, + {0x12fff5c, 0x12fffe1}, + {0x130ff4f, 0x130ffe9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dffcb}, + {0x12eff3d, 0x12effd9}, + {0x12fff0a, 0x12fffa5}, + {0x130ff57, 0x130ffee}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff0d}, + {0x12eff51, 0x12eff03}, + {0x12fffb8, 0x12fff6a}, + {0x130ff5e, 0x130fff3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff4f}, + {0x12eff66, 0x12eff2c}, + {0x12fff66, 0x12fff2e}, + {0x130ff66, 0x130fff8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff15}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff91}, + {0x12eff7a, 0x12eff55}, + {0x12fff14, 0x12ffff2}, + {0x130ff6e, 0x130fffc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dffd3}, + {0x12eff8f, 0x12eff7e}, + {0x12fffc2, 0x12fffb6}, + {0x130ff75, 0x130ff01}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff15}, + {0x12effa3, 0x12effa8}, + {0x12fff70, 0x12fff7a}, + {0x130ff7d, 0x130ff06}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff57}, + {0x12effb8, 0x12effd1}, + {0x12fff1e, 0x12fff3e}, + {0x130ff85, 0x130ff0b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff99}, + {0x12effcc, 0x12efffa}, + {0x12fffcc, 0x12fff02}, + {0x130ff8c, 0x130ff10}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dffdb}, + {0x12effe1, 0x12eff23}, + {0x12fff7a, 0x12fffc7}, + {0x130ff94, 0x130ff14}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff1d}, + {0x12efff5, 0x12eff4d}, + {0x12fff28, 0x12fff8b}, + {0x130ff9c, 0x130ff19}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff5f}, + {0x12eff0a, 0x12eff76}, + {0x12fffd7, 0x12fff4f}, + {0x130ffa3, 0x130ff1e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dffa1}, + {0x12eff1e, 0x12eff9f}, + {0x12fff85, 0x12fff13}, + {0x130ffab, 0x130ff23}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffe3}, + {0x12eff33, 0x12effc8}, + {0x12fff33, 0x12fffd7}, + {0x130ffb3, 0x130ff27}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff25}, + {0x12eff47, 0x12efff2}, + {0x12fffe1, 0x12fff9b}, + {0x130ffba, 0x130ff2c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff67}, + {0x12eff5c, 0x12eff1b}, + {0x12fff8f, 0x12fff60}, + {0x130ffc2, 0x130ff31}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dffa9}, + {0x12eff70, 0x12eff44}, + {0x12fff3d, 0x12fff24}, + {0x130ffca, 0x130ff36}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dffeb}, + {0x12eff85, 0x12eff6d}, + {0x12fffeb, 0x12fffe8}, + {0x130ffd1, 0x130ff3a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff2d}, + {0x12eff99, 0x12eff97}, + {0x12fff99, 0x12fffac}, + {0x130ffd9, 0x130ff3f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff70}, + {0x12effae, 0x12effc0}, + {0x12fff47, 0x12fff70}, + {0x130ffe1, 0x130ff44}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dffb2}, + {0x12effc2, 0x12effe9}, + {0x12ffff5, 0x12fff34}, + {0x130ffe8, 0x130ff49}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dfff4}, + {0x12effd7, 0x12eff12}, + {0x12fffa3, 0x12ffff9}, + {0x130fff0, 0x130ff4d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff36}, + {0x12effeb, 0x12eff3c}, + {0x12fff51, 0x12fffbd}, + {0x130fff8, 0x130ff52}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff16}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff78}, + {0x12eff00, 0x12eff65}, + {0x12fff00, 0x12fff81}, + {0x130ff00, 0x130ff57}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}}; diff --git a/opae-libs/plugins/xfpga/usrclk/user_clk_pgm_uclock_freq_template_322.h b/opae-libs/plugins/xfpga/usrclk/user_clk_pgm_uclock_freq_template_322.h new file mode 100644 index 0000000..883a5bf --- /dev/null +++ b/opae-libs/plugins/xfpga/usrclk/user_clk_pgm_uclock_freq_template_322.h @@ -0,0 +1,18047 @@ +// Copyright(c) 2017, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +// V1_qph_user_clk_pgm_Uclock_freq_template.cpp.inc: bdx-p user clock fPLL array +// Arthur.Sheiman@Intel.com Created: 09-08-16 +// Revision: 03-21-17 19:51 + + +const uint32_t scu32ia3d_DiffMifTbl_322[QUCPU_INT_NUMFRQ][QUCPU_INT_NUMREG] + [QUCPU_INT_NUMRCK] = { + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0b}, + {0x110ff1e, 0x110ff16}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff40}, + {0x12cff08, 0x12cff18}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f46}, + {0x1347c30, 0x1347c50}, + {0x135ff03, 0x135ff03}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff05}, + {0x110ff1e, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff0a}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c30}, + {0x135ff03, 0x135ff03}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff0f, 0x10fff0a}, + {0x110ff1e, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afc04, 0x12afc04}, + {0x12bff1e, 0x12bff10}, + {0x12cff08, 0x12cff08}, + {0x12dff01, 0x12dff01}, + {0x12eff00, 0x12eff00}, + {0x12fff00, 0x12fff00}, + {0x130ff00, 0x130ff00}, + {0x1337f42, 0x1337f4a}, + {0x1347c30, 0x1347c40}, + {0x135ff03, 0x135ff04}, + {0x1427f00, 0x1427f00}}, + + {{0x10fff3c, 0x10fff3c}, + {0x110ff78, 0x110ff78}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff99}, + {0x12eff00, 0x12eff99}, + {0x12fff00, 0x12fff99}, + {0x130ff00, 0x130ff99}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff3a, 0x10fff3a}, + {0x110ff74, 0x110ff74}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dffd3}, + {0x12effc2, 0x12eff72}, + {0x12ffff5, 0x12fff8d}, + {0x130ff28, 0x130ffbc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff38, 0x10fff38}, + {0x110ff70, 0x110ff70}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff6f}, + {0x12effa3, 0x12eff5f}, + {0x12fff70, 0x12fff07}, + {0x130ff3d, 0x130ffce}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff36, 0x10fff36}, + {0x110ff6c, 0x110ff6c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff6f}, + {0x12effa3, 0x12eff5f}, + {0x12fff70, 0x12fff07}, + {0x130ff3d, 0x130ffce}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff34, 0x10fff34}, + {0x110ff68, 0x110ff68}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dffd3}, + {0x12effc2, 0x12eff72}, + {0x12ffff5, 0x12fff8d}, + {0x130ff28, 0x130ffbc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff32, 0x10fff32}, + {0x110ff64, 0x110ff64}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff99}, + {0x12eff00, 0x12eff99}, + {0x12fff00, 0x12fff99}, + {0x130ff00, 0x130ff99}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff31, 0x10fff31}, + {0x110ff62, 0x110ff62}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff82}, + {0x12effae, 0x12effbd}, + {0x12fff47, 0x12fff9c}, + {0x130ff61, 0x130ffec}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff2f, 0x10fff2f}, + {0x110ff5e, 0x110ff5e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff36}, + {0x12effe1, 0x12eff86}, + {0x12fff7a, 0x12fff13}, + {0x130ff14, 0x130ffab}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff2e, 0x10fff2e}, + {0x110ff5c, 0x110ff5c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff5a}, + {0x12efff5, 0x12eff42}, + {0x12fff28, 0x12fff3e}, + {0x130ff5c, 0x130ffe8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff2d, 0x10fff2d}, + {0x110ff5a, 0x110ff5a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff31}, + {0x12eff99, 0x12eff08}, + {0x12fff99, 0x12fffac}, + {0x130ff99, 0x130ff1c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff2b, 0x10fff2b}, + {0x110ff56, 0x110ff56}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff5d}, + {0x12eff99, 0x12eff01}, + {0x12fff99, 0x12fff72}, + {0x130ff19, 0x130ffaf}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff2a, 0x10fff2a}, + {0x110ff54, 0x110ff54}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff6f}, + {0x12effa3, 0x12eff5f}, + {0x12fff70, 0x12fff07}, + {0x130ff3d, 0x130ffce}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff29, 0x10fff29}, + {0x110ff52, 0x110ff52}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff33}, + {0x12eff3d, 0x12effc7}, + {0x12fff0a, 0x12fffdf}, + {0x130ff57, 0x130ffe3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff28, 0x10fff28}, + {0x110ff50, 0x110ff50}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffa9}, + {0x12eff66, 0x12eff38}, + {0x12fff66, 0x12ffffb}, + {0x130ff66, 0x130fff0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff27, 0x10fff27}, + {0x110ff4e, 0x110ff4e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dffd0}, + {0x12eff1e, 0x12effb3}, + {0x12fff85, 0x12fff59}, + {0x130ff6b, 0x130fff5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff26, 0x10fff26}, + {0x110ff4c, 0x110ff4c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffa9}, + {0x12eff66, 0x12eff38}, + {0x12fff66, 0x12ffffb}, + {0x130ff66, 0x130fff0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff25, 0x10fff25}, + {0x110ff4a, 0x110ff4a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff33}, + {0x12eff3d, 0x12effc7}, + {0x12fff0a, 0x12fffdf}, + {0x130ff57, 0x130ffe3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff24, 0x10fff24}, + {0x110ff48, 0x110ff48}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff6f}, + {0x12effa3, 0x12eff5f}, + {0x12fff70, 0x12fff07}, + {0x130ff3d, 0x130ffce}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff23, 0x10fff23}, + {0x110ff46, 0x110ff46}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff5d}, + {0x12eff99, 0x12eff01}, + {0x12fff99, 0x12fff72}, + {0x130ff19, 0x130ffaf}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff23, 0x10fff23}, + {0x110ff46, 0x110ff46}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffb9}, + {0x12effcc, 0x12effd7}, + {0x12fffcc, 0x12fff5c}, + {0x130ffcc, 0x130ff48}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff22, 0x10fff22}, + {0x110ff44, 0x110ff44}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff31}, + {0x12eff99, 0x12eff08}, + {0x12fff99, 0x12fffac}, + {0x130ff99, 0x130ff1c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff21, 0x10fff21}, + {0x110ff42, 0x110ff42}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff5a}, + {0x12efff5, 0x12eff42}, + {0x12fff28, 0x12fff3e}, + {0x130ff5c, 0x130ffe8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff20, 0x10fff20}, + {0x110ff40, 0x110ff40}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff36}, + {0x12effe1, 0x12eff86}, + {0x12fff7a, 0x12fff13}, + {0x130ff14, 0x130ffab}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff20, 0x10fff20}, + {0x110ff40, 0x110ff40}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff1c}, + {0x12effeb, 0x12effeb}, + {0x12fff51, 0x12fffe2}, + {0x130ffb8, 0x130ff36}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff1f, 0x10fff1f}, + {0x110ff3e, 0x110ff3e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff82}, + {0x12effae, 0x12effbd}, + {0x12fff47, 0x12fff9c}, + {0x130ff61, 0x130ffec}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff1e, 0x10fff1e}, + {0x110ff3c, 0x110ff3c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff99}, + {0x12eff00, 0x12eff99}, + {0x12fff00, 0x12fff99}, + {0x130ff00, 0x130ff99}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff1e, 0x10fff1e}, + {0x110ff3c, 0x110ff3c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff31}, + {0x12eff99, 0x12eff08}, + {0x12fff99, 0x12fffac}, + {0x130ff99, 0x130ff1c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff1d, 0x10fff1d}, + {0x110ff3a, 0x110ff3a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dffd3}, + {0x12effc2, 0x12eff72}, + {0x12ffff5, 0x12fff8d}, + {0x130ff28, 0x130ffbc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff1d, 0x10fff1d}, + {0x110ff3a, 0x110ff3a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff43}, + {0x12effa3, 0x12eff66}, + {0x12fff70, 0x12fff41}, + {0x130ffbd, 0x130ff3b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff1c, 0x10fff1c}, + {0x110ff38, 0x110ff38}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff6f}, + {0x12effa3, 0x12eff5f}, + {0x12fff70, 0x12fff07}, + {0x130ff3d, 0x130ffce}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff1c, 0x10fff1c}, + {0x110ff38, 0x110ff38}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffb9}, + {0x12effcc, 0x12effd7}, + {0x12fffcc, 0x12fff5c}, + {0x130ffcc, 0x130ff48}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff1b, 0x10fff1b}, + {0x110ff36, 0x110ff36}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff6f}, + {0x12effa3, 0x12eff5f}, + {0x12fff70, 0x12fff07}, + {0x130ff3d, 0x130ffce}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff1b, 0x10fff1b}, + {0x110ff36, 0x110ff36}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff91}, + {0x12eff14, 0x12eff5c}, + {0x12fffae, 0x12ffffe}, + {0x130ffc7, 0x130ff43}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff1a, 0x10fff1a}, + {0x110ff34, 0x110ff34}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dffd3}, + {0x12effc2, 0x12eff72}, + {0x12ffff5, 0x12fff8d}, + {0x130ff28, 0x130ffbc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff1a, 0x10fff1a}, + {0x110ff34, 0x110ff34}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dffcd}, + {0x12eff7a, 0x12efff4}, + {0x12fff14, 0x12fff25}, + {0x130ffae, 0x130ff2e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff19, 0x10fff19}, + {0x110ff32, 0x110ff32}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff99}, + {0x12eff00, 0x12eff99}, + {0x12fff00, 0x12fff99}, + {0x130ff00, 0x130ff99}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff19, 0x10fff19}, + {0x110ff32, 0x110ff32}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff6d}, + {0x12eff00, 0x12effa0}, + {0x12fff00, 0x12fffd3}, + {0x130ff80, 0x130ff06}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff19, 0x10fff19}, + {0x110ff32, 0x110ff32}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff40}, + {0x12eff00, 0x12effa7}, + {0x12fff00, 0x12fff0d}, + {0x130ff00, 0x130ff74}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff18, 0x10fff18}, + {0x110ff30, 0x110ff30}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff6f}, + {0x12effa3, 0x12eff5f}, + {0x12fff70, 0x12fff07}, + {0x130ff3d, 0x130ffce}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff18, 0x10fff18}, + {0x110ff30, 0x110ff30}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff1c}, + {0x12effeb, 0x12effeb}, + {0x12fff51, 0x12fffe2}, + {0x130ffb8, 0x130ff36}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff18, 0x10fff18}, + {0x110ff30, 0x110ff30}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffc8}, + {0x12eff33, 0x12eff76}, + {0x12fff33, 0x12fffbe}, + {0x130ff33, 0x130ff9f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff17, 0x10fff17}, + {0x110ff2e, 0x110ff2e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff5a}, + {0x12efff5, 0x12eff42}, + {0x12fff28, 0x12fff3e}, + {0x130ff5c, 0x130ffe8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff17, 0x10fff17}, + {0x110ff2e, 0x110ff2e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dffe0}, + {0x12eff85, 0x12eff52}, + {0x12fffeb, 0x12fffbb}, + {0x130ffd1, 0x130ff4c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff17, 0x10fff17}, + {0x110ff2e, 0x110ff2e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff65}, + {0x12eff14, 0x12eff63}, + {0x12fffae, 0x12fff38}, + {0x130ff47, 0x130ffb1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff16, 0x10fff16}, + {0x110ff2c, 0x110ff2c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff5a}, + {0x12efff5, 0x12eff42}, + {0x12fff28, 0x12fff3e}, + {0x130ff5c, 0x130ffe8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff16, 0x10fff16}, + {0x110ff2c, 0x110ff2c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffb9}, + {0x12effcc, 0x12effd7}, + {0x12fffcc, 0x12fff5c}, + {0x130ffcc, 0x130ff48}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff16, 0x10fff16}, + {0x110ff2c, 0x110ff2c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff17}, + {0x12effa3, 0x12eff6d}, + {0x12fff70, 0x12fff7b}, + {0x130ff3d, 0x130ffa8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff15, 0x10fff15}, + {0x110ff2a, 0x110ff2a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff6f}, + {0x12effa3, 0x12eff5f}, + {0x12fff70, 0x12fff07}, + {0x130ff3d, 0x130ffce}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff15, 0x10fff15}, + {0x110ff2a, 0x110ff2a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dffa6}, + {0x12effc2, 0x12eff79}, + {0x12ffff5, 0x12fffc7}, + {0x130ffa8, 0x130ff29}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff15, 0x10fff15}, + {0x110ff2a, 0x110ff2a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dffdd}, + {0x12effe1, 0x12eff93}, + {0x12fff7a, 0x12fff87}, + {0x130ff14, 0x130ff85}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff14, 0x10fff14}, + {0x110ff28, 0x110ff28}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff99}, + {0x12eff00, 0x12eff99}, + {0x12fff00, 0x12fff99}, + {0x130ff00, 0x130ff99}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff14, 0x10fff14}, + {0x110ff28, 0x110ff28}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffa9}, + {0x12eff66, 0x12eff38}, + {0x12fff66, 0x12ffffb}, + {0x130ff66, 0x130fff0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff14, 0x10fff14}, + {0x110ff28, 0x110ff28}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffb9}, + {0x12effcc, 0x12effd7}, + {0x12fffcc, 0x12fff5c}, + {0x130ffcc, 0x130ff48}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff14, 0x10fff14}, + {0x110ff28, 0x110ff28}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffc8}, + {0x12eff33, 0x12eff76}, + {0x12fff33, 0x12fffbe}, + {0x130ff33, 0x130ff9f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff13, 0x10fff13}, + {0x110ff26, 0x110ff26}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dffc0}, + {0x12effb8, 0x12eff14}, + {0x12fff1e, 0x12ffff8}, + {0x130ff05, 0x130ff9d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff13, 0x10fff13}, + {0x110ff26, 0x110ff26}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffa9}, + {0x12eff66, 0x12eff38}, + {0x12fff66, 0x12ffffb}, + {0x130ff66, 0x130fff0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff13, 0x10fff13}, + {0x110ff26, 0x110ff26}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff91}, + {0x12eff14, 0x12eff5c}, + {0x12fffae, 0x12ffffe}, + {0x130ffc7, 0x130ff43}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff13, 0x10fff13}, + {0x110ff26, 0x110ff26}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff7a}, + {0x12effc2, 0x12eff80}, + {0x12ffff5, 0x12fff01}, + {0x130ff28, 0x130ff97}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff13, 0x10fff13}, + {0x110ff26, 0x110ff26}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff62}, + {0x12eff70, 0x12effa4}, + {0x12fff3d, 0x12fff04}, + {0x130ff8a, 0x130ffea}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff12, 0x10fff12}, + {0x110ff24, 0x110ff24}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff6f}, + {0x12effa3, 0x12eff5f}, + {0x12fff70, 0x12fff07}, + {0x130ff3d, 0x130ffce}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff12, 0x10fff12}, + {0x110ff24, 0x110ff24}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff31}, + {0x12eff99, 0x12eff08}, + {0x12fff99, 0x12fffac}, + {0x130ff99, 0x130ff1c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff12, 0x10fff12}, + {0x110ff24, 0x110ff24}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dfff2}, + {0x12eff8f, 0x12effb0}, + {0x12fffc2, 0x12fff50}, + {0x130fff5, 0x130ff6b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff12, 0x10fff12}, + {0x110ff24, 0x110ff24}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dffb3}, + {0x12eff85, 0x12eff59}, + {0x12fffeb, 0x12ffff5}, + {0x130ff51, 0x130ffb9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff12, 0x10fff12}, + {0x110ff24, 0x110ff24}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff75}, + {0x12eff7a, 0x12eff02}, + {0x12fff14, 0x12fff9a}, + {0x130ffae, 0x130ff08}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff11, 0x10fff11}, + {0x110ff22, 0x110ff22}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff97}, + {0x12eff5c, 0x12effda}, + {0x12fff8f, 0x12fff65}, + {0x130ff42, 0x130ffd2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff11, 0x10fff11}, + {0x110ff22, 0x110ff22}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff31}, + {0x12eff99, 0x12eff08}, + {0x12fff99, 0x12fffac}, + {0x130ff99, 0x130ff1c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff11, 0x10fff11}, + {0x110ff22, 0x110ff22}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dffcb}, + {0x12effd7, 0x12eff35}, + {0x12fffa3, 0x12ffff2}, + {0x130fff0, 0x130ff66}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff11, 0x10fff11}, + {0x110ff22, 0x110ff22}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff65}, + {0x12eff14, 0x12eff63}, + {0x12fffae, 0x12fff38}, + {0x130ff47, 0x130ffb1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff11, 0x10fff11}, + {0x110ff22, 0x110ff22}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dffff}, + {0x12eff51, 0x12eff90}, + {0x12fffb8, 0x12fff7e}, + {0x130ff9e, 0x130fffb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff10, 0x10fff10}, + {0x110ff20, 0x110ff20}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff36}, + {0x12effe1, 0x12eff86}, + {0x12fff7a, 0x12fff13}, + {0x130ff14, 0x130ffab}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff10, 0x10fff10}, + {0x110ff20, 0x110ff20}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffa9}, + {0x12eff66, 0x12eff38}, + {0x12fff66, 0x12ffffb}, + {0x130ff66, 0x130fff0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff10, 0x10fff10}, + {0x110ff20, 0x110ff20}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff1c}, + {0x12effeb, 0x12effeb}, + {0x12fff51, 0x12fffe2}, + {0x130ffb8, 0x130ff36}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff10, 0x10fff10}, + {0x110ff20, 0x110ff20}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff8f}, + {0x12eff70, 0x12eff9d}, + {0x12fff3d, 0x12fffca}, + {0x130ff0a, 0x130ff7c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff10, 0x10fff10}, + {0x110ff20, 0x110ff20}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff02}, + {0x12efff5, 0x12eff50}, + {0x12fff28, 0x12fffb2}, + {0x130ff5c, 0x130ffc2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff10, 0x10fff10}, + {0x110ff20, 0x110ff20}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff75}, + {0x12eff7a, 0x12eff02}, + {0x12fff14, 0x12fff9a}, + {0x130ffae, 0x130ff08}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0f, 0x10fff0f}, + {0x110ff1e, 0x110ff1e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff99}, + {0x12eff00, 0x12eff99}, + {0x12fff00, 0x12fff99}, + {0x130ff00, 0x130ff99}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0f, 0x10fff0f}, + {0x110ff1e, 0x110ff1e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffe5}, + {0x12effcc, 0x12effd0}, + {0x12fffcc, 0x12fff22}, + {0x130ff4c, 0x130ffdb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0f, 0x10fff0f}, + {0x110ff1e, 0x110ff1e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff31}, + {0x12eff99, 0x12eff08}, + {0x12fff99, 0x12fffac}, + {0x130ff99, 0x130ff1c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0f, 0x10fff0f}, + {0x110ff1e, 0x110ff1e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff7c}, + {0x12eff66, 0x12eff3f}, + {0x12fff66, 0x12fff35}, + {0x130ffe6, 0x130ff5e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0f, 0x10fff0f}, + {0x110ff1e, 0x110ff1e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffc8}, + {0x12eff33, 0x12eff76}, + {0x12fff33, 0x12fffbe}, + {0x130ff33, 0x130ff9f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0f, 0x10fff0f}, + {0x110ff1e, 0x110ff1e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff14}, + {0x12eff00, 0x12effae}, + {0x12fff00, 0x12fff47}, + {0x130ff80, 0x130ffe1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0f, 0x10fff0f}, + {0x110ff1e, 0x110ff1e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff60}, + {0x12effcc, 0x12effe5}, + {0x12fffcc, 0x12fffd0}, + {0x130ffcc, 0x130ff22}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0f, 0x10fff0f}, + {0x110ff1e, 0x110ff1e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffac}, + {0x12eff99, 0x12eff1c}, + {0x12fff99, 0x12fff5a}, + {0x130ff19, 0x130ff64}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0e, 0x10fff0e}, + {0x110ff1c, 0x110ff1c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff6f}, + {0x12effa3, 0x12eff5f}, + {0x12fff70, 0x12fff07}, + {0x130ff3d, 0x130ffce}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0e, 0x10fff0e}, + {0x110ff1c, 0x110ff1c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff94}, + {0x12effb8, 0x12eff1b}, + {0x12fff1e, 0x12fff32}, + {0x130ff85, 0x130ff0b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0e, 0x10fff0e}, + {0x110ff1c, 0x110ff1c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffb9}, + {0x12effcc, 0x12effd7}, + {0x12fffcc, 0x12fff5c}, + {0x130ffcc, 0x130ff48}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0e, 0x10fff0e}, + {0x110ff1c, 0x110ff1c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dffdd}, + {0x12effe1, 0x12eff93}, + {0x12fff7a, 0x12fff87}, + {0x130ff14, 0x130ff85}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0e, 0x10fff0e}, + {0x110ff1c, 0x110ff1c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff02}, + {0x12efff5, 0x12eff50}, + {0x12fff28, 0x12fffb2}, + {0x130ff5c, 0x130ffc2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0e, 0x10fff0e}, + {0x110ff1c, 0x110ff1c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff26}, + {0x12eff0a, 0x12eff0c}, + {0x12fffd7, 0x12fffdd}, + {0x130ffa3, 0x130ffff}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0e, 0x10fff0e}, + {0x110ff1c, 0x110ff1c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff4b}, + {0x12eff1e, 0x12effc8}, + {0x12fff85, 0x12fff07}, + {0x130ffeb, 0x130ff3d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0e, 0x10fff0e}, + {0x110ff1c, 0x110ff1c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff6f}, + {0x12eff33, 0x12eff84}, + {0x12fff33, 0x12fff32}, + {0x130ff33, 0x130ff7a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0d, 0x10fff0d}, + {0x110ff1a, 0x110ff1a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dffd3}, + {0x12effc2, 0x12eff72}, + {0x12ffff5, 0x12fff8d}, + {0x130ff28, 0x130ffbc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0d, 0x10fff0d}, + {0x110ff1a, 0x110ff1a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dffd0}, + {0x12eff1e, 0x12effb3}, + {0x12fff85, 0x12fff59}, + {0x130ff6b, 0x130fff5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0d, 0x10fff0d}, + {0x110ff1a, 0x110ff1a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dffcd}, + {0x12eff7a, 0x12efff4}, + {0x12fff14, 0x12fff25}, + {0x130ffae, 0x130ff2e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0d, 0x10fff0d}, + {0x110ff1a, 0x110ff1a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dffcb}, + {0x12effd7, 0x12eff35}, + {0x12fffa3, 0x12ffff2}, + {0x130fff0, 0x130ff66}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0d, 0x10fff0d}, + {0x110ff1a, 0x110ff1a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffc8}, + {0x12eff33, 0x12eff76}, + {0x12fff33, 0x12fffbe}, + {0x130ff33, 0x130ff9f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0d, 0x10fff0d}, + {0x110ff1a, 0x110ff1a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dffc6}, + {0x12eff8f, 0x12effb7}, + {0x12fffc2, 0x12fff8a}, + {0x130ff75, 0x130ffd8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0d, 0x10fff0d}, + {0x110ff1a, 0x110ff1a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dffc3}, + {0x12effeb, 0x12efff8}, + {0x12fff51, 0x12fff56}, + {0x130ffb8, 0x130ff11}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0d, 0x10fff0d}, + {0x110ff1a, 0x110ff1a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dffc0}, + {0x12eff47, 0x12eff39}, + {0x12fffe1, 0x12fff23}, + {0x130fffa, 0x130ff4a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0d, 0x10fff0d}, + {0x110ff1a, 0x110ff1a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dffbe}, + {0x12effa3, 0x12eff7a}, + {0x12fff70, 0x12fffef}, + {0x130ff3d, 0x130ff82}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0c, 0x10fff0c}, + {0x110ff18, 0x110ff18}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff99}, + {0x12eff00, 0x12eff99}, + {0x12fff00, 0x12fff99}, + {0x130ff00, 0x130ff99}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0c, 0x10fff0c}, + {0x110ff18, 0x110ff18}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff6f}, + {0x12effa3, 0x12eff5f}, + {0x12fff70, 0x12fff07}, + {0x130ff3d, 0x130ffce}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0c, 0x10fff0c}, + {0x110ff18, 0x110ff18}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff46}, + {0x12eff47, 0x12eff25}, + {0x12fffe1, 0x12fff75}, + {0x130ff7a, 0x130ff02}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0c, 0x10fff0c}, + {0x110ff18, 0x110ff18}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff1c}, + {0x12effeb, 0x12effeb}, + {0x12fff51, 0x12fffe2}, + {0x130ffb8, 0x130ff36}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0c, 0x10fff0c}, + {0x110ff18, 0x110ff18}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dfff2}, + {0x12eff8f, 0x12effb0}, + {0x12fffc2, 0x12fff50}, + {0x130fff5, 0x130ff6b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0c, 0x10fff0c}, + {0x110ff18, 0x110ff18}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffc8}, + {0x12eff33, 0x12eff76}, + {0x12fff33, 0x12fffbe}, + {0x130ff33, 0x130ff9f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0c, 0x10fff0c}, + {0x110ff18, 0x110ff18}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff9e}, + {0x12effd7, 0x12eff3c}, + {0x12fffa3, 0x12fff2c}, + {0x130ff70, 0x130ffd4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0c, 0x10fff0c}, + {0x110ff18, 0x110ff18}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff75}, + {0x12eff7a, 0x12eff02}, + {0x12fff14, 0x12fff9a}, + {0x130ffae, 0x130ff08}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0c, 0x10fff0c}, + {0x110ff18, 0x110ff18}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff4b}, + {0x12eff1e, 0x12effc8}, + {0x12fff85, 0x12fff07}, + {0x130ffeb, 0x130ff3d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0c, 0x10fff0c}, + {0x110ff18, 0x110ff18}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff21}, + {0x12effc2, 0x12eff8e}, + {0x12ffff5, 0x12fff75}, + {0x130ff28, 0x130ff71}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0c, 0x10fff0c}, + {0x110ff18, 0x110ff18}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dfff7}, + {0x12eff66, 0x12eff53}, + {0x12fff66, 0x12fffe3}, + {0x130ff66, 0x130ffa5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0c, 0x10fff0c}, + {0x110ff18, 0x110ff18}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dffce}, + {0x12eff0a, 0x12eff19}, + {0x12fffd7, 0x12fff51}, + {0x130ffa3, 0x130ffda}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0b, 0x10fff0b}, + {0x110ff16, 0x110ff16}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dffab}, + {0x12eff0a, 0x12efff7}, + {0x12fffd7, 0x12fff2e}, + {0x130ff23, 0x130ffb8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0b, 0x10fff0b}, + {0x110ff16, 0x110ff16}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff5a}, + {0x12efff5, 0x12eff42}, + {0x12fff28, 0x12fff3e}, + {0x130ff5c, 0x130ffe8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0b, 0x10fff0b}, + {0x110ff16, 0x110ff16}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff09}, + {0x12effe1, 0x12eff8d}, + {0x12fff7a, 0x12fff4d}, + {0x130ff94, 0x130ff18}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0b, 0x10fff0b}, + {0x110ff16, 0x110ff16}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffb9}, + {0x12effcc, 0x12effd7}, + {0x12fffcc, 0x12fff5c}, + {0x130ffcc, 0x130ff48}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0b, 0x10fff0b}, + {0x110ff16, 0x110ff16}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff68}, + {0x12effb8, 0x12eff22}, + {0x12fff1e, 0x12fff6c}, + {0x130ff05, 0x130ff78}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0b, 0x10fff0b}, + {0x110ff16, 0x110ff16}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff17}, + {0x12effa3, 0x12eff6d}, + {0x12fff70, 0x12fff7b}, + {0x130ff3d, 0x130ffa8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0b, 0x10fff0b}, + {0x110ff16, 0x110ff16}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dffc6}, + {0x12eff8f, 0x12effb7}, + {0x12fffc2, 0x12fff8a}, + {0x130ff75, 0x130ffd8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0b, 0x10fff0b}, + {0x110ff16, 0x110ff16}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff75}, + {0x12eff7a, 0x12eff02}, + {0x12fff14, 0x12fff9a}, + {0x130ffae, 0x130ff08}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0b, 0x10fff0b}, + {0x110ff16, 0x110ff16}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff24}, + {0x12eff66, 0x12eff4d}, + {0x12fff66, 0x12fffa9}, + {0x130ffe6, 0x130ff38}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0b, 0x10fff0b}, + {0x110ff16, 0x110ff16}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dffd3}, + {0x12eff51, 0x12eff97}, + {0x12fffb8, 0x12fffb8}, + {0x130ff1e, 0x130ff68}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0b, 0x10fff0b}, + {0x110ff16, 0x110ff16}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff82}, + {0x12eff3d, 0x12effe2}, + {0x12fff0a, 0x12fffc7}, + {0x130ff57, 0x130ff98}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0b, 0x10fff0b}, + {0x110ff16, 0x110ff16}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff31}, + {0x12eff28, 0x12eff2d}, + {0x12fff5c, 0x12fffd7}, + {0x130ff8f, 0x130ffc8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0b, 0x10fff0b}, + {0x110ff16, 0x110ff16}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dffe0}, + {0x12eff14, 0x12eff77}, + {0x12fffae, 0x12fffe6}, + {0x130ffc7, 0x130fff8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff99}, + {0x12eff00, 0x12eff99}, + {0x12fff00, 0x12fff99}, + {0x130ff00, 0x130ff99}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff21}, + {0x12eff33, 0x12eff69}, + {0x12fff33, 0x12fff4a}, + {0x130ff33, 0x130ffc5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffa9}, + {0x12eff66, 0x12eff38}, + {0x12fff66, 0x12ffffb}, + {0x130ff66, 0x130fff0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff31}, + {0x12eff99, 0x12eff08}, + {0x12fff99, 0x12fffac}, + {0x130ff99, 0x130ff1c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffb9}, + {0x12effcc, 0x12effd7}, + {0x12fffcc, 0x12fff5c}, + {0x130ffcc, 0x130ff48}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff40}, + {0x12eff00, 0x12effa7}, + {0x12fff00, 0x12fff0d}, + {0x130ff00, 0x130ff74}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffc8}, + {0x12eff33, 0x12eff76}, + {0x12fff33, 0x12fffbe}, + {0x130ff33, 0x130ff9f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff50}, + {0x12eff66, 0x12eff46}, + {0x12fff66, 0x12fff6f}, + {0x130ff66, 0x130ffcb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffd8}, + {0x12eff99, 0x12eff15}, + {0x12fff99, 0x12fff20}, + {0x130ff99, 0x130fff7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff60}, + {0x12effcc, 0x12effe5}, + {0x12fffcc, 0x12fffd0}, + {0x130ffcc, 0x130ff22}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffe8}, + {0x12eff00, 0x12effb4}, + {0x12fff00, 0x12fff81}, + {0x130ff00, 0x130ff4e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff6f}, + {0x12eff33, 0x12eff84}, + {0x12fff33, 0x12fff32}, + {0x130ff33, 0x130ff7a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dfff7}, + {0x12eff66, 0x12eff53}, + {0x12fff66, 0x12fffe3}, + {0x130ff66, 0x130ffa5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff7f}, + {0x12eff99, 0x12eff23}, + {0x12fff99, 0x12fff94}, + {0x130ff99, 0x130ffd1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff07}, + {0x12effcc, 0x12efff3}, + {0x12fffcc, 0x12fff44}, + {0x130ffcc, 0x130fffd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff8f}, + {0x12eff00, 0x12effc2}, + {0x12fff00, 0x12ffff5}, + {0x130ff00, 0x130ff28}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff0a, 0x10fff0a}, + {0x110ff14, 0x110ff14}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff17}, + {0x12eff33, 0x12eff92}, + {0x12fff33, 0x12fffa6}, + {0x130ff33, 0x130ff54}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff0f}, + {0x12eff28, 0x12eff0b}, + {0x12fff5c, 0x12fffb5}, + {0x130ff0f, 0x130ffa6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff6f}, + {0x12effa3, 0x12eff5f}, + {0x12fff70, 0x12fff07}, + {0x130ff3d, 0x130ffce}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dffd0}, + {0x12eff1e, 0x12effb3}, + {0x12fff85, 0x12fff59}, + {0x130ff6b, 0x130fff5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff31}, + {0x12eff99, 0x12eff08}, + {0x12fff99, 0x12fffac}, + {0x130ff99, 0x130ff1c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff91}, + {0x12eff14, 0x12eff5c}, + {0x12fffae, 0x12ffffe}, + {0x130ffc7, 0x130ff43}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dfff2}, + {0x12eff8f, 0x12effb0}, + {0x12fffc2, 0x12fff50}, + {0x130fff5, 0x130ff6b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff53}, + {0x12eff0a, 0x12eff05}, + {0x12fffd7, 0x12fffa3}, + {0x130ff23, 0x130ff92}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dffb3}, + {0x12eff85, 0x12eff59}, + {0x12fffeb, 0x12ffff5}, + {0x130ff51, 0x130ffb9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff14}, + {0x12eff00, 0x12effae}, + {0x12fff00, 0x12fff47}, + {0x130ff80, 0x130ffe1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff75}, + {0x12eff7a, 0x12eff02}, + {0x12fff14, 0x12fff9a}, + {0x130ffae, 0x130ff08}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dffd5}, + {0x12efff5, 0x12eff56}, + {0x12fff28, 0x12fffec}, + {0x130ffdc, 0x130ff2f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff36}, + {0x12eff70, 0x12effab}, + {0x12fff3d, 0x12fff3e}, + {0x130ff0a, 0x130ff57}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff97}, + {0x12effeb, 0x12effff}, + {0x12fff51, 0x12fff90}, + {0x130ff38, 0x130ff7e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dfff7}, + {0x12eff66, 0x12eff53}, + {0x12fff66, 0x12fffe3}, + {0x130ff66, 0x130ffa5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff58}, + {0x12effe1, 0x12effa8}, + {0x12fff7a, 0x12fff35}, + {0x130ff94, 0x130ffcd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dffb9}, + {0x12eff5c, 0x12efffc}, + {0x12fff8f, 0x12fff87}, + {0x130ffc2, 0x130fff4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff19}, + {0x12effd7, 0x12eff51}, + {0x12fffa3, 0x12fffda}, + {0x130fff0, 0x130ff1b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff7a}, + {0x12eff51, 0x12effa5}, + {0x12fffb8, 0x12fff2c}, + {0x130ff1e, 0x130ff43}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffdb}, + {0x12effcc, 0x12efff9}, + {0x12fffcc, 0x12fff7e}, + {0x130ff4c, 0x130ff6a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff3b}, + {0x12eff47, 0x12eff4e}, + {0x12fffe1, 0x12fffd1}, + {0x130ff7a, 0x130ff91}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff09, 0x10fff09}, + {0x110ff12, 0x110ff12}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff9c}, + {0x12effc2, 0x12effa2}, + {0x12ffff5, 0x12fff23}, + {0x130ffa8, 0x130ffb9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff36}, + {0x12effe1, 0x12eff86}, + {0x12fff7a, 0x12fff13}, + {0x130ff14, 0x130ffab}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff6f}, + {0x12effa3, 0x12eff5f}, + {0x12fff70, 0x12fff07}, + {0x130ff3d, 0x130ffce}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffa9}, + {0x12eff66, 0x12eff38}, + {0x12fff66, 0x12ffffb}, + {0x130ff66, 0x130fff0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dffe2}, + {0x12eff28, 0x12eff11}, + {0x12fff5c, 0x12fffef}, + {0x130ff8f, 0x130ff13}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff1c}, + {0x12effeb, 0x12effeb}, + {0x12fff51, 0x12fffe2}, + {0x130ffb8, 0x130ff36}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff55}, + {0x12effae, 0x12effc4}, + {0x12fff47, 0x12fffd6}, + {0x130ffe1, 0x130ff59}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff8f}, + {0x12eff70, 0x12eff9d}, + {0x12fff3d, 0x12fffca}, + {0x130ff0a, 0x130ff7c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffc8}, + {0x12eff33, 0x12eff76}, + {0x12fff33, 0x12fffbe}, + {0x130ff33, 0x130ff9f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff02}, + {0x12efff5, 0x12eff50}, + {0x12fff28, 0x12fffb2}, + {0x130ff5c, 0x130ffc2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff3b}, + {0x12effb8, 0x12eff29}, + {0x12fff1e, 0x12fffa6}, + {0x130ff85, 0x130ffe5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff75}, + {0x12eff7a, 0x12eff02}, + {0x12fff14, 0x12fff9a}, + {0x130ffae, 0x130ff08}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dffae}, + {0x12eff3d, 0x12effdb}, + {0x12fff0a, 0x12fff8d}, + {0x130ffd7, 0x130ff2b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffe8}, + {0x12eff00, 0x12effb4}, + {0x12fff00, 0x12fff81}, + {0x130ff00, 0x130ff4e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff21}, + {0x12effc2, 0x12eff8e}, + {0x12ffff5, 0x12fff75}, + {0x130ff28, 0x130ff71}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff5b}, + {0x12eff85, 0x12eff67}, + {0x12fffeb, 0x12fff69}, + {0x130ff51, 0x130ff94}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff94}, + {0x12eff47, 0x12eff40}, + {0x12fffe1, 0x12fff5d}, + {0x130ff7a, 0x130ffb7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dffce}, + {0x12eff0a, 0x12eff19}, + {0x12fffd7, 0x12fff51}, + {0x130ffa3, 0x130ffda}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff07}, + {0x12effcc, 0x12efff3}, + {0x12fffcc, 0x12fff44}, + {0x130ffcc, 0x130fffd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff40}, + {0x12eff8f, 0x12effcc}, + {0x12fffc2, 0x12fff38}, + {0x130fff5, 0x130ff20}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff7a}, + {0x12eff51, 0x12effa5}, + {0x12fffb8, 0x12fff2c}, + {0x130ff1e, 0x130ff43}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dffb3}, + {0x12eff14, 0x12eff7e}, + {0x12fffae, 0x12fff20}, + {0x130ff47, 0x130ff66}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dffed}, + {0x12effd7, 0x12eff57}, + {0x12fffa3, 0x12fff14}, + {0x130ff70, 0x130ff89}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff26}, + {0x12eff99, 0x12eff31}, + {0x12fff99, 0x12fff08}, + {0x130ff99, 0x130ffac}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff60}, + {0x12eff5c, 0x12eff0a}, + {0x12fff8f, 0x12ffffc}, + {0x130ffc2, 0x130ffce}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff99}, + {0x12eff1e, 0x12effe3}, + {0x12fff85, 0x12fffef}, + {0x130ffeb, 0x130fff1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dffd3}, + {0x12effe1, 0x12effbc}, + {0x12fff7a, 0x12fffe3}, + {0x130ff14, 0x130ff14}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff08, 0x10fff08}, + {0x110ff10, 0x110ff10}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff0c}, + {0x12effa3, 0x12eff96}, + {0x12fff70, 0x12fffd7}, + {0x130ff3d, 0x130ff37}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff5d}, + {0x12eff99, 0x12eff01}, + {0x12fff99, 0x12fff72}, + {0x130ff19, 0x130ffaf}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff6f}, + {0x12effa3, 0x12eff5f}, + {0x12fff70, 0x12fff07}, + {0x130ff3d, 0x130ffce}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff82}, + {0x12effae, 0x12effbd}, + {0x12fff47, 0x12fff9c}, + {0x130ff61, 0x130ffec}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff94}, + {0x12effb8, 0x12eff1b}, + {0x12fff1e, 0x12fff32}, + {0x130ff85, 0x130ff0b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dffa6}, + {0x12effc2, 0x12eff79}, + {0x12ffff5, 0x12fffc7}, + {0x130ffa8, 0x130ff29}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffb9}, + {0x12effcc, 0x12effd7}, + {0x12fffcc, 0x12fff5c}, + {0x130ffcc, 0x130ff48}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dffcb}, + {0x12effd7, 0x12eff35}, + {0x12fffa3, 0x12ffff2}, + {0x130fff0, 0x130ff66}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dffdd}, + {0x12effe1, 0x12eff93}, + {0x12fff7a, 0x12fff87}, + {0x130ff14, 0x130ff85}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dffef}, + {0x12effeb, 0x12efff1}, + {0x12fff51, 0x12fff1c}, + {0x130ff38, 0x130ffa4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff02}, + {0x12efff5, 0x12eff50}, + {0x12fff28, 0x12fffb2}, + {0x130ff5c, 0x130ffc2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff14}, + {0x12eff00, 0x12effae}, + {0x12fff00, 0x12fff47}, + {0x130ff80, 0x130ffe1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff26}, + {0x12eff0a, 0x12eff0c}, + {0x12fffd7, 0x12fffdd}, + {0x130ffa3, 0x130ffff}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff39}, + {0x12eff14, 0x12eff6a}, + {0x12fffae, 0x12fff72}, + {0x130ffc7, 0x130ff1e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff4b}, + {0x12eff1e, 0x12effc8}, + {0x12fff85, 0x12fff07}, + {0x130ffeb, 0x130ff3d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff5d}, + {0x12eff28, 0x12eff26}, + {0x12fff5c, 0x12fff9d}, + {0x130ff0f, 0x130ff5b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff6f}, + {0x12eff33, 0x12eff84}, + {0x12fff33, 0x12fff32}, + {0x130ff33, 0x130ff7a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff82}, + {0x12eff3d, 0x12effe2}, + {0x12fff0a, 0x12fffc7}, + {0x130ff57, 0x130ff98}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff94}, + {0x12eff47, 0x12eff40}, + {0x12fffe1, 0x12fff5d}, + {0x130ff7a, 0x130ffb7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dffa6}, + {0x12eff51, 0x12eff9e}, + {0x12fffb8, 0x12ffff2}, + {0x130ff9e, 0x130ffd5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dffb9}, + {0x12eff5c, 0x12efffc}, + {0x12fff8f, 0x12fff87}, + {0x130ffc2, 0x130fff4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffcb}, + {0x12eff66, 0x12eff5a}, + {0x12fff66, 0x12fff1d}, + {0x130ffe6, 0x130ff13}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dffdd}, + {0x12eff70, 0x12effb8}, + {0x12fff3d, 0x12fffb2}, + {0x130ff0a, 0x130ff31}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dfff0}, + {0x12eff7a, 0x12eff16}, + {0x12fff14, 0x12fff48}, + {0x130ff2e, 0x130ff50}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff02}, + {0x12eff85, 0x12eff75}, + {0x12fffeb, 0x12fffdd}, + {0x130ff51, 0x130ff6e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff14}, + {0x12eff8f, 0x12effd3}, + {0x12fffc2, 0x12fff72}, + {0x130ff75, 0x130ff8d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff26}, + {0x12eff99, 0x12eff31}, + {0x12fff99, 0x12fff08}, + {0x130ff99, 0x130ffac}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff39}, + {0x12effa3, 0x12eff8f}, + {0x12fff70, 0x12fff9d}, + {0x130ffbd, 0x130ffca}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff4b}, + {0x12effae, 0x12effed}, + {0x12fff47, 0x12fff32}, + {0x130ffe1, 0x130ffe9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff5d}, + {0x12effb8, 0x12eff4b}, + {0x12fff1e, 0x12fffc8}, + {0x130ff05, 0x130ff07}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff70}, + {0x12effc2, 0x12effa9}, + {0x12ffff5, 0x12fff5d}, + {0x130ff28, 0x130ff26}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff82}, + {0x12effcc, 0x12eff07}, + {0x12fffcc, 0x12ffff3}, + {0x130ff4c, 0x130ff44}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff94}, + {0x12effd7, 0x12eff65}, + {0x12fffa3, 0x12fff88}, + {0x130ff70, 0x130ff63}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dffa6}, + {0x12effe1, 0x12effc3}, + {0x12fff7a, 0x12fff1d}, + {0x130ff94, 0x130ff82}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dffb9}, + {0x12effeb, 0x12eff21}, + {0x12fff51, 0x12fffb3}, + {0x130ffb8, 0x130ffa0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff07, 0x10fff07}, + {0x110ff0e, 0x110ff0e}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dffcb}, + {0x12efff5, 0x12eff7f}, + {0x12fff28, 0x12fff48}, + {0x130ffdc, 0x130ffbf}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff99}, + {0x12eff00, 0x12eff99}, + {0x12fff00, 0x12fff99}, + {0x130ff00, 0x130ff99}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff84}, + {0x12eff51, 0x12eff7c}, + {0x12fffb8, 0x12fffd0}, + {0x130ff1e, 0x130ffb3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff6f}, + {0x12effa3, 0x12eff5f}, + {0x12fff70, 0x12fff07}, + {0x130ff3d, 0x130ffce}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff5a}, + {0x12efff5, 0x12eff42}, + {0x12fff28, 0x12fff3e}, + {0x130ff5c, 0x130ffe8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff46}, + {0x12eff47, 0x12eff25}, + {0x12fffe1, 0x12fff75}, + {0x130ff7a, 0x130ff02}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff31}, + {0x12eff99, 0x12eff08}, + {0x12fff99, 0x12fffac}, + {0x130ff99, 0x130ff1c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff1c}, + {0x12effeb, 0x12effeb}, + {0x12fff51, 0x12fffe2}, + {0x130ffb8, 0x130ff36}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff07}, + {0x12eff3d, 0x12effce}, + {0x12fff0a, 0x12fff19}, + {0x130ffd7, 0x130ff51}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dfff2}, + {0x12eff8f, 0x12effb0}, + {0x12fffc2, 0x12fff50}, + {0x130fff5, 0x130ff6b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dffdd}, + {0x12effe1, 0x12eff93}, + {0x12fff7a, 0x12fff87}, + {0x130ff14, 0x130ff85}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffc8}, + {0x12eff33, 0x12eff76}, + {0x12fff33, 0x12fffbe}, + {0x130ff33, 0x130ff9f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dffb3}, + {0x12eff85, 0x12eff59}, + {0x12fffeb, 0x12ffff5}, + {0x130ff51, 0x130ffb9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff9e}, + {0x12effd7, 0x12eff3c}, + {0x12fffa3, 0x12fff2c}, + {0x130ff70, 0x130ffd4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff8a}, + {0x12eff28, 0x12eff1f}, + {0x12fff5c, 0x12fff63}, + {0x130ff8f, 0x130ffee}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff75}, + {0x12eff7a, 0x12eff02}, + {0x12fff14, 0x12fff9a}, + {0x130ffae, 0x130ff08}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff60}, + {0x12effcc, 0x12effe5}, + {0x12fffcc, 0x12fffd0}, + {0x130ffcc, 0x130ff22}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff4b}, + {0x12eff1e, 0x12effc8}, + {0x12fff85, 0x12fff07}, + {0x130ffeb, 0x130ff3d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff36}, + {0x12eff70, 0x12effab}, + {0x12fff3d, 0x12fff3e}, + {0x130ff0a, 0x130ff57}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff21}, + {0x12effc2, 0x12eff8e}, + {0x12ffff5, 0x12fff75}, + {0x130ff28, 0x130ff71}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff0c}, + {0x12eff14, 0x12eff71}, + {0x12fffae, 0x12fffac}, + {0x130ff47, 0x130ff8b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dfff7}, + {0x12eff66, 0x12eff53}, + {0x12fff66, 0x12fffe3}, + {0x130ff66, 0x130ffa5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dffe2}, + {0x12effb8, 0x12eff36}, + {0x12fff1e, 0x12fff1a}, + {0x130ff85, 0x130ffc0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dffce}, + {0x12eff0a, 0x12eff19}, + {0x12fffd7, 0x12fff51}, + {0x130ffa3, 0x130ffda}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dffb9}, + {0x12eff5c, 0x12efffc}, + {0x12fff8f, 0x12fff87}, + {0x130ffc2, 0x130fff4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dffa4}, + {0x12effae, 0x12effdf}, + {0x12fff47, 0x12fffbe}, + {0x130ffe1, 0x130ff0e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff8f}, + {0x12eff00, 0x12effc2}, + {0x12fff00, 0x12ffff5}, + {0x130ff00, 0x130ff28}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff7a}, + {0x12eff51, 0x12effa5}, + {0x12fffb8, 0x12fff2c}, + {0x130ff1e, 0x130ff43}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff65}, + {0x12effa3, 0x12eff88}, + {0x12fff70, 0x12fff63}, + {0x130ff3d, 0x130ff5d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff50}, + {0x12efff5, 0x12eff6b}, + {0x12fff28, 0x12fff9a}, + {0x130ff5c, 0x130ff77}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff3b}, + {0x12eff47, 0x12eff4e}, + {0x12fffe1, 0x12fffd1}, + {0x130ff7a, 0x130ff91}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff26}, + {0x12eff99, 0x12eff31}, + {0x12fff99, 0x12fff08}, + {0x130ff99, 0x130ffac}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff12}, + {0x12effeb, 0x12eff14}, + {0x12fff51, 0x12fff3f}, + {0x130ffb8, 0x130ffc6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dfffd}, + {0x12eff3d, 0x12efff6}, + {0x12fff0a, 0x12fff75}, + {0x130ffd7, 0x130ffe0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dffe8}, + {0x12eff8f, 0x12effd9}, + {0x12fffc2, 0x12fffac}, + {0x130fff5, 0x130fffa}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dffd3}, + {0x12effe1, 0x12effbc}, + {0x12fff7a, 0x12fffe3}, + {0x130ff14, 0x130ff14}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffbe}, + {0x12eff33, 0x12eff9f}, + {0x12fff33, 0x12fff1a}, + {0x130ff33, 0x130ff2f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dffa9}, + {0x12eff85, 0x12eff82}, + {0x12fffeb, 0x12fff51}, + {0x130ff51, 0x130ff49}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff94}, + {0x12effd7, 0x12eff65}, + {0x12fffa3, 0x12fff88}, + {0x130ff70, 0x130ff63}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff7f}, + {0x12eff28, 0x12eff48}, + {0x12fff5c, 0x12fffbf}, + {0x130ff8f, 0x130ff7d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff6a}, + {0x12eff7a, 0x12eff2b}, + {0x12fff14, 0x12ffff6}, + {0x130ffae, 0x130ff97}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff56}, + {0x12effcc, 0x12eff0e}, + {0x12fffcc, 0x12fff2d}, + {0x130ffcc, 0x130ffb2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff41}, + {0x12eff1e, 0x12efff1}, + {0x12fff85, 0x12fff63}, + {0x130ffeb, 0x130ffcc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff2c}, + {0x12eff70, 0x12effd4}, + {0x12fff3d, 0x12fff9a}, + {0x130ff0a, 0x130ffe6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff17}, + {0x12effc2, 0x12effb7}, + {0x12ffff5, 0x12fffd1}, + {0x130ff28, 0x130ff00}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff02}, + {0x12eff14, 0x12eff9a}, + {0x12fffae, 0x12fff08}, + {0x130ff47, 0x130ff1b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffed}, + {0x12eff66, 0x12eff7c}, + {0x12fff66, 0x12fff3f}, + {0x130ff66, 0x130ff35}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dffd8}, + {0x12effb8, 0x12eff5f}, + {0x12fff1e, 0x12fff76}, + {0x130ff85, 0x130ff4f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dffc3}, + {0x12eff0a, 0x12eff42}, + {0x12fffd7, 0x12fffad}, + {0x130ffa3, 0x130ff69}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dffae}, + {0x12eff5c, 0x12eff25}, + {0x12fff8f, 0x12fffe4}, + {0x130ffc2, 0x130ff83}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff06, 0x10fff06}, + {0x110ff0c, 0x110ff0c}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff9a}, + {0x12effae, 0x12eff08}, + {0x12fff47, 0x12fff1b}, + {0x130ffe1, 0x130ff9e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff99}, + {0x12eff00, 0x12eff99}, + {0x12fff00, 0x12fff99}, + {0x130ff00, 0x130ff99}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff5d}, + {0x12eff99, 0x12eff01}, + {0x12fff99, 0x12fff72}, + {0x130ff19, 0x130ffaf}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff21}, + {0x12eff33, 0x12eff69}, + {0x12fff33, 0x12fff4a}, + {0x130ff33, 0x130ffc5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffe5}, + {0x12effcc, 0x12effd0}, + {0x12fffcc, 0x12fff22}, + {0x130ff4c, 0x130ffdb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffa9}, + {0x12eff66, 0x12eff38}, + {0x12fff66, 0x12ffffb}, + {0x130ff66, 0x130fff0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff6d}, + {0x12eff00, 0x12effa0}, + {0x12fff00, 0x12fffd3}, + {0x130ff80, 0x130ff06}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff31}, + {0x12eff99, 0x12eff08}, + {0x12fff99, 0x12fffac}, + {0x130ff99, 0x130ff1c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dfff5}, + {0x12eff33, 0x12eff6f}, + {0x12fff33, 0x12fff84}, + {0x130ffb3, 0x130ff32}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffb9}, + {0x12effcc, 0x12effd7}, + {0x12fffcc, 0x12fff5c}, + {0x130ffcc, 0x130ff48}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff7c}, + {0x12eff66, 0x12eff3f}, + {0x12fff66, 0x12fff35}, + {0x130ffe6, 0x130ff5e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff40}, + {0x12eff00, 0x12effa7}, + {0x12fff00, 0x12fff0d}, + {0x130ff00, 0x130ff74}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff04}, + {0x12eff99, 0x12eff0f}, + {0x12fff99, 0x12fffe6}, + {0x130ff19, 0x130ff89}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffc8}, + {0x12eff33, 0x12eff76}, + {0x12fff33, 0x12fffbe}, + {0x130ff33, 0x130ff9f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff8c}, + {0x12effcc, 0x12effde}, + {0x12fffcc, 0x12fff96}, + {0x130ff4c, 0x130ffb5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff50}, + {0x12eff66, 0x12eff46}, + {0x12fff66, 0x12fff6f}, + {0x130ff66, 0x130ffcb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff14}, + {0x12eff00, 0x12effae}, + {0x12fff00, 0x12fff47}, + {0x130ff80, 0x130ffe1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffd8}, + {0x12eff99, 0x12eff15}, + {0x12fff99, 0x12fff20}, + {0x130ff99, 0x130fff7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff9c}, + {0x12eff33, 0x12eff7d}, + {0x12fff33, 0x12ffff8}, + {0x130ffb3, 0x130ff0c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff60}, + {0x12effcc, 0x12effe5}, + {0x12fffcc, 0x12fffd0}, + {0x130ffcc, 0x130ff22}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff24}, + {0x12eff66, 0x12eff4d}, + {0x12fff66, 0x12fffa9}, + {0x130ffe6, 0x130ff38}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffe8}, + {0x12eff00, 0x12effb4}, + {0x12fff00, 0x12fff81}, + {0x130ff00, 0x130ff4e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffac}, + {0x12eff99, 0x12eff1c}, + {0x12fff99, 0x12fff5a}, + {0x130ff19, 0x130ff64}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff6f}, + {0x12eff33, 0x12eff84}, + {0x12fff33, 0x12fff32}, + {0x130ff33, 0x130ff7a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff33}, + {0x12effcc, 0x12effec}, + {0x12fffcc, 0x12fff0a}, + {0x130ff4c, 0x130ff90}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dfff7}, + {0x12eff66, 0x12eff53}, + {0x12fff66, 0x12fffe3}, + {0x130ff66, 0x130ffa5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dffbb}, + {0x12eff00, 0x12effbb}, + {0x12fff00, 0x12fffbb}, + {0x130ff80, 0x130ffbb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff7f}, + {0x12eff99, 0x12eff23}, + {0x12fff99, 0x12fff94}, + {0x130ff99, 0x130ffd1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff43}, + {0x12eff33, 0x12eff8b}, + {0x12fff33, 0x12fff6c}, + {0x130ffb3, 0x130ffe7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff07}, + {0x12effcc, 0x12efff3}, + {0x12fffcc, 0x12fff44}, + {0x130ffcc, 0x130fffd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffcb}, + {0x12eff66, 0x12eff5a}, + {0x12fff66, 0x12fff1d}, + {0x130ffe6, 0x130ff13}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff8f}, + {0x12eff00, 0x12effc2}, + {0x12fff00, 0x12ffff5}, + {0x130ff00, 0x130ff28}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff53}, + {0x12eff99, 0x12eff2a}, + {0x12fff99, 0x12fffce}, + {0x130ff19, 0x130ff3e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff17}, + {0x12eff33, 0x12eff92}, + {0x12fff33, 0x12fffa6}, + {0x130ff33, 0x130ff54}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffdb}, + {0x12effcc, 0x12efff9}, + {0x12fffcc, 0x12fff7e}, + {0x130ff4c, 0x130ff6a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff9f}, + {0x12eff66, 0x12eff61}, + {0x12fff66, 0x12fff57}, + {0x130ff66, 0x130ff80}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff62}, + {0x12eff00, 0x12effc9}, + {0x12fff00, 0x12fff2f}, + {0x130ff80, 0x130ff96}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff26}, + {0x12eff99, 0x12eff31}, + {0x12fff99, 0x12fff08}, + {0x130ff99, 0x130ffac}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffea}, + {0x12eff33, 0x12eff98}, + {0x12fff33, 0x12fffe0}, + {0x130ffb3, 0x130ffc1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffae}, + {0x12effcc, 0x12eff00}, + {0x12fffcc, 0x12fffb9}, + {0x130ffcc, 0x130ffd7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff72}, + {0x12eff66, 0x12eff68}, + {0x12fff66, 0x12fff91}, + {0x130ffe6, 0x130ffed}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff36}, + {0x12eff00, 0x12effd0}, + {0x12fff00, 0x12fff69}, + {0x130ff00, 0x130ff03}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dfffa}, + {0x12eff99, 0x12eff37}, + {0x12fff99, 0x12fff42}, + {0x130ff19, 0x130ff19}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffbe}, + {0x12eff33, 0x12eff9f}, + {0x12fff33, 0x12fff1a}, + {0x130ff33, 0x130ff2f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff82}, + {0x12effcc, 0x12eff07}, + {0x12fffcc, 0x12ffff3}, + {0x130ff4c, 0x130ff44}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff46}, + {0x12eff66, 0x12eff6f}, + {0x12fff66, 0x12fffcb}, + {0x130ff66, 0x130ff5a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff0a}, + {0x12eff00, 0x12effd7}, + {0x12fff00, 0x12fffa3}, + {0x130ff80, 0x130ff70}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffce}, + {0x12eff99, 0x12eff3e}, + {0x12fff99, 0x12fff7c}, + {0x130ff99, 0x130ff86}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff92}, + {0x12eff33, 0x12effa6}, + {0x12fff33, 0x12fff54}, + {0x130ffb3, 0x130ff9c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff56}, + {0x12effcc, 0x12eff0e}, + {0x12fffcc, 0x12fff2d}, + {0x130ffcc, 0x130ffb2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff19}, + {0x12eff66, 0x12eff76}, + {0x12fff66, 0x12fff05}, + {0x130ffe6, 0x130ffc8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffdd}, + {0x12eff00, 0x12effdd}, + {0x12fff00, 0x12fffdd}, + {0x130ff00, 0x130ffdd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffa1}, + {0x12eff99, 0x12eff45}, + {0x12fff99, 0x12fffb6}, + {0x130ff19, 0x130fff3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff65}, + {0x12eff33, 0x12effad}, + {0x12fff33, 0x12fff8e}, + {0x130ff33, 0x130ff09}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff29}, + {0x12effcc, 0x12eff15}, + {0x12fffcc, 0x12fff67}, + {0x130ff4c, 0x130ff1f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffed}, + {0x12eff66, 0x12eff7c}, + {0x12fff66, 0x12fff3f}, + {0x130ff66, 0x130ff35}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dffb1}, + {0x12eff00, 0x12effe4}, + {0x12fff00, 0x12fff17}, + {0x130ff80, 0x130ff4b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff75}, + {0x12eff99, 0x12eff4c}, + {0x12fff99, 0x12ffff0}, + {0x130ff99, 0x130ff60}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff39}, + {0x12eff33, 0x12effb4}, + {0x12fff33, 0x12fffc8}, + {0x130ffb3, 0x130ff76}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dfffd}, + {0x12effcc, 0x12eff1b}, + {0x12fffcc, 0x12fffa1}, + {0x130ffcc, 0x130ff8c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffc1}, + {0x12eff66, 0x12eff83}, + {0x12fff66, 0x12fff79}, + {0x130ffe6, 0x130ffa2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff85}, + {0x12eff00, 0x12effeb}, + {0x12fff00, 0x12fff51}, + {0x130ff00, 0x130ffb8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff49}, + {0x12eff99, 0x12eff53}, + {0x12fff99, 0x12fff2a}, + {0x130ff19, 0x130ffce}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff0c}, + {0x12eff33, 0x12effbb}, + {0x12fff33, 0x12fff02}, + {0x130ff33, 0x130ffe4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffd0}, + {0x12effcc, 0x12eff22}, + {0x12fffcc, 0x12fffdb}, + {0x130ff4c, 0x130fff9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff94}, + {0x12eff66, 0x12eff8a}, + {0x12fff66, 0x12fffb3}, + {0x130ff66, 0x130ff0f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff58}, + {0x12eff00, 0x12efff2}, + {0x12fff00, 0x12fff8b}, + {0x130ff80, 0x130ff25}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff1c}, + {0x12eff99, 0x12eff5a}, + {0x12fff99, 0x12fff64}, + {0x130ff99, 0x130ff3b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffe0}, + {0x12eff33, 0x12effc1}, + {0x12fff33, 0x12fff3c}, + {0x130ffb3, 0x130ff51}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffa4}, + {0x12effcc, 0x12eff29}, + {0x12fffcc, 0x12fff15}, + {0x130ffcc, 0x130ff67}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff68}, + {0x12eff66, 0x12eff91}, + {0x12fff66, 0x12fffed}, + {0x130ffe6, 0x130ff7c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff2c}, + {0x12eff00, 0x12efff9}, + {0x12fff00, 0x12fffc5}, + {0x130ff00, 0x130ff92}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dfff0}, + {0x12eff99, 0x12eff60}, + {0x12fff99, 0x12fff9e}, + {0x130ff19, 0x130ffa8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffb4}, + {0x12eff33, 0x12effc8}, + {0x12fff33, 0x12fff76}, + {0x130ff33, 0x130ffbe}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff78}, + {0x12effcc, 0x12eff30}, + {0x12fffcc, 0x12fff4f}, + {0x130ff4c, 0x130ffd4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff05, 0x10fff05}, + {0x110ff0a, 0x110ff0a}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff3c}, + {0x12eff66, 0x12eff98}, + {0x12fff66, 0x12fff27}, + {0x130ff66, 0x130ffea}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff99}, + {0x12eff00, 0x12eff99}, + {0x12fff00, 0x12fff99}, + {0x130ff00, 0x130ff99}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff36}, + {0x12effe1, 0x12eff86}, + {0x12fff7a, 0x12fff13}, + {0x130ff14, 0x130ffab}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dffd3}, + {0x12effc2, 0x12eff72}, + {0x12ffff5, 0x12fff8d}, + {0x130ff28, 0x130ffbc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff6f}, + {0x12effa3, 0x12eff5f}, + {0x12fff70, 0x12fff07}, + {0x130ff3d, 0x130ffce}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff0c}, + {0x12eff85, 0x12eff4c}, + {0x12fffeb, 0x12fff81}, + {0x130ff51, 0x130ffdf}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffa9}, + {0x12eff66, 0x12eff38}, + {0x12fff66, 0x12ffffb}, + {0x130ff66, 0x130fff0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff46}, + {0x12eff47, 0x12eff25}, + {0x12fffe1, 0x12fff75}, + {0x130ff7a, 0x130ff02}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dffe2}, + {0x12eff28, 0x12eff11}, + {0x12fff5c, 0x12fffef}, + {0x130ff8f, 0x130ff13}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff7f}, + {0x12eff0a, 0x12efffe}, + {0x12fffd7, 0x12fff68}, + {0x130ffa3, 0x130ff25}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff1c}, + {0x12effeb, 0x12effeb}, + {0x12fff51, 0x12fffe2}, + {0x130ffb8, 0x130ff36}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffb9}, + {0x12effcc, 0x12effd7}, + {0x12fffcc, 0x12fff5c}, + {0x130ffcc, 0x130ff48}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff55}, + {0x12effae, 0x12effc4}, + {0x12fff47, 0x12fffd6}, + {0x130ffe1, 0x130ff59}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dfff2}, + {0x12eff8f, 0x12effb0}, + {0x12fffc2, 0x12fff50}, + {0x130fff5, 0x130ff6b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff8f}, + {0x12eff70, 0x12eff9d}, + {0x12fff3d, 0x12fffca}, + {0x130ff0a, 0x130ff7c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff2b}, + {0x12eff51, 0x12eff8a}, + {0x12fffb8, 0x12fff44}, + {0x130ff1e, 0x130ff8e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffc8}, + {0x12eff33, 0x12eff76}, + {0x12fff33, 0x12fffbe}, + {0x130ff33, 0x130ff9f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff65}, + {0x12eff14, 0x12eff63}, + {0x12fffae, 0x12fff38}, + {0x130ff47, 0x130ffb1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff02}, + {0x12efff5, 0x12eff50}, + {0x12fff28, 0x12fffb2}, + {0x130ff5c, 0x130ffc2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff9e}, + {0x12effd7, 0x12eff3c}, + {0x12fffa3, 0x12fff2c}, + {0x130ff70, 0x130ffd4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff3b}, + {0x12effb8, 0x12eff29}, + {0x12fff1e, 0x12fffa6}, + {0x130ff85, 0x130ffe5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffd8}, + {0x12eff99, 0x12eff15}, + {0x12fff99, 0x12fff20}, + {0x130ff99, 0x130fff7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff75}, + {0x12eff7a, 0x12eff02}, + {0x12fff14, 0x12fff9a}, + {0x130ffae, 0x130ff08}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff11}, + {0x12eff5c, 0x12effef}, + {0x12fff8f, 0x12fff13}, + {0x130ffc2, 0x130ff1a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dffae}, + {0x12eff3d, 0x12effdb}, + {0x12fff0a, 0x12fff8d}, + {0x130ffd7, 0x130ff2b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff4b}, + {0x12eff1e, 0x12effc8}, + {0x12fff85, 0x12fff07}, + {0x130ffeb, 0x130ff3d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffe8}, + {0x12eff00, 0x12effb4}, + {0x12fff00, 0x12fff81}, + {0x130ff00, 0x130ff4e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff84}, + {0x12effe1, 0x12effa1}, + {0x12fff7a, 0x12ffffb}, + {0x130ff14, 0x130ff5f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff21}, + {0x12effc2, 0x12eff8e}, + {0x12ffff5, 0x12fff75}, + {0x130ff28, 0x130ff71}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dffbe}, + {0x12effa3, 0x12eff7a}, + {0x12fff70, 0x12fffef}, + {0x130ff3d, 0x130ff82}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff5b}, + {0x12eff85, 0x12eff67}, + {0x12fffeb, 0x12fff69}, + {0x130ff51, 0x130ff94}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dfff7}, + {0x12eff66, 0x12eff53}, + {0x12fff66, 0x12fffe3}, + {0x130ff66, 0x130ffa5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff94}, + {0x12eff47, 0x12eff40}, + {0x12fffe1, 0x12fff5d}, + {0x130ff7a, 0x130ffb7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff31}, + {0x12eff28, 0x12eff2d}, + {0x12fff5c, 0x12fffd7}, + {0x130ff8f, 0x130ffc8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dffce}, + {0x12eff0a, 0x12eff19}, + {0x12fffd7, 0x12fff51}, + {0x130ffa3, 0x130ffda}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff6a}, + {0x12effeb, 0x12eff06}, + {0x12fff51, 0x12fffcb}, + {0x130ffb8, 0x130ffeb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff07}, + {0x12effcc, 0x12efff3}, + {0x12fffcc, 0x12fff44}, + {0x130ffcc, 0x130fffd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dffa4}, + {0x12effae, 0x12effdf}, + {0x12fff47, 0x12fffbe}, + {0x130ffe1, 0x130ff0e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff40}, + {0x12eff8f, 0x12effcc}, + {0x12fffc2, 0x12fff38}, + {0x130fff5, 0x130ff20}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dffdd}, + {0x12eff70, 0x12effb8}, + {0x12fff3d, 0x12fffb2}, + {0x130ff0a, 0x130ff31}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff7a}, + {0x12eff51, 0x12effa5}, + {0x12fffb8, 0x12fff2c}, + {0x130ff1e, 0x130ff43}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff17}, + {0x12eff33, 0x12eff92}, + {0x12fff33, 0x12fffa6}, + {0x130ff33, 0x130ff54}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dffb3}, + {0x12eff14, 0x12eff7e}, + {0x12fffae, 0x12fff20}, + {0x130ff47, 0x130ff66}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff50}, + {0x12efff5, 0x12eff6b}, + {0x12fff28, 0x12fff9a}, + {0x130ff5c, 0x130ff77}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dffed}, + {0x12effd7, 0x12eff57}, + {0x12fffa3, 0x12fff14}, + {0x130ff70, 0x130ff89}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff8a}, + {0x12effb8, 0x12eff44}, + {0x12fff1e, 0x12fff8e}, + {0x130ff85, 0x130ff9a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff26}, + {0x12eff99, 0x12eff31}, + {0x12fff99, 0x12fff08}, + {0x130ff99, 0x130ffac}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dffc3}, + {0x12eff7a, 0x12eff1d}, + {0x12fff14, 0x12fff82}, + {0x130ffae, 0x130ffbd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff60}, + {0x12eff5c, 0x12eff0a}, + {0x12fff8f, 0x12ffffc}, + {0x130ffc2, 0x130ffce}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dfffd}, + {0x12eff3d, 0x12efff6}, + {0x12fff0a, 0x12fff75}, + {0x130ffd7, 0x130ffe0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff99}, + {0x12eff1e, 0x12effe3}, + {0x12fff85, 0x12fffef}, + {0x130ffeb, 0x130fff1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff36}, + {0x12eff00, 0x12effd0}, + {0x12fff00, 0x12fff69}, + {0x130ff00, 0x130ff03}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dffd3}, + {0x12effe1, 0x12effbc}, + {0x12fff7a, 0x12fffe3}, + {0x130ff14, 0x130ff14}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff70}, + {0x12effc2, 0x12effa9}, + {0x12ffff5, 0x12fff5d}, + {0x130ff28, 0x130ff26}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff0c}, + {0x12effa3, 0x12eff96}, + {0x12fff70, 0x12fffd7}, + {0x130ff3d, 0x130ff37}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dffa9}, + {0x12eff85, 0x12eff82}, + {0x12fffeb, 0x12fff51}, + {0x130ff51, 0x130ff49}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff46}, + {0x12eff66, 0x12eff6f}, + {0x12fff66, 0x12fffcb}, + {0x130ff66, 0x130ff5a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dffe3}, + {0x12eff47, 0x12eff5b}, + {0x12fffe1, 0x12fff45}, + {0x130ff7a, 0x130ff6c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff7f}, + {0x12eff28, 0x12eff48}, + {0x12fff5c, 0x12fffbf}, + {0x130ff8f, 0x130ff7d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff1c}, + {0x12eff0a, 0x12eff35}, + {0x12fffd7, 0x12fff39}, + {0x130ffa3, 0x130ff8f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dffb9}, + {0x12effeb, 0x12eff21}, + {0x12fff51, 0x12fffb3}, + {0x130ffb8, 0x130ffa0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff56}, + {0x12effcc, 0x12eff0e}, + {0x12fffcc, 0x12fff2d}, + {0x130ffcc, 0x130ffb2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dfff2}, + {0x12effae, 0x12efffa}, + {0x12fff47, 0x12fffa6}, + {0x130ffe1, 0x130ffc3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff8f}, + {0x12eff8f, 0x12effe7}, + {0x12fffc2, 0x12fff20}, + {0x130fff5, 0x130ffd5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff2c}, + {0x12eff70, 0x12effd4}, + {0x12fff3d, 0x12fff9a}, + {0x130ff0a, 0x130ffe6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dffc8}, + {0x12eff51, 0x12effc0}, + {0x12fffb8, 0x12fff14}, + {0x130ff1e, 0x130fff8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff65}, + {0x12eff33, 0x12effad}, + {0x12fff33, 0x12fff8e}, + {0x130ff33, 0x130ff09}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff02}, + {0x12eff14, 0x12eff9a}, + {0x12fffae, 0x12fff08}, + {0x130ff47, 0x130ff1b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff9f}, + {0x12efff5, 0x12eff86}, + {0x12fff28, 0x12fff82}, + {0x130ff5c, 0x130ff2c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff3b}, + {0x12effd7, 0x12eff73}, + {0x12fffa3, 0x12ffffc}, + {0x130ff70, 0x130ff3d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dffd8}, + {0x12effb8, 0x12eff5f}, + {0x12fff1e, 0x12fff76}, + {0x130ff85, 0x130ff4f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff75}, + {0x12eff99, 0x12eff4c}, + {0x12fff99, 0x12ffff0}, + {0x130ff99, 0x130ff60}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff12}, + {0x12eff7a, 0x12eff39}, + {0x12fff14, 0x12fff6a}, + {0x130ffae, 0x130ff72}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dffae}, + {0x12eff5c, 0x12eff25}, + {0x12fff8f, 0x12fffe4}, + {0x130ffc2, 0x130ff83}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff4b}, + {0x12eff3d, 0x12eff12}, + {0x12fff0a, 0x12fff5e}, + {0x130ffd7, 0x130ff95}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dffe8}, + {0x12eff1e, 0x12efffe}, + {0x12fff85, 0x12fffd7}, + {0x130ffeb, 0x130ffa6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff85}, + {0x12eff00, 0x12effeb}, + {0x12fff00, 0x12fff51}, + {0x130ff00, 0x130ffb8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff21}, + {0x12effe1, 0x12effd8}, + {0x12fff7a, 0x12fffcb}, + {0x130ff14, 0x130ffc9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dffbe}, + {0x12effc2, 0x12effc4}, + {0x12ffff5, 0x12fff45}, + {0x130ff28, 0x130ffdb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff5b}, + {0x12effa3, 0x12effb1}, + {0x12fff70, 0x12fffbf}, + {0x130ff3d, 0x130ffec}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dfff8}, + {0x12eff85, 0x12eff9d}, + {0x12fffeb, 0x12fff39}, + {0x130ff51, 0x130fffe}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff94}, + {0x12eff66, 0x12eff8a}, + {0x12fff66, 0x12fffb3}, + {0x130ff66, 0x130ff0f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff31}, + {0x12eff47, 0x12eff77}, + {0x12fffe1, 0x12fff2d}, + {0x130ff7a, 0x130ff21}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dffce}, + {0x12eff28, 0x12eff63}, + {0x12fff5c, 0x12fffa7}, + {0x130ff8f, 0x130ff32}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff6b}, + {0x12eff0a, 0x12eff50}, + {0x12fffd7, 0x12fff21}, + {0x130ffa3, 0x130ff44}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff07}, + {0x12effeb, 0x12eff3d}, + {0x12fff51, 0x12fff9b}, + {0x130ffb8, 0x130ff55}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffa4}, + {0x12effcc, 0x12eff29}, + {0x12fffcc, 0x12fff15}, + {0x130ffcc, 0x130ff67}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff41}, + {0x12effae, 0x12eff16}, + {0x12fff47, 0x12fff8f}, + {0x130ffe1, 0x130ff78}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dffde}, + {0x12eff8f, 0x12eff02}, + {0x12fffc2, 0x12fff09}, + {0x130fff5, 0x130ff8a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff7a}, + {0x12eff70, 0x12effef}, + {0x12fff3d, 0x12fff82}, + {0x130ff0a, 0x130ff9b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff17}, + {0x12eff51, 0x12effdc}, + {0x12fffb8, 0x12ffffc}, + {0x130ff1e, 0x130ffac}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffb4}, + {0x12eff33, 0x12effc8}, + {0x12fff33, 0x12fff76}, + {0x130ff33, 0x130ffbe}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff50}, + {0x12eff14, 0x12effb5}, + {0x12fffae, 0x12ffff0}, + {0x130ff47, 0x130ffcf}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dffed}, + {0x12efff5, 0x12effa1}, + {0x12fff28, 0x12fff6a}, + {0x130ff5c, 0x130ffe1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff8a}, + {0x12effd7, 0x12eff8e}, + {0x12fffa3, 0x12fffe4}, + {0x130ff70, 0x130fff2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff27}, + {0x12effb8, 0x12eff7b}, + {0x12fff1e, 0x12fff5e}, + {0x130ff85, 0x130ff04}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffc3}, + {0x12eff99, 0x12eff67}, + {0x12fff99, 0x12fffd8}, + {0x130ff99, 0x130ff15}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff60}, + {0x12eff7a, 0x12eff54}, + {0x12fff14, 0x12fff52}, + {0x130ffae, 0x130ff27}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dfffd}, + {0x12eff5c, 0x12eff40}, + {0x12fff8f, 0x12fffcc}, + {0x130ffc2, 0x130ff38}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff9a}, + {0x12eff3d, 0x12eff2d}, + {0x12fff0a, 0x12fff46}, + {0x130ffd7, 0x130ff4a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff36}, + {0x12eff1e, 0x12eff1a}, + {0x12fff85, 0x12fffc0}, + {0x130ffeb, 0x130ff5b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffd3}, + {0x12eff00, 0x12eff06}, + {0x12fff00, 0x12fff3a}, + {0x130ff00, 0x130ff6d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff70}, + {0x12effe1, 0x12efff3}, + {0x12fff7a, 0x12fffb3}, + {0x130ff14, 0x130ff7e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff0d}, + {0x12effc2, 0x12effe0}, + {0x12ffff5, 0x12fff2d}, + {0x130ff28, 0x130ff90}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dffa9}, + {0x12effa3, 0x12effcc}, + {0x12fff70, 0x12fffa7}, + {0x130ff3d, 0x130ffa1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff46}, + {0x12eff85, 0x12effb9}, + {0x12fffeb, 0x12fff21}, + {0x130ff51, 0x130ffb3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffe3}, + {0x12eff66, 0x12effa5}, + {0x12fff66, 0x12fff9b}, + {0x130ff66, 0x130ffc4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff80}, + {0x12eff47, 0x12eff92}, + {0x12fffe1, 0x12fff15}, + {0x130ff7a, 0x130ffd6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff1c}, + {0x12eff28, 0x12eff7f}, + {0x12fff5c, 0x12fff8f}, + {0x130ff8f, 0x130ffe7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dffb9}, + {0x12eff0a, 0x12eff6b}, + {0x12fffd7, 0x12fff09}, + {0x130ffa3, 0x130fff9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff56}, + {0x12effeb, 0x12eff58}, + {0x12fff51, 0x12fff83}, + {0x130ffb8, 0x130ff0a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dfff3}, + {0x12effcc, 0x12eff44}, + {0x12fffcc, 0x12ffffd}, + {0x130ffcc, 0x130ff1b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff8f}, + {0x12effae, 0x12eff31}, + {0x12fff47, 0x12fff77}, + {0x130ffe1, 0x130ff2d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff2c}, + {0x12eff8f, 0x12eff1e}, + {0x12fffc2, 0x12ffff1}, + {0x130fff5, 0x130ff3e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dffc9}, + {0x12eff70, 0x12eff0a}, + {0x12fff3d, 0x12fff6b}, + {0x130ff0a, 0x130ff50}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff65}, + {0x12eff51, 0x12efff7}, + {0x12fffb8, 0x12fffe4}, + {0x130ff1e, 0x130ff61}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff02}, + {0x12eff33, 0x12effe4}, + {0x12fff33, 0x12fff5e}, + {0x130ff33, 0x130ff73}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff9f}, + {0x12eff14, 0x12effd0}, + {0x12fffae, 0x12fffd8}, + {0x130ff47, 0x130ff84}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff3c}, + {0x12efff5, 0x12effbd}, + {0x12fff28, 0x12fff52}, + {0x130ff5c, 0x130ff96}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dffd8}, + {0x12effd7, 0x12effa9}, + {0x12fffa3, 0x12fffcc}, + {0x130ff70, 0x130ffa7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff75}, + {0x12effb8, 0x12eff96}, + {0x12fff1e, 0x12fff46}, + {0x130ff85, 0x130ffb9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff12}, + {0x12eff99, 0x12eff83}, + {0x12fff99, 0x12fffc0}, + {0x130ff99, 0x130ffca}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dffaf}, + {0x12eff7a, 0x12eff6f}, + {0x12fff14, 0x12fff3a}, + {0x130ffae, 0x130ffdc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff4b}, + {0x12eff5c, 0x12eff5c}, + {0x12fff8f, 0x12fffb4}, + {0x130ffc2, 0x130ffed}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dffe8}, + {0x12eff3d, 0x12eff48}, + {0x12fff0a, 0x12fff2e}, + {0x130ffd7, 0x130ffff}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff04, 0x10fff04}, + {0x110ff08, 0x110ff08}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff22}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff85}, + {0x12eff1e, 0x12eff35}, + {0x12fff85, 0x12fffa8}, + {0x130ffeb, 0x130ff10}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff99}, + {0x12eff00, 0x12eff99}, + {0x12fff00, 0x12fff99}, + {0x130ff00, 0x130ff99}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff0f}, + {0x12eff28, 0x12eff0b}, + {0x12fff5c, 0x12fffb5}, + {0x130ff0f, 0x130ffa6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff84}, + {0x12eff51, 0x12eff7c}, + {0x12fffb8, 0x12fffd0}, + {0x130ff1e, 0x130ffb3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dfffa}, + {0x12eff7a, 0x12effed}, + {0x12fff14, 0x12fffeb}, + {0x130ff2e, 0x130ffc0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff6f}, + {0x12effa3, 0x12eff5f}, + {0x12fff70, 0x12fff07}, + {0x130ff3d, 0x130ffce}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffe5}, + {0x12effcc, 0x12effd0}, + {0x12fffcc, 0x12fff22}, + {0x130ff4c, 0x130ffdb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff5a}, + {0x12efff5, 0x12eff42}, + {0x12fff28, 0x12fff3e}, + {0x130ff5c, 0x130ffe8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dffd0}, + {0x12eff1e, 0x12effb3}, + {0x12fff85, 0x12fff59}, + {0x130ff6b, 0x130fff5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff46}, + {0x12eff47, 0x12eff25}, + {0x12fffe1, 0x12fff75}, + {0x130ff7a, 0x130ff02}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dffbb}, + {0x12eff70, 0x12eff96}, + {0x12fff3d, 0x12fff90}, + {0x130ff8a, 0x130ff0f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff31}, + {0x12eff99, 0x12eff08}, + {0x12fff99, 0x12fffac}, + {0x130ff99, 0x130ff1c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dffa6}, + {0x12effc2, 0x12eff79}, + {0x12ffff5, 0x12fffc7}, + {0x130ffa8, 0x130ff29}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff1c}, + {0x12effeb, 0x12effeb}, + {0x12fff51, 0x12fffe2}, + {0x130ffb8, 0x130ff36}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff91}, + {0x12eff14, 0x12eff5c}, + {0x12fffae, 0x12ffffe}, + {0x130ffc7, 0x130ff43}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff07}, + {0x12eff3d, 0x12effce}, + {0x12fff0a, 0x12fff19}, + {0x130ffd7, 0x130ff51}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff7c}, + {0x12eff66, 0x12eff3f}, + {0x12fff66, 0x12fff35}, + {0x130ffe6, 0x130ff5e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dfff2}, + {0x12eff8f, 0x12effb0}, + {0x12fffc2, 0x12fff50}, + {0x130fff5, 0x130ff6b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff68}, + {0x12effb8, 0x12eff22}, + {0x12fff1e, 0x12fff6c}, + {0x130ff05, 0x130ff78}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dffdd}, + {0x12effe1, 0x12eff93}, + {0x12fff7a, 0x12fff87}, + {0x130ff14, 0x130ff85}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff53}, + {0x12eff0a, 0x12eff05}, + {0x12fffd7, 0x12fffa3}, + {0x130ff23, 0x130ff92}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffc8}, + {0x12eff33, 0x12eff76}, + {0x12fff33, 0x12fffbe}, + {0x130ff33, 0x130ff9f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff3e}, + {0x12eff5c, 0x12effe8}, + {0x12fff8f, 0x12fffd9}, + {0x130ff42, 0x130ffac}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dffb3}, + {0x12eff85, 0x12eff59}, + {0x12fffeb, 0x12ffff5}, + {0x130ff51, 0x130ffb9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff29}, + {0x12effae, 0x12effcb}, + {0x12fff47, 0x12fff10}, + {0x130ff61, 0x130ffc7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff9e}, + {0x12effd7, 0x12eff3c}, + {0x12fffa3, 0x12fff2c}, + {0x130ff70, 0x130ffd4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff14}, + {0x12eff00, 0x12effae}, + {0x12fff00, 0x12fff47}, + {0x130ff80, 0x130ffe1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff8a}, + {0x12eff28, 0x12eff1f}, + {0x12fff5c, 0x12fff63}, + {0x130ff8f, 0x130ffee}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dffff}, + {0x12eff51, 0x12eff90}, + {0x12fffb8, 0x12fff7e}, + {0x130ff9e, 0x130fffb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff75}, + {0x12eff7a, 0x12eff02}, + {0x12fff14, 0x12fff9a}, + {0x130ffae, 0x130ff08}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dffea}, + {0x12effa3, 0x12eff73}, + {0x12fff70, 0x12fffb5}, + {0x130ffbd, 0x130ff15}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff60}, + {0x12effcc, 0x12effe5}, + {0x12fffcc, 0x12fffd0}, + {0x130ffcc, 0x130ff22}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dffd5}, + {0x12efff5, 0x12eff56}, + {0x12fff28, 0x12fffec}, + {0x130ffdc, 0x130ff2f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff4b}, + {0x12eff1e, 0x12effc8}, + {0x12fff85, 0x12fff07}, + {0x130ffeb, 0x130ff3d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dffc0}, + {0x12eff47, 0x12eff39}, + {0x12fffe1, 0x12fff23}, + {0x130fffa, 0x130ff4a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff36}, + {0x12eff70, 0x12effab}, + {0x12fff3d, 0x12fff3e}, + {0x130ff0a, 0x130ff57}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffac}, + {0x12eff99, 0x12eff1c}, + {0x12fff99, 0x12fff5a}, + {0x130ff19, 0x130ff64}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff21}, + {0x12effc2, 0x12eff8e}, + {0x12ffff5, 0x12fff75}, + {0x130ff28, 0x130ff71}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff97}, + {0x12effeb, 0x12effff}, + {0x12fff51, 0x12fff90}, + {0x130ff38, 0x130ff7e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff0c}, + {0x12eff14, 0x12eff71}, + {0x12fffae, 0x12fffac}, + {0x130ff47, 0x130ff8b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff82}, + {0x12eff3d, 0x12effe2}, + {0x12fff0a, 0x12fffc7}, + {0x130ff57, 0x130ff98}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dfff7}, + {0x12eff66, 0x12eff53}, + {0x12fff66, 0x12fffe3}, + {0x130ff66, 0x130ffa5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff6d}, + {0x12eff8f, 0x12effc5}, + {0x12fffc2, 0x12ffffe}, + {0x130ff75, 0x130ffb2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dffe2}, + {0x12effb8, 0x12eff36}, + {0x12fff1e, 0x12fff1a}, + {0x130ff85, 0x130ffc0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff58}, + {0x12effe1, 0x12effa8}, + {0x12fff7a, 0x12fff35}, + {0x130ff94, 0x130ffcd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dffce}, + {0x12eff0a, 0x12eff19}, + {0x12fffd7, 0x12fff51}, + {0x130ffa3, 0x130ffda}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff43}, + {0x12eff33, 0x12eff8b}, + {0x12fff33, 0x12fff6c}, + {0x130ffb3, 0x130ffe7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dffb9}, + {0x12eff5c, 0x12efffc}, + {0x12fff8f, 0x12fff87}, + {0x130ffc2, 0x130fff4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff2e}, + {0x12eff85, 0x12eff6e}, + {0x12fffeb, 0x12fffa3}, + {0x130ffd1, 0x130ff01}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dffa4}, + {0x12effae, 0x12effdf}, + {0x12fff47, 0x12fffbe}, + {0x130ffe1, 0x130ff0e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff19}, + {0x12effd7, 0x12eff51}, + {0x12fffa3, 0x12fffda}, + {0x130fff0, 0x130ff1b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff8f}, + {0x12eff00, 0x12effc2}, + {0x12fff00, 0x12ffff5}, + {0x130ff00, 0x130ff28}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff04}, + {0x12eff28, 0x12eff34}, + {0x12fff5c, 0x12fff11}, + {0x130ff0f, 0x130ff36}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff7a}, + {0x12eff51, 0x12effa5}, + {0x12fffb8, 0x12fff2c}, + {0x130ff1e, 0x130ff43}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dfff0}, + {0x12eff7a, 0x12eff16}, + {0x12fff14, 0x12fff48}, + {0x130ff2e, 0x130ff50}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff65}, + {0x12effa3, 0x12eff88}, + {0x12fff70, 0x12fff63}, + {0x130ff3d, 0x130ff5d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffdb}, + {0x12effcc, 0x12efff9}, + {0x12fffcc, 0x12fff7e}, + {0x130ff4c, 0x130ff6a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff50}, + {0x12efff5, 0x12eff6b}, + {0x12fff28, 0x12fff9a}, + {0x130ff5c, 0x130ff77}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dffc6}, + {0x12eff1e, 0x12effdc}, + {0x12fff85, 0x12fffb5}, + {0x130ff6b, 0x130ff84}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff3b}, + {0x12eff47, 0x12eff4e}, + {0x12fffe1, 0x12fffd1}, + {0x130ff7a, 0x130ff91}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dffb1}, + {0x12eff70, 0x12effbf}, + {0x12fff3d, 0x12fffec}, + {0x130ff8a, 0x130ff9e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff26}, + {0x12eff99, 0x12eff31}, + {0x12fff99, 0x12fff08}, + {0x130ff99, 0x130ffac}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff9c}, + {0x12effc2, 0x12effa2}, + {0x12ffff5, 0x12fff23}, + {0x130ffa8, 0x130ffb9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff12}, + {0x12effeb, 0x12eff14}, + {0x12fff51, 0x12fff3f}, + {0x130ffb8, 0x130ffc6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff87}, + {0x12eff14, 0x12eff85}, + {0x12fffae, 0x12fff5a}, + {0x130ffc7, 0x130ffd3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dfffd}, + {0x12eff3d, 0x12efff6}, + {0x12fff0a, 0x12fff75}, + {0x130ffd7, 0x130ffe0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff72}, + {0x12eff66, 0x12eff68}, + {0x12fff66, 0x12fff91}, + {0x130ffe6, 0x130ffed}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dffe8}, + {0x12eff8f, 0x12effd9}, + {0x12fffc2, 0x12fffac}, + {0x130fff5, 0x130fffa}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff5d}, + {0x12effb8, 0x12eff4b}, + {0x12fff1e, 0x12fffc8}, + {0x130ff05, 0x130ff07}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dffd3}, + {0x12effe1, 0x12effbc}, + {0x12fff7a, 0x12fffe3}, + {0x130ff14, 0x130ff14}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff48}, + {0x12eff0a, 0x12eff2e}, + {0x12fffd7, 0x12fffff}, + {0x130ff23, 0x130ff21}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffbe}, + {0x12eff33, 0x12eff9f}, + {0x12fff33, 0x12fff1a}, + {0x130ff33, 0x130ff2f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff34}, + {0x12eff5c, 0x12eff11}, + {0x12fff8f, 0x12fff36}, + {0x130ff42, 0x130ff3c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dffa9}, + {0x12eff85, 0x12eff82}, + {0x12fffeb, 0x12fff51}, + {0x130ff51, 0x130ff49}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff1f}, + {0x12effae, 0x12efff4}, + {0x12fff47, 0x12fff6c}, + {0x130ff61, 0x130ff56}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff94}, + {0x12effd7, 0x12eff65}, + {0x12fffa3, 0x12fff88}, + {0x130ff70, 0x130ff63}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff0a}, + {0x12eff00, 0x12effd7}, + {0x12fff00, 0x12fffa3}, + {0x130ff80, 0x130ff70}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff7f}, + {0x12eff28, 0x12eff48}, + {0x12fff5c, 0x12fffbf}, + {0x130ff8f, 0x130ff7d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dfff5}, + {0x12eff51, 0x12effb9}, + {0x12fffb8, 0x12fffda}, + {0x130ff9e, 0x130ff8a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff6a}, + {0x12eff7a, 0x12eff2b}, + {0x12fff14, 0x12ffff6}, + {0x130ffae, 0x130ff97}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dffe0}, + {0x12effa3, 0x12eff9c}, + {0x12fff70, 0x12fff11}, + {0x130ffbd, 0x130ffa5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff56}, + {0x12effcc, 0x12eff0e}, + {0x12fffcc, 0x12fff2d}, + {0x130ffcc, 0x130ffb2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dffcb}, + {0x12efff5, 0x12eff7f}, + {0x12fff28, 0x12fff48}, + {0x130ffdc, 0x130ffbf}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff41}, + {0x12eff1e, 0x12efff1}, + {0x12fff85, 0x12fff63}, + {0x130ffeb, 0x130ffcc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dffb6}, + {0x12eff47, 0x12eff62}, + {0x12fffe1, 0x12fff7f}, + {0x130fffa, 0x130ffd9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff2c}, + {0x12eff70, 0x12effd4}, + {0x12fff3d, 0x12fff9a}, + {0x130ff0a, 0x130ffe6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffa1}, + {0x12eff99, 0x12eff45}, + {0x12fff99, 0x12fffb6}, + {0x130ff19, 0x130fff3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff17}, + {0x12effc2, 0x12effb7}, + {0x12ffff5, 0x12fffd1}, + {0x130ff28, 0x130ff00}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff8c}, + {0x12effeb, 0x12eff28}, + {0x12fff51, 0x12fffed}, + {0x130ff38, 0x130ff0d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff02}, + {0x12eff14, 0x12eff9a}, + {0x12fffae, 0x12fff08}, + {0x130ff47, 0x130ff1b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff78}, + {0x12eff3d, 0x12eff0b}, + {0x12fff0a, 0x12fff24}, + {0x130ff57, 0x130ff28}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffed}, + {0x12eff66, 0x12eff7c}, + {0x12fff66, 0x12fff3f}, + {0x130ff66, 0x130ff35}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff63}, + {0x12eff8f, 0x12effee}, + {0x12fffc2, 0x12fff5a}, + {0x130ff75, 0x130ff42}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dffd8}, + {0x12effb8, 0x12eff5f}, + {0x12fff1e, 0x12fff76}, + {0x130ff85, 0x130ff4f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff4e}, + {0x12effe1, 0x12effd1}, + {0x12fff7a, 0x12fff91}, + {0x130ff94, 0x130ff5c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dffc3}, + {0x12eff0a, 0x12eff42}, + {0x12fffd7, 0x12fffad}, + {0x130ffa3, 0x130ff69}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff39}, + {0x12eff33, 0x12effb4}, + {0x12fff33, 0x12fffc8}, + {0x130ffb3, 0x130ff76}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dffae}, + {0x12eff5c, 0x12eff25}, + {0x12fff8f, 0x12fffe4}, + {0x130ffc2, 0x130ff83}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff24}, + {0x12eff85, 0x12eff97}, + {0x12fffeb, 0x12fffff}, + {0x130ffd1, 0x130ff90}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff9a}, + {0x12effae, 0x12eff08}, + {0x12fff47, 0x12fff1b}, + {0x130ffe1, 0x130ff9e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff0f}, + {0x12effd7, 0x12eff7a}, + {0x12fffa3, 0x12fff36}, + {0x130fff0, 0x130ffab}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fff03, 0x10fff03}, + {0x110ff06, 0x110ff06}, + {0x111ff30, 0x111ff30}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff85}, + {0x12eff00, 0x12effeb}, + {0x12fff00, 0x12fff51}, + {0x130ff00, 0x130ffb8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff7b}, + {0x12effcc, 0x12effcd}, + {0x12fffcc, 0x12fff85}, + {0x130ff0c, 0x130ffa4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff5d}, + {0x12eff99, 0x12eff01}, + {0x12fff99, 0x12fff72}, + {0x130ff19, 0x130ffaf}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff3f}, + {0x12eff66, 0x12eff35}, + {0x12fff66, 0x12fff5e}, + {0x130ff26, 0x130ffba}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff21}, + {0x12eff33, 0x12eff69}, + {0x12fff33, 0x12fff4a}, + {0x130ff33, 0x130ffc5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff03}, + {0x12eff00, 0x12eff9d}, + {0x12fff00, 0x12fff36}, + {0x130ff40, 0x130ffd0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffe5}, + {0x12effcc, 0x12effd0}, + {0x12fffcc, 0x12fff22}, + {0x130ff4c, 0x130ffdb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffc7}, + {0x12eff99, 0x12eff04}, + {0x12fff99, 0x12fff0f}, + {0x130ff59, 0x130ffe6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffa9}, + {0x12eff66, 0x12eff38}, + {0x12fff66, 0x12ffffb}, + {0x130ff66, 0x130fff0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff8b}, + {0x12eff33, 0x12eff6c}, + {0x12fff33, 0x12fffe7}, + {0x130ff73, 0x130fffb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff6d}, + {0x12eff00, 0x12effa0}, + {0x12fff00, 0x12fffd3}, + {0x130ff80, 0x130ff06}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff4f}, + {0x12effcc, 0x12effd4}, + {0x12fffcc, 0x12fffbf}, + {0x130ff8c, 0x130ff11}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff31}, + {0x12eff99, 0x12eff08}, + {0x12fff99, 0x12fffac}, + {0x130ff99, 0x130ff1c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff13}, + {0x12eff66, 0x12eff3c}, + {0x12fff66, 0x12fff98}, + {0x130ffa6, 0x130ff27}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dfff5}, + {0x12eff33, 0x12eff6f}, + {0x12fff33, 0x12fff84}, + {0x130ffb3, 0x130ff32}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dffd7}, + {0x12eff00, 0x12effa3}, + {0x12fff00, 0x12fff70}, + {0x130ffc0, 0x130ff3d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffb9}, + {0x12effcc, 0x12effd7}, + {0x12fffcc, 0x12fff5c}, + {0x130ffcc, 0x130ff48}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff9a}, + {0x12eff99, 0x12eff0b}, + {0x12fff99, 0x12fff49}, + {0x130ffd9, 0x130ff53}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff7c}, + {0x12eff66, 0x12eff3f}, + {0x12fff66, 0x12fff35}, + {0x130ffe6, 0x130ff5e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff5e}, + {0x12eff33, 0x12eff73}, + {0x12fff33, 0x12fff21}, + {0x130fff3, 0x130ff69}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff40}, + {0x12eff00, 0x12effa7}, + {0x12fff00, 0x12fff0d}, + {0x130ff00, 0x130ff74}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff22}, + {0x12effcc, 0x12effdb}, + {0x12fffcc, 0x12ffff9}, + {0x130ff0c, 0x130ff7e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff04}, + {0x12eff99, 0x12eff0f}, + {0x12fff99, 0x12fffe6}, + {0x130ff19, 0x130ff89}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffe6}, + {0x12eff66, 0x12eff42}, + {0x12fff66, 0x12fffd2}, + {0x130ff26, 0x130ff94}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffc8}, + {0x12eff33, 0x12eff76}, + {0x12fff33, 0x12fffbe}, + {0x130ff33, 0x130ff9f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dffaa}, + {0x12eff00, 0x12effaa}, + {0x12fff00, 0x12fffaa}, + {0x130ff40, 0x130ffaa}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff8c}, + {0x12effcc, 0x12effde}, + {0x12fffcc, 0x12fff96}, + {0x130ff4c, 0x130ffb5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff6e}, + {0x12eff99, 0x12eff12}, + {0x12fff99, 0x12fff83}, + {0x130ff59, 0x130ffc0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff50}, + {0x12eff66, 0x12eff46}, + {0x12fff66, 0x12fff6f}, + {0x130ff66, 0x130ffcb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff32}, + {0x12eff33, 0x12eff7a}, + {0x12fff33, 0x12fff5b}, + {0x130ff73, 0x130ffd6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff14}, + {0x12eff00, 0x12effae}, + {0x12fff00, 0x12fff47}, + {0x130ff80, 0x130ffe1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dfff6}, + {0x12effcc, 0x12effe1}, + {0x12fffcc, 0x12fff33}, + {0x130ff8c, 0x130ffec}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffd8}, + {0x12eff99, 0x12eff15}, + {0x12fff99, 0x12fff20}, + {0x130ff99, 0x130fff7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffba}, + {0x12eff66, 0x12eff49}, + {0x12fff66, 0x12fff0c}, + {0x130ffa6, 0x130ff02}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff9c}, + {0x12eff33, 0x12eff7d}, + {0x12fff33, 0x12ffff8}, + {0x130ffb3, 0x130ff0c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff7e}, + {0x12eff00, 0x12effb1}, + {0x12fff00, 0x12fffe4}, + {0x130ffc0, 0x130ff17}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff60}, + {0x12effcc, 0x12effe5}, + {0x12fffcc, 0x12fffd0}, + {0x130ffcc, 0x130ff22}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff42}, + {0x12eff99, 0x12eff19}, + {0x12fff99, 0x12fffbd}, + {0x130ffd9, 0x130ff2d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff24}, + {0x12eff66, 0x12eff4d}, + {0x12fff66, 0x12fffa9}, + {0x130ffe6, 0x130ff38}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff06}, + {0x12eff33, 0x12eff81}, + {0x12fff33, 0x12fff95}, + {0x130fff3, 0x130ff43}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffe8}, + {0x12eff00, 0x12effb4}, + {0x12fff00, 0x12fff81}, + {0x130ff00, 0x130ff4e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffca}, + {0x12effcc, 0x12effe8}, + {0x12fffcc, 0x12fff6d}, + {0x130ff0c, 0x130ff59}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffac}, + {0x12eff99, 0x12eff1c}, + {0x12fff99, 0x12fff5a}, + {0x130ff19, 0x130ff64}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff8d}, + {0x12eff66, 0x12eff50}, + {0x12fff66, 0x12fff46}, + {0x130ff26, 0x130ff6f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff6f}, + {0x12eff33, 0x12eff84}, + {0x12fff33, 0x12fff32}, + {0x130ff33, 0x130ff7a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff51}, + {0x12eff00, 0x12effb8}, + {0x12fff00, 0x12fff1e}, + {0x130ff40, 0x130ff85}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff33}, + {0x12effcc, 0x12effec}, + {0x12fffcc, 0x12fff0a}, + {0x130ff4c, 0x130ff90}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff15}, + {0x12eff99, 0x12eff20}, + {0x12fff99, 0x12ffff7}, + {0x130ff59, 0x130ff9a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dfff7}, + {0x12eff66, 0x12eff53}, + {0x12fff66, 0x12fffe3}, + {0x130ff66, 0x130ffa5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffd9}, + {0x12eff33, 0x12eff87}, + {0x12fff33, 0x12fffcf}, + {0x130ff73, 0x130ffb0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dffbb}, + {0x12eff00, 0x12effbb}, + {0x12fff00, 0x12fffbb}, + {0x130ff80, 0x130ffbb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff9d}, + {0x12effcc, 0x12effef}, + {0x12fffcc, 0x12fffa7}, + {0x130ff8c, 0x130ffc6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff7f}, + {0x12eff99, 0x12eff23}, + {0x12fff99, 0x12fff94}, + {0x130ff99, 0x130ffd1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff61}, + {0x12eff66, 0x12eff57}, + {0x12fff66, 0x12fff80}, + {0x130ffa6, 0x130ffdc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff43}, + {0x12eff33, 0x12eff8b}, + {0x12fff33, 0x12fff6c}, + {0x130ffb3, 0x130ffe7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff25}, + {0x12eff00, 0x12effbf}, + {0x12fff00, 0x12fff58}, + {0x130ffc0, 0x130fff2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff07}, + {0x12effcc, 0x12efff3}, + {0x12fffcc, 0x12fff44}, + {0x130ffcc, 0x130fffd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffe9}, + {0x12eff99, 0x12eff26}, + {0x12fff99, 0x12fff31}, + {0x130ffd9, 0x130ff08}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffcb}, + {0x12eff66, 0x12eff5a}, + {0x12fff66, 0x12fff1d}, + {0x130ffe6, 0x130ff13}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffad}, + {0x12eff33, 0x12eff8e}, + {0x12fff33, 0x12fff09}, + {0x130fff3, 0x130ff1e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff8f}, + {0x12eff00, 0x12effc2}, + {0x12fff00, 0x12ffff5}, + {0x130ff00, 0x130ff28}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff71}, + {0x12effcc, 0x12efff6}, + {0x12fffcc, 0x12fffe1}, + {0x130ff0c, 0x130ff33}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff53}, + {0x12eff99, 0x12eff2a}, + {0x12fff99, 0x12fffce}, + {0x130ff19, 0x130ff3e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff35}, + {0x12eff66, 0x12eff5e}, + {0x12fff66, 0x12fffba}, + {0x130ff26, 0x130ff49}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff17}, + {0x12eff33, 0x12eff92}, + {0x12fff33, 0x12fffa6}, + {0x130ff33, 0x130ff54}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dfff9}, + {0x12eff00, 0x12effc5}, + {0x12fff00, 0x12fff92}, + {0x130ff40, 0x130ff5f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffdb}, + {0x12effcc, 0x12efff9}, + {0x12fffcc, 0x12fff7e}, + {0x130ff4c, 0x130ff6a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffbd}, + {0x12eff99, 0x12eff2d}, + {0x12fff99, 0x12fff6b}, + {0x130ff59, 0x130ff75}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff9f}, + {0x12eff66, 0x12eff61}, + {0x12fff66, 0x12fff57}, + {0x130ff66, 0x130ff80}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff81}, + {0x12eff33, 0x12eff95}, + {0x12fff33, 0x12fff43}, + {0x130ff73, 0x130ff8b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff62}, + {0x12eff00, 0x12effc9}, + {0x12fff00, 0x12fff2f}, + {0x130ff80, 0x130ff96}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff44}, + {0x12effcc, 0x12efffd}, + {0x12fffcc, 0x12fff1b}, + {0x130ff8c, 0x130ffa1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff26}, + {0x12eff99, 0x12eff31}, + {0x12fff99, 0x12fff08}, + {0x130ff99, 0x130ffac}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff08}, + {0x12eff66, 0x12eff65}, + {0x12fff66, 0x12ffff4}, + {0x130ffa6, 0x130ffb6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffea}, + {0x12eff33, 0x12eff98}, + {0x12fff33, 0x12fffe0}, + {0x130ffb3, 0x130ffc1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dffcc}, + {0x12eff00, 0x12effcc}, + {0x12fff00, 0x12fffcc}, + {0x130ffc0, 0x130ffcc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffae}, + {0x12effcc, 0x12eff00}, + {0x12fffcc, 0x12fffb9}, + {0x130ffcc, 0x130ffd7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff90}, + {0x12eff99, 0x12eff34}, + {0x12fff99, 0x12fffa5}, + {0x130ffd9, 0x130ffe2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff72}, + {0x12eff66, 0x12eff68}, + {0x12fff66, 0x12fff91}, + {0x130ffe6, 0x130ffed}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff54}, + {0x12eff33, 0x12eff9c}, + {0x12fff33, 0x12fff7d}, + {0x130fff3, 0x130fff8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff36}, + {0x12eff00, 0x12effd0}, + {0x12fff00, 0x12fff69}, + {0x130ff00, 0x130ff03}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff18}, + {0x12effcc, 0x12eff04}, + {0x12fffcc, 0x12fff56}, + {0x130ff0c, 0x130ff0e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dfffa}, + {0x12eff99, 0x12eff37}, + {0x12fff99, 0x12fff42}, + {0x130ff19, 0x130ff19}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffdc}, + {0x12eff66, 0x12eff6b}, + {0x12fff66, 0x12fff2e}, + {0x130ff26, 0x130ff24}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffbe}, + {0x12eff33, 0x12eff9f}, + {0x12fff33, 0x12fff1a}, + {0x130ff33, 0x130ff2f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dffa0}, + {0x12eff00, 0x12effd3}, + {0x12fff00, 0x12fff06}, + {0x130ff40, 0x130ff3a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff82}, + {0x12effcc, 0x12eff07}, + {0x12fffcc, 0x12ffff3}, + {0x130ff4c, 0x130ff44}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff64}, + {0x12eff99, 0x12eff3b}, + {0x12fff99, 0x12fffdf}, + {0x130ff59, 0x130ff4f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff46}, + {0x12eff66, 0x12eff6f}, + {0x12fff66, 0x12fffcb}, + {0x130ff66, 0x130ff5a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff28}, + {0x12eff33, 0x12effa3}, + {0x12fff33, 0x12fffb7}, + {0x130ff73, 0x130ff65}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff0a}, + {0x12eff00, 0x12effd7}, + {0x12fff00, 0x12fffa3}, + {0x130ff80, 0x130ff70}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffec}, + {0x12effcc, 0x12eff0a}, + {0x12fffcc, 0x12fff90}, + {0x130ff8c, 0x130ff7b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffce}, + {0x12eff99, 0x12eff3e}, + {0x12fff99, 0x12fff7c}, + {0x130ff99, 0x130ff86}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffb0}, + {0x12eff66, 0x12eff72}, + {0x12fff66, 0x12fff68}, + {0x130ffa6, 0x130ff91}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff92}, + {0x12eff33, 0x12effa6}, + {0x12fff33, 0x12fff54}, + {0x130ffb3, 0x130ff9c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff74}, + {0x12eff00, 0x12effda}, + {0x12fff00, 0x12fff40}, + {0x130ffc0, 0x130ffa7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff56}, + {0x12effcc, 0x12eff0e}, + {0x12fffcc, 0x12fff2d}, + {0x130ffcc, 0x130ffb2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff37}, + {0x12eff99, 0x12eff42}, + {0x12fff99, 0x12fff19}, + {0x130ffd9, 0x130ffbd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff19}, + {0x12eff66, 0x12eff76}, + {0x12fff66, 0x12fff05}, + {0x130ffe6, 0x130ffc8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dfffb}, + {0x12eff33, 0x12effa9}, + {0x12fff33, 0x12ffff1}, + {0x130fff3, 0x130ffd2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffdd}, + {0x12eff00, 0x12effdd}, + {0x12fff00, 0x12fffdd}, + {0x130ff00, 0x130ffdd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffbf}, + {0x12effcc, 0x12eff11}, + {0x12fffcc, 0x12fffca}, + {0x130ff0c, 0x130ffe8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffa1}, + {0x12eff99, 0x12eff45}, + {0x12fff99, 0x12fffb6}, + {0x130ff19, 0x130fff3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff83}, + {0x12eff66, 0x12eff79}, + {0x12fff66, 0x12fffa2}, + {0x130ff26, 0x130fffe}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff65}, + {0x12eff33, 0x12effad}, + {0x12fff33, 0x12fff8e}, + {0x130ff33, 0x130ff09}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff47}, + {0x12eff00, 0x12effe1}, + {0x12fff00, 0x12fff7a}, + {0x130ff40, 0x130ff14}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff29}, + {0x12effcc, 0x12eff15}, + {0x12fffcc, 0x12fff67}, + {0x130ff4c, 0x130ff1f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff0b}, + {0x12eff99, 0x12eff49}, + {0x12fff99, 0x12fff53}, + {0x130ff59, 0x130ff2a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffed}, + {0x12eff66, 0x12eff7c}, + {0x12fff66, 0x12fff3f}, + {0x130ff66, 0x130ff35}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffcf}, + {0x12eff33, 0x12effb0}, + {0x12fff33, 0x12fff2b}, + {0x130ff73, 0x130ff40}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dffb1}, + {0x12eff00, 0x12effe4}, + {0x12fff00, 0x12fff17}, + {0x130ff80, 0x130ff4b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff93}, + {0x12effcc, 0x12eff18}, + {0x12fffcc, 0x12fff04}, + {0x130ff8c, 0x130ff56}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff75}, + {0x12eff99, 0x12eff4c}, + {0x12fff99, 0x12ffff0}, + {0x130ff99, 0x130ff60}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff57}, + {0x12eff66, 0x12eff80}, + {0x12fff66, 0x12fffdc}, + {0x130ffa6, 0x130ff6b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff39}, + {0x12eff33, 0x12effb4}, + {0x12fff33, 0x12fffc8}, + {0x130ffb3, 0x130ff76}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff1b}, + {0x12eff00, 0x12effe8}, + {0x12fff00, 0x12fffb4}, + {0x130ffc0, 0x130ff81}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dfffd}, + {0x12effcc, 0x12eff1b}, + {0x12fffcc, 0x12fffa1}, + {0x130ffcc, 0x130ff8c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffdf}, + {0x12eff99, 0x12eff4f}, + {0x12fff99, 0x12fff8d}, + {0x130ffd9, 0x130ff97}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffc1}, + {0x12eff66, 0x12eff83}, + {0x12fff66, 0x12fff79}, + {0x130ffe6, 0x130ffa2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffa3}, + {0x12eff33, 0x12effb7}, + {0x12fff33, 0x12fff65}, + {0x130fff3, 0x130ffad}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff85}, + {0x12eff00, 0x12effeb}, + {0x12fff00, 0x12fff51}, + {0x130ff00, 0x130ffb8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff67}, + {0x12effcc, 0x12eff1f}, + {0x12fffcc, 0x12fff3e}, + {0x130ff0c, 0x130ffc3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff49}, + {0x12eff99, 0x12eff53}, + {0x12fff99, 0x12fff2a}, + {0x130ff19, 0x130ffce}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff2b}, + {0x12eff66, 0x12eff87}, + {0x12fff66, 0x12fff16}, + {0x130ff26, 0x130ffd9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff0c}, + {0x12eff33, 0x12effbb}, + {0x12fff33, 0x12fff02}, + {0x130ff33, 0x130ffe4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dffee}, + {0x12eff00, 0x12effee}, + {0x12fff00, 0x12fffee}, + {0x130ff40, 0x130ffee}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffd0}, + {0x12effcc, 0x12eff22}, + {0x12fffcc, 0x12fffdb}, + {0x130ff4c, 0x130fff9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffb2}, + {0x12eff99, 0x12eff56}, + {0x12fff99, 0x12fffc7}, + {0x130ff59, 0x130ff04}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff94}, + {0x12eff66, 0x12eff8a}, + {0x12fff66, 0x12fffb3}, + {0x130ff66, 0x130ff0f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff76}, + {0x12eff33, 0x12effbe}, + {0x12fff33, 0x12fff9f}, + {0x130ff73, 0x130ff1a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff58}, + {0x12eff00, 0x12efff2}, + {0x12fff00, 0x12fff8b}, + {0x130ff80, 0x130ff25}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff3a}, + {0x12effcc, 0x12eff26}, + {0x12fffcc, 0x12fff78}, + {0x130ff8c, 0x130ff30}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff1c}, + {0x12eff99, 0x12eff5a}, + {0x12fff99, 0x12fff64}, + {0x130ff99, 0x130ff3b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dfffe}, + {0x12eff66, 0x12eff8d}, + {0x12fff66, 0x12fff50}, + {0x130ffa6, 0x130ff46}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffe0}, + {0x12eff33, 0x12effc1}, + {0x12fff33, 0x12fff3c}, + {0x130ffb3, 0x130ff51}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dffc2}, + {0x12eff00, 0x12efff5}, + {0x12fff00, 0x12fff28}, + {0x130ffc0, 0x130ff5c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffa4}, + {0x12effcc, 0x12eff29}, + {0x12fffcc, 0x12fff15}, + {0x130ffcc, 0x130ff67}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff86}, + {0x12eff99, 0x12eff5d}, + {0x12fff99, 0x12fff01}, + {0x130ffd9, 0x130ff72}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff68}, + {0x12eff66, 0x12eff91}, + {0x12fff66, 0x12fffed}, + {0x130ffe6, 0x130ff7c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff4a}, + {0x12eff33, 0x12effc5}, + {0x12fff33, 0x12fffd9}, + {0x130fff3, 0x130ff87}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff2c}, + {0x12eff00, 0x12efff9}, + {0x12fff00, 0x12fffc5}, + {0x130ff00, 0x130ff92}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff0e}, + {0x12effcc, 0x12eff2d}, + {0x12fffcc, 0x12fffb2}, + {0x130ff0c, 0x130ff9d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dfff0}, + {0x12eff99, 0x12eff60}, + {0x12fff99, 0x12fff9e}, + {0x130ff19, 0x130ffa8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffd2}, + {0x12eff66, 0x12eff94}, + {0x12fff66, 0x12fff8a}, + {0x130ff26, 0x130ffb3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffb4}, + {0x12eff33, 0x12effc8}, + {0x12fff33, 0x12fff76}, + {0x130ff33, 0x130ffbe}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff96}, + {0x12eff00, 0x12efffc}, + {0x12fff00, 0x12fff62}, + {0x130ff40, 0x130ffc9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff78}, + {0x12effcc, 0x12eff30}, + {0x12fffcc, 0x12fff4f}, + {0x130ff4c, 0x130ffd4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff5a}, + {0x12eff99, 0x12eff64}, + {0x12fff99, 0x12fff3b}, + {0x130ff59, 0x130ffdf}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff3c}, + {0x12eff66, 0x12eff98}, + {0x12fff66, 0x12fff27}, + {0x130ff66, 0x130ffea}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff05, 0x110ff05}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff1e}, + {0x12eff33, 0x12effcc}, + {0x12fff33, 0x12fff13}, + {0x130ff73, 0x130fff5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff99}, + {0x12eff00, 0x12eff99}, + {0x12fff00, 0x12fff99}, + {0x130ff00, 0x130ff99}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dffe7}, + {0x12eff70, 0x12eff8f}, + {0x12fff3d, 0x12fff56}, + {0x130ff0a, 0x130ffa2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff36}, + {0x12effe1, 0x12eff86}, + {0x12fff7a, 0x12fff13}, + {0x130ff14, 0x130ffab}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff84}, + {0x12eff51, 0x12eff7c}, + {0x12fffb8, 0x12fffd0}, + {0x130ff1e, 0x130ffb3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dffd3}, + {0x12effc2, 0x12eff72}, + {0x12ffff5, 0x12fff8d}, + {0x130ff28, 0x130ffbc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff21}, + {0x12eff33, 0x12eff69}, + {0x12fff33, 0x12fff4a}, + {0x130ff33, 0x130ffc5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff6f}, + {0x12effa3, 0x12eff5f}, + {0x12fff70, 0x12fff07}, + {0x130ff3d, 0x130ffce}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dffbe}, + {0x12eff14, 0x12eff55}, + {0x12fffae, 0x12fffc4}, + {0x130ff47, 0x130ffd6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff0c}, + {0x12eff85, 0x12eff4c}, + {0x12fffeb, 0x12fff81}, + {0x130ff51, 0x130ffdf}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff5a}, + {0x12efff5, 0x12eff42}, + {0x12fff28, 0x12fff3e}, + {0x130ff5c, 0x130ffe8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffa9}, + {0x12eff66, 0x12eff38}, + {0x12fff66, 0x12ffffb}, + {0x130ff66, 0x130fff0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dfff7}, + {0x12effd7, 0x12eff2e}, + {0x12fffa3, 0x12fffb8}, + {0x130ff70, 0x130fff9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff46}, + {0x12eff47, 0x12eff25}, + {0x12fffe1, 0x12fff75}, + {0x130ff7a, 0x130ff02}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff94}, + {0x12effb8, 0x12eff1b}, + {0x12fff1e, 0x12fff32}, + {0x130ff85, 0x130ff0b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dffe2}, + {0x12eff28, 0x12eff11}, + {0x12fff5c, 0x12fffef}, + {0x130ff8f, 0x130ff13}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff31}, + {0x12eff99, 0x12eff08}, + {0x12fff99, 0x12fffac}, + {0x130ff99, 0x130ff1c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff7f}, + {0x12eff0a, 0x12efffe}, + {0x12fffd7, 0x12fff68}, + {0x130ffa3, 0x130ff25}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dffcd}, + {0x12eff7a, 0x12efff4}, + {0x12fff14, 0x12fff25}, + {0x130ffae, 0x130ff2e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff1c}, + {0x12effeb, 0x12effeb}, + {0x12fff51, 0x12fffe2}, + {0x130ffb8, 0x130ff36}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff6a}, + {0x12eff5c, 0x12effe1}, + {0x12fff8f, 0x12fff9f}, + {0x130ffc2, 0x130ff3f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffb9}, + {0x12effcc, 0x12effd7}, + {0x12fffcc, 0x12fff5c}, + {0x130ffcc, 0x130ff48}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff07}, + {0x12eff3d, 0x12effce}, + {0x12fff0a, 0x12fff19}, + {0x130ffd7, 0x130ff51}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff55}, + {0x12effae, 0x12effc4}, + {0x12fff47, 0x12fffd6}, + {0x130ffe1, 0x130ff59}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dffa4}, + {0x12eff1e, 0x12effba}, + {0x12fff85, 0x12fff93}, + {0x130ffeb, 0x130ff62}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dfff2}, + {0x12eff8f, 0x12effb0}, + {0x12fffc2, 0x12fff50}, + {0x130fff5, 0x130ff6b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff40}, + {0x12eff00, 0x12effa7}, + {0x12fff00, 0x12fff0d}, + {0x130ff00, 0x130ff74}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff8f}, + {0x12eff70, 0x12eff9d}, + {0x12fff3d, 0x12fffca}, + {0x130ff0a, 0x130ff7c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dffdd}, + {0x12effe1, 0x12eff93}, + {0x12fff7a, 0x12fff87}, + {0x130ff14, 0x130ff85}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff2b}, + {0x12eff51, 0x12eff8a}, + {0x12fffb8, 0x12fff44}, + {0x130ff1e, 0x130ff8e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff7a}, + {0x12effc2, 0x12eff80}, + {0x12ffff5, 0x12fff01}, + {0x130ff28, 0x130ff97}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffc8}, + {0x12eff33, 0x12eff76}, + {0x12fff33, 0x12fffbe}, + {0x130ff33, 0x130ff9f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff17}, + {0x12effa3, 0x12eff6d}, + {0x12fff70, 0x12fff7b}, + {0x130ff3d, 0x130ffa8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff65}, + {0x12eff14, 0x12eff63}, + {0x12fffae, 0x12fff38}, + {0x130ff47, 0x130ffb1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dffb3}, + {0x12eff85, 0x12eff59}, + {0x12fffeb, 0x12ffff5}, + {0x130ff51, 0x130ffb9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff02}, + {0x12efff5, 0x12eff50}, + {0x12fff28, 0x12fffb2}, + {0x130ff5c, 0x130ffc2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff50}, + {0x12eff66, 0x12eff46}, + {0x12fff66, 0x12fff6f}, + {0x130ff66, 0x130ffcb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff9e}, + {0x12effd7, 0x12eff3c}, + {0x12fffa3, 0x12fff2c}, + {0x130ff70, 0x130ffd4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dffed}, + {0x12eff47, 0x12eff32}, + {0x12fffe1, 0x12fffe9}, + {0x130ff7a, 0x130ffdc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff3b}, + {0x12effb8, 0x12eff29}, + {0x12fff1e, 0x12fffa6}, + {0x130ff85, 0x130ffe5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff8a}, + {0x12eff28, 0x12eff1f}, + {0x12fff5c, 0x12fff63}, + {0x130ff8f, 0x130ffee}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffd8}, + {0x12eff99, 0x12eff15}, + {0x12fff99, 0x12fff20}, + {0x130ff99, 0x130fff7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff26}, + {0x12eff0a, 0x12eff0c}, + {0x12fffd7, 0x12fffdd}, + {0x130ffa3, 0x130ffff}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff75}, + {0x12eff7a, 0x12eff02}, + {0x12fff14, 0x12fff9a}, + {0x130ffae, 0x130ff08}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dffc3}, + {0x12effeb, 0x12efff8}, + {0x12fff51, 0x12fff56}, + {0x130ffb8, 0x130ff11}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff11}, + {0x12eff5c, 0x12effef}, + {0x12fff8f, 0x12fff13}, + {0x130ffc2, 0x130ff1a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff60}, + {0x12effcc, 0x12effe5}, + {0x12fffcc, 0x12fffd0}, + {0x130ffcc, 0x130ff22}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dffae}, + {0x12eff3d, 0x12effdb}, + {0x12fff0a, 0x12fff8d}, + {0x130ffd7, 0x130ff2b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dfffc}, + {0x12effae, 0x12effd1}, + {0x12fff47, 0x12fff4a}, + {0x130ffe1, 0x130ff34}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff4b}, + {0x12eff1e, 0x12effc8}, + {0x12fff85, 0x12fff07}, + {0x130ffeb, 0x130ff3d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff99}, + {0x12eff8f, 0x12effbe}, + {0x12fffc2, 0x12fffc4}, + {0x130fff5, 0x130ff45}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffe8}, + {0x12eff00, 0x12effb4}, + {0x12fff00, 0x12fff81}, + {0x130ff00, 0x130ff4e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff36}, + {0x12eff70, 0x12effab}, + {0x12fff3d, 0x12fff3e}, + {0x130ff0a, 0x130ff57}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff84}, + {0x12effe1, 0x12effa1}, + {0x12fff7a, 0x12ffffb}, + {0x130ff14, 0x130ff5f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dffd3}, + {0x12eff51, 0x12eff97}, + {0x12fffb8, 0x12fffb8}, + {0x130ff1e, 0x130ff68}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff21}, + {0x12effc2, 0x12eff8e}, + {0x12ffff5, 0x12fff75}, + {0x130ff28, 0x130ff71}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff6f}, + {0x12eff33, 0x12eff84}, + {0x12fff33, 0x12fff32}, + {0x130ff33, 0x130ff7a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dffbe}, + {0x12effa3, 0x12eff7a}, + {0x12fff70, 0x12fffef}, + {0x130ff3d, 0x130ff82}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff0c}, + {0x12eff14, 0x12eff71}, + {0x12fffae, 0x12fffac}, + {0x130ff47, 0x130ff8b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff5b}, + {0x12eff85, 0x12eff67}, + {0x12fffeb, 0x12fff69}, + {0x130ff51, 0x130ff94}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dffa9}, + {0x12efff5, 0x12eff5d}, + {0x12fff28, 0x12fff26}, + {0x130ff5c, 0x130ff9d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dfff7}, + {0x12eff66, 0x12eff53}, + {0x12fff66, 0x12fffe3}, + {0x130ff66, 0x130ffa5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff46}, + {0x12effd7, 0x12eff4a}, + {0x12fffa3, 0x12fffa0}, + {0x130ff70, 0x130ffae}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff94}, + {0x12eff47, 0x12eff40}, + {0x12fffe1, 0x12fff5d}, + {0x130ff7a, 0x130ffb7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dffe2}, + {0x12effb8, 0x12eff36}, + {0x12fff1e, 0x12fff1a}, + {0x130ff85, 0x130ffc0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff31}, + {0x12eff28, 0x12eff2d}, + {0x12fff5c, 0x12fffd7}, + {0x130ff8f, 0x130ffc8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff7f}, + {0x12eff99, 0x12eff23}, + {0x12fff99, 0x12fff94}, + {0x130ff99, 0x130ffd1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dffce}, + {0x12eff0a, 0x12eff19}, + {0x12fffd7, 0x12fff51}, + {0x130ffa3, 0x130ffda}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff1c}, + {0x12eff7a, 0x12eff10}, + {0x12fff14, 0x12fff0e}, + {0x130ffae, 0x130ffe3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff6a}, + {0x12effeb, 0x12eff06}, + {0x12fff51, 0x12fffcb}, + {0x130ffb8, 0x130ffeb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dffb9}, + {0x12eff5c, 0x12efffc}, + {0x12fff8f, 0x12fff87}, + {0x130ffc2, 0x130fff4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff07}, + {0x12effcc, 0x12efff3}, + {0x12fffcc, 0x12fff44}, + {0x130ffcc, 0x130fffd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff55}, + {0x12eff3d, 0x12effe9}, + {0x12fff0a, 0x12fff01}, + {0x130ffd7, 0x130ff06}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dffa4}, + {0x12effae, 0x12effdf}, + {0x12fff47, 0x12fffbe}, + {0x130ffe1, 0x130ff0e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dfff2}, + {0x12eff1e, 0x12effd5}, + {0x12fff85, 0x12fff7b}, + {0x130ffeb, 0x130ff17}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff40}, + {0x12eff8f, 0x12effcc}, + {0x12fffc2, 0x12fff38}, + {0x130fff5, 0x130ff20}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff8f}, + {0x12eff00, 0x12effc2}, + {0x12fff00, 0x12ffff5}, + {0x130ff00, 0x130ff28}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dffdd}, + {0x12eff70, 0x12effb8}, + {0x12fff3d, 0x12fffb2}, + {0x130ff0a, 0x130ff31}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff2c}, + {0x12effe1, 0x12effaf}, + {0x12fff7a, 0x12fff6f}, + {0x130ff14, 0x130ff3a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff7a}, + {0x12eff51, 0x12effa5}, + {0x12fffb8, 0x12fff2c}, + {0x130ff1e, 0x130ff43}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dffc8}, + {0x12effc2, 0x12eff9b}, + {0x12ffff5, 0x12fffe9}, + {0x130ff28, 0x130ff4b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff17}, + {0x12eff33, 0x12eff92}, + {0x12fff33, 0x12fffa6}, + {0x130ff33, 0x130ff54}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff65}, + {0x12effa3, 0x12eff88}, + {0x12fff70, 0x12fff63}, + {0x130ff3d, 0x130ff5d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dffb3}, + {0x12eff14, 0x12eff7e}, + {0x12fffae, 0x12fff20}, + {0x130ff47, 0x130ff66}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff02}, + {0x12eff85, 0x12eff75}, + {0x12fffeb, 0x12fffdd}, + {0x130ff51, 0x130ff6e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff50}, + {0x12efff5, 0x12eff6b}, + {0x12fff28, 0x12fff9a}, + {0x130ff5c, 0x130ff77}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff9f}, + {0x12eff66, 0x12eff61}, + {0x12fff66, 0x12fff57}, + {0x130ff66, 0x130ff80}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dffed}, + {0x12effd7, 0x12eff57}, + {0x12fffa3, 0x12fff14}, + {0x130ff70, 0x130ff89}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff3b}, + {0x12eff47, 0x12eff4e}, + {0x12fffe1, 0x12fffd1}, + {0x130ff7a, 0x130ff91}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff8a}, + {0x12effb8, 0x12eff44}, + {0x12fff1e, 0x12fff8e}, + {0x130ff85, 0x130ff9a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dffd8}, + {0x12eff28, 0x12eff3a}, + {0x12fff5c, 0x12fff4b}, + {0x130ff8f, 0x130ffa3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff26}, + {0x12eff99, 0x12eff31}, + {0x12fff99, 0x12fff08}, + {0x130ff99, 0x130ffac}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff75}, + {0x12eff0a, 0x12eff27}, + {0x12fffd7, 0x12fffc5}, + {0x130ffa3, 0x130ffb4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dffc3}, + {0x12eff7a, 0x12eff1d}, + {0x12fff14, 0x12fff82}, + {0x130ffae, 0x130ffbd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff12}, + {0x12effeb, 0x12eff14}, + {0x12fff51, 0x12fff3f}, + {0x130ffb8, 0x130ffc6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff60}, + {0x12eff5c, 0x12eff0a}, + {0x12fff8f, 0x12ffffc}, + {0x130ffc2, 0x130ffce}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffae}, + {0x12effcc, 0x12eff00}, + {0x12fffcc, 0x12fffb9}, + {0x130ffcc, 0x130ffd7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dfffd}, + {0x12eff3d, 0x12efff6}, + {0x12fff0a, 0x12fff75}, + {0x130ffd7, 0x130ffe0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff4b}, + {0x12effae, 0x12effed}, + {0x12fff47, 0x12fff32}, + {0x130ffe1, 0x130ffe9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff99}, + {0x12eff1e, 0x12effe3}, + {0x12fff85, 0x12fffef}, + {0x130ffeb, 0x130fff1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dffe8}, + {0x12eff8f, 0x12effd9}, + {0x12fffc2, 0x12fffac}, + {0x130fff5, 0x130fffa}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff36}, + {0x12eff00, 0x12effd0}, + {0x12fff00, 0x12fff69}, + {0x130ff00, 0x130ff03}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff84}, + {0x12eff70, 0x12effc6}, + {0x12fff3d, 0x12fff26}, + {0x130ff0a, 0x130ff0c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dffd3}, + {0x12effe1, 0x12effbc}, + {0x12fff7a, 0x12fffe3}, + {0x130ff14, 0x130ff14}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff21}, + {0x12eff51, 0x12effb3}, + {0x12fffb8, 0x12fffa0}, + {0x130ff1e, 0x130ff1d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff70}, + {0x12effc2, 0x12effa9}, + {0x12ffff5, 0x12fff5d}, + {0x130ff28, 0x130ff26}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffbe}, + {0x12eff33, 0x12eff9f}, + {0x12fff33, 0x12fff1a}, + {0x130ff33, 0x130ff2f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff0c}, + {0x12effa3, 0x12eff96}, + {0x12fff70, 0x12fffd7}, + {0x130ff3d, 0x130ff37}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff5b}, + {0x12eff14, 0x12eff8c}, + {0x12fffae, 0x12fff94}, + {0x130ff47, 0x130ff40}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dffa9}, + {0x12eff85, 0x12eff82}, + {0x12fffeb, 0x12fff51}, + {0x130ff51, 0x130ff49}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dfff7}, + {0x12efff5, 0x12eff78}, + {0x12fff28, 0x12fff0e}, + {0x130ff5c, 0x130ff52}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff46}, + {0x12eff66, 0x12eff6f}, + {0x12fff66, 0x12fffcb}, + {0x130ff66, 0x130ff5a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff94}, + {0x12effd7, 0x12eff65}, + {0x12fffa3, 0x12fff88}, + {0x130ff70, 0x130ff63}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dffe3}, + {0x12eff47, 0x12eff5b}, + {0x12fffe1, 0x12fff45}, + {0x130ff7a, 0x130ff6c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff31}, + {0x12effb8, 0x12eff52}, + {0x12fff1e, 0x12fff02}, + {0x130ff85, 0x130ff75}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff7f}, + {0x12eff28, 0x12eff48}, + {0x12fff5c, 0x12fffbf}, + {0x130ff8f, 0x130ff7d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffce}, + {0x12eff99, 0x12eff3e}, + {0x12fff99, 0x12fff7c}, + {0x130ff99, 0x130ff86}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff1c}, + {0x12eff0a, 0x12eff35}, + {0x12fffd7, 0x12fff39}, + {0x130ffa3, 0x130ff8f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff6a}, + {0x12eff7a, 0x12eff2b}, + {0x12fff14, 0x12ffff6}, + {0x130ffae, 0x130ff97}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dffb9}, + {0x12effeb, 0x12eff21}, + {0x12fff51, 0x12fffb3}, + {0x130ffb8, 0x130ffa0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff07}, + {0x12eff5c, 0x12eff18}, + {0x12fff8f, 0x12fff70}, + {0x130ffc2, 0x130ffa9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff56}, + {0x12effcc, 0x12eff0e}, + {0x12fffcc, 0x12fff2d}, + {0x130ffcc, 0x130ffb2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dffa4}, + {0x12eff3d, 0x12eff04}, + {0x12fff0a, 0x12fffea}, + {0x130ffd7, 0x130ffba}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dfff2}, + {0x12effae, 0x12efffa}, + {0x12fff47, 0x12fffa6}, + {0x130ffe1, 0x130ffc3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff41}, + {0x12eff1e, 0x12efff1}, + {0x12fff85, 0x12fff63}, + {0x130ffeb, 0x130ffcc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff8f}, + {0x12eff8f, 0x12effe7}, + {0x12fffc2, 0x12fff20}, + {0x130fff5, 0x130ffd5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffdd}, + {0x12eff00, 0x12effdd}, + {0x12fff00, 0x12fffdd}, + {0x130ff00, 0x130ffdd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff2c}, + {0x12eff70, 0x12effd4}, + {0x12fff3d, 0x12fff9a}, + {0x130ff0a, 0x130ffe6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff7a}, + {0x12effe1, 0x12effca}, + {0x12fff7a, 0x12fff57}, + {0x130ff14, 0x130ffef}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dffc8}, + {0x12eff51, 0x12effc0}, + {0x12fffb8, 0x12fff14}, + {0x130ff1e, 0x130fff8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff17}, + {0x12effc2, 0x12effb7}, + {0x12ffff5, 0x12fffd1}, + {0x130ff28, 0x130ff00}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff65}, + {0x12eff33, 0x12effad}, + {0x12fff33, 0x12fff8e}, + {0x130ff33, 0x130ff09}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dffb4}, + {0x12effa3, 0x12effa3}, + {0x12fff70, 0x12fff4b}, + {0x130ff3d, 0x130ff12}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff02}, + {0x12eff14, 0x12eff9a}, + {0x12fffae, 0x12fff08}, + {0x130ff47, 0x130ff1b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff50}, + {0x12eff85, 0x12eff90}, + {0x12fffeb, 0x12fffc5}, + {0x130ff51, 0x130ff23}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff9f}, + {0x12efff5, 0x12eff86}, + {0x12fff28, 0x12fff82}, + {0x130ff5c, 0x130ff2c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffed}, + {0x12eff66, 0x12eff7c}, + {0x12fff66, 0x12fff3f}, + {0x130ff66, 0x130ff35}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff3b}, + {0x12effd7, 0x12eff73}, + {0x12fffa3, 0x12ffffc}, + {0x130ff70, 0x130ff3d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff8a}, + {0x12eff47, 0x12eff69}, + {0x12fffe1, 0x12fffb9}, + {0x130ff7a, 0x130ff46}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dffd8}, + {0x12effb8, 0x12eff5f}, + {0x12fff1e, 0x12fff76}, + {0x130ff85, 0x130ff4f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff27}, + {0x12eff28, 0x12eff56}, + {0x12fff5c, 0x12fff33}, + {0x130ff8f, 0x130ff58}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff75}, + {0x12eff99, 0x12eff4c}, + {0x12fff99, 0x12ffff0}, + {0x130ff99, 0x130ff60}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dffc3}, + {0x12eff0a, 0x12eff42}, + {0x12fffd7, 0x12fffad}, + {0x130ffa3, 0x130ff69}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff12}, + {0x12eff7a, 0x12eff39}, + {0x12fff14, 0x12fff6a}, + {0x130ffae, 0x130ff72}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff60}, + {0x12effeb, 0x12eff2f}, + {0x12fff51, 0x12fff27}, + {0x130ffb8, 0x130ff7b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dffae}, + {0x12eff5c, 0x12eff25}, + {0x12fff8f, 0x12fffe4}, + {0x130ffc2, 0x130ff83}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dfffd}, + {0x12effcc, 0x12eff1b}, + {0x12fffcc, 0x12fffa1}, + {0x130ffcc, 0x130ff8c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff4b}, + {0x12eff3d, 0x12eff12}, + {0x12fff0a, 0x12fff5e}, + {0x130ffd7, 0x130ff95}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff9a}, + {0x12effae, 0x12eff08}, + {0x12fff47, 0x12fff1b}, + {0x130ffe1, 0x130ff9e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dffe8}, + {0x12eff1e, 0x12efffe}, + {0x12fff85, 0x12fffd7}, + {0x130ffeb, 0x130ffa6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff36}, + {0x12eff8f, 0x12efff5}, + {0x12fffc2, 0x12fff94}, + {0x130fff5, 0x130ffaf}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff85}, + {0x12eff00, 0x12effeb}, + {0x12fff00, 0x12fff51}, + {0x130ff00, 0x130ffb8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dffd3}, + {0x12eff70, 0x12effe1}, + {0x12fff3d, 0x12fff0e}, + {0x130ff0a, 0x130ffc1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff21}, + {0x12effe1, 0x12effd8}, + {0x12fff7a, 0x12fffcb}, + {0x130ff14, 0x130ffc9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff70}, + {0x12eff51, 0x12effce}, + {0x12fffb8, 0x12fff88}, + {0x130ff1e, 0x130ffd2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dffbe}, + {0x12effc2, 0x12effc4}, + {0x12ffff5, 0x12fff45}, + {0x130ff28, 0x130ffdb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff0c}, + {0x12eff33, 0x12effbb}, + {0x12fff33, 0x12fff02}, + {0x130ff33, 0x130ffe4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff5b}, + {0x12effa3, 0x12effb1}, + {0x12fff70, 0x12fffbf}, + {0x130ff3d, 0x130ffec}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dffa9}, + {0x12eff14, 0x12effa7}, + {0x12fffae, 0x12fff7c}, + {0x130ff47, 0x130fff5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dfff8}, + {0x12eff85, 0x12eff9d}, + {0x12fffeb, 0x12fff39}, + {0x130ff51, 0x130fffe}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff46}, + {0x12efff5, 0x12eff94}, + {0x12fff28, 0x12ffff6}, + {0x130ff5c, 0x130ff06}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff94}, + {0x12eff66, 0x12eff8a}, + {0x12fff66, 0x12fffb3}, + {0x130ff66, 0x130ff0f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dffe3}, + {0x12effd7, 0x12eff80}, + {0x12fffa3, 0x12fff70}, + {0x130ff70, 0x130ff18}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff31}, + {0x12eff47, 0x12eff77}, + {0x12fffe1, 0x12fff2d}, + {0x130ff7a, 0x130ff21}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff7f}, + {0x12effb8, 0x12eff6d}, + {0x12fff1e, 0x12fffea}, + {0x130ff85, 0x130ff29}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dffce}, + {0x12eff28, 0x12eff63}, + {0x12fff5c, 0x12fffa7}, + {0x130ff8f, 0x130ff32}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff1c}, + {0x12eff99, 0x12eff5a}, + {0x12fff99, 0x12fff64}, + {0x130ff99, 0x130ff3b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff6b}, + {0x12eff0a, 0x12eff50}, + {0x12fffd7, 0x12fff21}, + {0x130ffa3, 0x130ff44}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dffb9}, + {0x12eff7a, 0x12eff46}, + {0x12fff14, 0x12fffde}, + {0x130ffae, 0x130ff4c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff07}, + {0x12effeb, 0x12eff3d}, + {0x12fff51, 0x12fff9b}, + {0x130ffb8, 0x130ff55}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff56}, + {0x12eff5c, 0x12eff33}, + {0x12fff8f, 0x12fff58}, + {0x130ffc2, 0x130ff5e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffa4}, + {0x12effcc, 0x12eff29}, + {0x12fffcc, 0x12fff15}, + {0x130ffcc, 0x130ff67}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dfff2}, + {0x12eff3d, 0x12eff1f}, + {0x12fff0a, 0x12fffd2}, + {0x130ffd7, 0x130ff6f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff41}, + {0x12effae, 0x12eff16}, + {0x12fff47, 0x12fff8f}, + {0x130ffe1, 0x130ff78}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff8f}, + {0x12eff1e, 0x12eff0c}, + {0x12fff85, 0x12fff4c}, + {0x130ffeb, 0x130ff81}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dffde}, + {0x12eff8f, 0x12eff02}, + {0x12fffc2, 0x12fff09}, + {0x130fff5, 0x130ff8a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff2c}, + {0x12eff00, 0x12efff9}, + {0x12fff00, 0x12fffc5}, + {0x130ff00, 0x130ff92}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff7a}, + {0x12eff70, 0x12effef}, + {0x12fff3d, 0x12fff82}, + {0x130ff0a, 0x130ff9b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dffc9}, + {0x12effe1, 0x12effe5}, + {0x12fff7a, 0x12fff3f}, + {0x130ff14, 0x130ffa4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff17}, + {0x12eff51, 0x12effdc}, + {0x12fffb8, 0x12ffffc}, + {0x130ff1e, 0x130ffac}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff65}, + {0x12effc2, 0x12effd2}, + {0x12ffff5, 0x12fffb9}, + {0x130ff28, 0x130ffb5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffb4}, + {0x12eff33, 0x12effc8}, + {0x12fff33, 0x12fff76}, + {0x130ff33, 0x130ffbe}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff02}, + {0x12effa3, 0x12effbf}, + {0x12fff70, 0x12fff33}, + {0x130ff3d, 0x130ffc7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff50}, + {0x12eff14, 0x12effb5}, + {0x12fffae, 0x12ffff0}, + {0x130ff47, 0x130ffcf}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff9f}, + {0x12eff85, 0x12effab}, + {0x12fffeb, 0x12fffad}, + {0x130ff51, 0x130ffd8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dffed}, + {0x12efff5, 0x12effa1}, + {0x12fff28, 0x12fff6a}, + {0x130ff5c, 0x130ffe1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff3c}, + {0x12eff66, 0x12eff98}, + {0x12fff66, 0x12fff27}, + {0x130ff66, 0x130ffea}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff8a}, + {0x12effd7, 0x12eff8e}, + {0x12fffa3, 0x12fffe4}, + {0x130ff70, 0x130fff2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff1f}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dffd8}, + {0x12eff47, 0x12eff84}, + {0x12fffe1, 0x12fffa1}, + {0x130ff7a, 0x130fffb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff27}, + {0x12effb8, 0x12eff7b}, + {0x12fff1e, 0x12fff5e}, + {0x130ff85, 0x130ff04}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff75}, + {0x12eff28, 0x12eff71}, + {0x12fff5c, 0x12fff1b}, + {0x130ff8f, 0x130ff0d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffc3}, + {0x12eff99, 0x12eff67}, + {0x12fff99, 0x12fffd8}, + {0x130ff99, 0x130ff15}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff12}, + {0x12eff0a, 0x12eff5e}, + {0x12fffd7, 0x12fff95}, + {0x130ffa3, 0x130ff1e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff60}, + {0x12eff7a, 0x12eff54}, + {0x12fff14, 0x12fff52}, + {0x130ffae, 0x130ff27}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dffaf}, + {0x12effeb, 0x12eff4a}, + {0x12fff51, 0x12fff0f}, + {0x130ffb8, 0x130ff30}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dfffd}, + {0x12eff5c, 0x12eff40}, + {0x12fff8f, 0x12fffcc}, + {0x130ffc2, 0x130ff38}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff4b}, + {0x12effcc, 0x12eff37}, + {0x12fffcc, 0x12fff89}, + {0x130ffcc, 0x130ff41}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff9a}, + {0x12eff3d, 0x12eff2d}, + {0x12fff0a, 0x12fff46}, + {0x130ffd7, 0x130ff4a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dffe8}, + {0x12effae, 0x12eff23}, + {0x12fff47, 0x12fff03}, + {0x130ffe1, 0x130ff53}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff36}, + {0x12eff1e, 0x12eff1a}, + {0x12fff85, 0x12fffc0}, + {0x130ffeb, 0x130ff5b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff25, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff85}, + {0x12eff8f, 0x12eff10}, + {0x12fffc2, 0x12fff7d}, + {0x130fff5, 0x130ff64}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dffd3}, + {0x12eff00, 0x12eff06}, + {0x12fff00, 0x12fff3a}, + {0x130ff00, 0x130ff6d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff21}, + {0x12eff70, 0x12efffd}, + {0x12fff3d, 0x12ffff6}, + {0x130ff0a, 0x130ff75}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff70}, + {0x12effe1, 0x12efff3}, + {0x12fff7a, 0x12fffb3}, + {0x130ff14, 0x130ff7e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dffbe}, + {0x12eff51, 0x12effe9}, + {0x12fffb8, 0x12fff70}, + {0x130ff1e, 0x130ff87}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff0d}, + {0x12effc2, 0x12effe0}, + {0x12ffff5, 0x12fff2d}, + {0x130ff28, 0x130ff90}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff5b}, + {0x12eff33, 0x12effd6}, + {0x12fff33, 0x12fffea}, + {0x130ff33, 0x130ff98}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dffa9}, + {0x12effa3, 0x12effcc}, + {0x12fff70, 0x12fffa7}, + {0x130ff3d, 0x130ffa1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dfff8}, + {0x12eff14, 0x12effc2}, + {0x12fffae, 0x12fff64}, + {0x130ff47, 0x130ffaa}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff46}, + {0x12eff85, 0x12effb9}, + {0x12fffeb, 0x12fff21}, + {0x130ff51, 0x130ffb3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff94}, + {0x12efff5, 0x12effaf}, + {0x12fff28, 0x12fffde}, + {0x130ff5c, 0x130ffbb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffe3}, + {0x12eff66, 0x12effa5}, + {0x12fff66, 0x12fff9b}, + {0x130ff66, 0x130ffc4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff31}, + {0x12effd7, 0x12eff9c}, + {0x12fffa3, 0x12fff58}, + {0x130ff70, 0x130ffcd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff80}, + {0x12eff47, 0x12eff92}, + {0x12fffe1, 0x12fff15}, + {0x130ff7a, 0x130ffd6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dffce}, + {0x12effb8, 0x12eff88}, + {0x12fff1e, 0x12fffd2}, + {0x130ff85, 0x130ffde}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff1c}, + {0x12eff28, 0x12eff7f}, + {0x12fff5c, 0x12fff8f}, + {0x130ff8f, 0x130ffe7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff6b}, + {0x12eff99, 0x12eff75}, + {0x12fff99, 0x12fff4c}, + {0x130ff99, 0x130fff0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff20}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dffb9}, + {0x12eff0a, 0x12eff6b}, + {0x12fffd7, 0x12fff09}, + {0x130ffa3, 0x130fff9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff07}, + {0x12eff7a, 0x12eff62}, + {0x12fff14, 0x12fffc6}, + {0x130ffae, 0x130ff01}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff56}, + {0x12effeb, 0x12eff58}, + {0x12fff51, 0x12fff83}, + {0x130ffb8, 0x130ff0a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dffa4}, + {0x12eff5c, 0x12eff4e}, + {0x12fff8f, 0x12fff40}, + {0x130ffc2, 0x130ff13}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dfff3}, + {0x12effcc, 0x12eff44}, + {0x12fffcc, 0x12ffffd}, + {0x130ffcc, 0x130ff1b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff41}, + {0x12eff3d, 0x12eff3b}, + {0x12fff0a, 0x12fffba}, + {0x130ffd7, 0x130ff24}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff8f}, + {0x12effae, 0x12eff31}, + {0x12fff47, 0x12fff77}, + {0x130ffe1, 0x130ff2d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dffde}, + {0x12eff1e, 0x12eff27}, + {0x12fff85, 0x12fff34}, + {0x130ffeb, 0x130ff36}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff26, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff2c}, + {0x12eff8f, 0x12eff1e}, + {0x12fffc2, 0x12ffff1}, + {0x130fff5, 0x130ff3e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff7a}, + {0x12eff00, 0x12eff14}, + {0x12fff00, 0x12fffae}, + {0x130ff00, 0x130ff47}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dffc9}, + {0x12eff70, 0x12eff0a}, + {0x12fff3d, 0x12fff6b}, + {0x130ff0a, 0x130ff50}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff17}, + {0x12effe1, 0x12eff01}, + {0x12fff7a, 0x12fff28}, + {0x130ff14, 0x130ff59}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff65}, + {0x12eff51, 0x12efff7}, + {0x12fffb8, 0x12fffe4}, + {0x130ff1e, 0x130ff61}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dffb4}, + {0x12effc2, 0x12effed}, + {0x12ffff5, 0x12fffa1}, + {0x130ff28, 0x130ff6a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff02}, + {0x12eff33, 0x12effe4}, + {0x12fff33, 0x12fff5e}, + {0x130ff33, 0x130ff73}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff51}, + {0x12effa3, 0x12effda}, + {0x12fff70, 0x12fff1b}, + {0x130ff3d, 0x130ff7c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff9f}, + {0x12eff14, 0x12effd0}, + {0x12fffae, 0x12fffd8}, + {0x130ff47, 0x130ff84}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dffed}, + {0x12eff85, 0x12effc6}, + {0x12fffeb, 0x12fff95}, + {0x130ff51, 0x130ff8d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff3c}, + {0x12efff5, 0x12effbd}, + {0x12fff28, 0x12fff52}, + {0x130ff5c, 0x130ff96}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff8a}, + {0x12eff66, 0x12effb3}, + {0x12fff66, 0x12fff0f}, + {0x130ff66, 0x130ff9f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dffd8}, + {0x12effd7, 0x12effa9}, + {0x12fffa3, 0x12fffcc}, + {0x130ff70, 0x130ffa7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff27}, + {0x12eff47, 0x12effa0}, + {0x12fffe1, 0x12fff89}, + {0x130ff7a, 0x130ffb0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff75}, + {0x12effb8, 0x12eff96}, + {0x12fff1e, 0x12fff46}, + {0x130ff85, 0x130ffb9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dffc4}, + {0x12eff28, 0x12eff8c}, + {0x12fff5c, 0x12fff03}, + {0x130ff8f, 0x130ffc2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff12}, + {0x12eff99, 0x12eff83}, + {0x12fff99, 0x12fffc0}, + {0x130ff99, 0x130ffca}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff60}, + {0x12eff0a, 0x12eff79}, + {0x12fffd7, 0x12fff7d}, + {0x130ffa3, 0x130ffd3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dffaf}, + {0x12eff7a, 0x12eff6f}, + {0x12fff14, 0x12fff3a}, + {0x130ffae, 0x130ffdc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dfffd}, + {0x12effeb, 0x12eff65}, + {0x12fff51, 0x12ffff7}, + {0x130ffb8, 0x130ffe4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff4b}, + {0x12eff5c, 0x12eff5c}, + {0x12fff8f, 0x12fffb4}, + {0x130ffc2, 0x130ffed}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff9a}, + {0x12effcc, 0x12eff52}, + {0x12fffcc, 0x12fff71}, + {0x130ffcc, 0x130fff6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff21}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dffe8}, + {0x12eff3d, 0x12eff48}, + {0x12fff0a, 0x12fff2e}, + {0x130ffd7, 0x130ffff}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff22}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff37}, + {0x12effae, 0x12eff3f}, + {0x12fff47, 0x12fffeb}, + {0x130ffe1, 0x130ff07}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff22}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff85}, + {0x12eff1e, 0x12eff35}, + {0x12fff85, 0x12fffa8}, + {0x130ffeb, 0x130ff10}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff04, 0x110ff04}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff27, 0x12bff22}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dffd3}, + {0x12eff8f, 0x12eff2b}, + {0x12fffc2, 0x12fff65}, + {0x130fff5, 0x130ff19}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff99}, + {0x12eff00, 0x12eff99}, + {0x12fff00, 0x12fff99}, + {0x130ff00, 0x130ff99}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff54}, + {0x12eff14, 0x12eff52}, + {0x12fffae, 0x12fff27}, + {0x130ff07, 0x130ffa0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff0f}, + {0x12eff28, 0x12eff0b}, + {0x12fff5c, 0x12fffb5}, + {0x130ff0f, 0x130ffa6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dffc9}, + {0x12eff3d, 0x12effc3}, + {0x12fff0a, 0x12fff42}, + {0x130ff17, 0x130ffad}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff84}, + {0x12eff51, 0x12eff7c}, + {0x12fffb8, 0x12fffd0}, + {0x130ff1e, 0x130ffb3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff3f}, + {0x12eff66, 0x12eff35}, + {0x12fff66, 0x12fff5e}, + {0x130ff26, 0x130ffba}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dfffa}, + {0x12eff7a, 0x12effed}, + {0x12fff14, 0x12fffeb}, + {0x130ff2e, 0x130ffc0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dffb5}, + {0x12eff8f, 0x12effa6}, + {0x12fffc2, 0x12fff79}, + {0x130ff35, 0x130ffc7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff6f}, + {0x12effa3, 0x12eff5f}, + {0x12fff70, 0x12fff07}, + {0x130ff3d, 0x130ffce}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff2a}, + {0x12effb8, 0x12eff18}, + {0x12fff1e, 0x12fff95}, + {0x130ff45, 0x130ffd4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffe5}, + {0x12effcc, 0x12effd0}, + {0x12fffcc, 0x12fff22}, + {0x130ff4c, 0x130ffdb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dffa0}, + {0x12effe1, 0x12eff89}, + {0x12fff7a, 0x12fffb0}, + {0x130ff54, 0x130ffe1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff5a}, + {0x12efff5, 0x12eff42}, + {0x12fff28, 0x12fff3e}, + {0x130ff5c, 0x130ffe8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff15}, + {0x12eff0a, 0x12efffb}, + {0x12fffd7, 0x12fffcb}, + {0x130ff63, 0x130ffee}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dffd0}, + {0x12eff1e, 0x12effb3}, + {0x12fff85, 0x12fff59}, + {0x130ff6b, 0x130fff5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff19}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff8b}, + {0x12eff33, 0x12eff6c}, + {0x12fff33, 0x12fffe7}, + {0x130ff73, 0x130fffb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff46}, + {0x12eff47, 0x12eff25}, + {0x12fffe1, 0x12fff75}, + {0x130ff7a, 0x130ff02}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff00}, + {0x12eff5c, 0x12effde}, + {0x12fff8f, 0x12fff02}, + {0x130ff82, 0x130ff09}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dffbb}, + {0x12eff70, 0x12eff96}, + {0x12fff3d, 0x12fff90}, + {0x130ff8a, 0x130ff0f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff76}, + {0x12eff85, 0x12eff4f}, + {0x12fffeb, 0x12fff1e}, + {0x130ff91, 0x130ff16}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff31}, + {0x12eff99, 0x12eff08}, + {0x12fff99, 0x12fffac}, + {0x130ff99, 0x130ff1c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dffeb}, + {0x12effae, 0x12effc0}, + {0x12fff47, 0x12fff39}, + {0x130ffa1, 0x130ff23}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dffa6}, + {0x12effc2, 0x12eff79}, + {0x12ffff5, 0x12fffc7}, + {0x130ffa8, 0x130ff29}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff61}, + {0x12effd7, 0x12eff32}, + {0x12fffa3, 0x12fff55}, + {0x130ffb0, 0x130ff30}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff1c}, + {0x12effeb, 0x12effeb}, + {0x12fff51, 0x12fffe2}, + {0x130ffb8, 0x130ff36}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dffd7}, + {0x12eff00, 0x12effa3}, + {0x12fff00, 0x12fff70}, + {0x130ffc0, 0x130ff3d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff91}, + {0x12eff14, 0x12eff5c}, + {0x12fffae, 0x12ffffe}, + {0x130ffc7, 0x130ff43}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff4c}, + {0x12eff28, 0x12eff15}, + {0x12fff5c, 0x12fff8c}, + {0x130ffcf, 0x130ff4a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff07}, + {0x12eff3d, 0x12effce}, + {0x12fff0a, 0x12fff19}, + {0x130ffd7, 0x130ff51}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dffc2}, + {0x12eff51, 0x12eff86}, + {0x12fffb8, 0x12fffa7}, + {0x130ffde, 0x130ff57}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff7c}, + {0x12eff66, 0x12eff3f}, + {0x12fff66, 0x12fff35}, + {0x130ffe6, 0x130ff5e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff37}, + {0x12eff7a, 0x12efff8}, + {0x12fff14, 0x12fffc2}, + {0x130ffee, 0x130ff64}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dfff2}, + {0x12eff8f, 0x12effb0}, + {0x12fffc2, 0x12fff50}, + {0x130fff5, 0x130ff6b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1e, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dffad}, + {0x12effa3, 0x12eff69}, + {0x12fff70, 0x12fffde}, + {0x130fffd, 0x130ff71}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff68}, + {0x12effb8, 0x12eff22}, + {0x12fff1e, 0x12fff6c}, + {0x130ff05, 0x130ff78}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff22}, + {0x12effcc, 0x12effdb}, + {0x12fffcc, 0x12ffff9}, + {0x130ff0c, 0x130ff7e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dffdd}, + {0x12effe1, 0x12eff93}, + {0x12fff7a, 0x12fff87}, + {0x130ff14, 0x130ff85}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff98}, + {0x12efff5, 0x12eff4c}, + {0x12fff28, 0x12fff15}, + {0x130ff1c, 0x130ff8c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff53}, + {0x12eff0a, 0x12eff05}, + {0x12fffd7, 0x12fffa3}, + {0x130ff23, 0x130ff92}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff0d}, + {0x12eff1e, 0x12effbe}, + {0x12fff85, 0x12fff30}, + {0x130ff2b, 0x130ff99}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffc8}, + {0x12eff33, 0x12eff76}, + {0x12fff33, 0x12fffbe}, + {0x130ff33, 0x130ff9f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff83}, + {0x12eff47, 0x12eff2f}, + {0x12fffe1, 0x12fff4c}, + {0x130ff3a, 0x130ffa6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff3e}, + {0x12eff5c, 0x12effe8}, + {0x12fff8f, 0x12fffd9}, + {0x130ff42, 0x130ffac}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dfff9}, + {0x12eff70, 0x12effa0}, + {0x12fff3d, 0x12fff67}, + {0x130ff4a, 0x130ffb3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dffb3}, + {0x12eff85, 0x12eff59}, + {0x12fffeb, 0x12ffff5}, + {0x130ff51, 0x130ffb9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff6e}, + {0x12eff99, 0x12eff12}, + {0x12fff99, 0x12fff83}, + {0x130ff59, 0x130ffc0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff29}, + {0x12effae, 0x12effcb}, + {0x12fff47, 0x12fff10}, + {0x130ff61, 0x130ffc7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dffe4}, + {0x12effc2, 0x12eff83}, + {0x12ffff5, 0x12fff9e}, + {0x130ff68, 0x130ffcd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff9e}, + {0x12effd7, 0x12eff3c}, + {0x12fffa3, 0x12fff2c}, + {0x130ff70, 0x130ffd4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff59}, + {0x12effeb, 0x12efff5}, + {0x12fff51, 0x12fffb9}, + {0x130ff78, 0x130ffda}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff14}, + {0x12eff00, 0x12effae}, + {0x12fff00, 0x12fff47}, + {0x130ff80, 0x130ffe1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dffcf}, + {0x12eff14, 0x12eff66}, + {0x12fffae, 0x12fffd5}, + {0x130ff87, 0x130ffe7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff8a}, + {0x12eff28, 0x12eff1f}, + {0x12fff5c, 0x12fff63}, + {0x130ff8f, 0x130ffee}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff44}, + {0x12eff3d, 0x12effd8}, + {0x12fff0a, 0x12ffff0}, + {0x130ff97, 0x130fff4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1a}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dffff}, + {0x12eff51, 0x12eff90}, + {0x12fffb8, 0x12fff7e}, + {0x130ff9e, 0x130fffb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffba}, + {0x12eff66, 0x12eff49}, + {0x12fff66, 0x12fff0c}, + {0x130ffa6, 0x130ff02}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff75}, + {0x12eff7a, 0x12eff02}, + {0x12fff14, 0x12fff9a}, + {0x130ffae, 0x130ff08}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff2f}, + {0x12eff8f, 0x12effbb}, + {0x12fffc2, 0x12fff27}, + {0x130ffb5, 0x130ff0f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dffea}, + {0x12effa3, 0x12eff73}, + {0x12fff70, 0x12fffb5}, + {0x130ffbd, 0x130ff15}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dffa5}, + {0x12effb8, 0x12eff2c}, + {0x12fff1e, 0x12fff43}, + {0x130ffc5, 0x130ff1c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff60}, + {0x12effcc, 0x12effe5}, + {0x12fffcc, 0x12fffd0}, + {0x130ffcc, 0x130ff22}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff1b}, + {0x12effe1, 0x12eff9e}, + {0x12fff7a, 0x12fff5e}, + {0x130ffd4, 0x130ff29}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dffd5}, + {0x12efff5, 0x12eff56}, + {0x12fff28, 0x12fffec}, + {0x130ffdc, 0x130ff2f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff90}, + {0x12eff0a, 0x12eff0f}, + {0x12fffd7, 0x12fff7a}, + {0x130ffe3, 0x130ff36}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff4b}, + {0x12eff1e, 0x12effc8}, + {0x12fff85, 0x12fff07}, + {0x130ffeb, 0x130ff3d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff06}, + {0x12eff33, 0x12eff81}, + {0x12fff33, 0x12fff95}, + {0x130fff3, 0x130ff43}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff1f, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dffc0}, + {0x12eff47, 0x12eff39}, + {0x12fffe1, 0x12fff23}, + {0x130fffa, 0x130ff4a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff7b}, + {0x12eff5c, 0x12efff2}, + {0x12fff8f, 0x12fffb0}, + {0x130ff02, 0x130ff50}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff36}, + {0x12eff70, 0x12effab}, + {0x12fff3d, 0x12fff3e}, + {0x130ff0a, 0x130ff57}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dfff1}, + {0x12eff85, 0x12eff63}, + {0x12fffeb, 0x12fffcc}, + {0x130ff11, 0x130ff5d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffac}, + {0x12eff99, 0x12eff1c}, + {0x12fff99, 0x12fff5a}, + {0x130ff19, 0x130ff64}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff66}, + {0x12effae, 0x12effd5}, + {0x12fff47, 0x12fffe7}, + {0x130ff21, 0x130ff6a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff21}, + {0x12effc2, 0x12eff8e}, + {0x12ffff5, 0x12fff75}, + {0x130ff28, 0x130ff71}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dffdc}, + {0x12effd7, 0x12eff46}, + {0x12fffa3, 0x12fff03}, + {0x130ff30, 0x130ff78}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff97}, + {0x12effeb, 0x12effff}, + {0x12fff51, 0x12fff90}, + {0x130ff38, 0x130ff7e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff51}, + {0x12eff00, 0x12effb8}, + {0x12fff00, 0x12fff1e}, + {0x130ff40, 0x130ff85}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff0c}, + {0x12eff14, 0x12eff71}, + {0x12fffae, 0x12fffac}, + {0x130ff47, 0x130ff8b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dffc7}, + {0x12eff28, 0x12eff29}, + {0x12fff5c, 0x12fff3a}, + {0x130ff4f, 0x130ff92}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff82}, + {0x12eff3d, 0x12effe2}, + {0x12fff0a, 0x12fffc7}, + {0x130ff57, 0x130ff98}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff3d}, + {0x12eff51, 0x12eff9b}, + {0x12fffb8, 0x12fff55}, + {0x130ff5e, 0x130ff9f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dfff7}, + {0x12eff66, 0x12eff53}, + {0x12fff66, 0x12fffe3}, + {0x130ff66, 0x130ffa5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dffb2}, + {0x12eff7a, 0x12eff0c}, + {0x12fff14, 0x12fff71}, + {0x130ff6e, 0x130ffac}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff6d}, + {0x12eff8f, 0x12effc5}, + {0x12fffc2, 0x12ffffe}, + {0x130ff75, 0x130ffb2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff28}, + {0x12effa3, 0x12eff7e}, + {0x12fff70, 0x12fff8c}, + {0x130ff7d, 0x130ffb9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dffe2}, + {0x12effb8, 0x12eff36}, + {0x12fff1e, 0x12fff1a}, + {0x130ff85, 0x130ffc0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff9d}, + {0x12effcc, 0x12effef}, + {0x12fffcc, 0x12fffa7}, + {0x130ff8c, 0x130ffc6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff58}, + {0x12effe1, 0x12effa8}, + {0x12fff7a, 0x12fff35}, + {0x130ff94, 0x130ffcd}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff13}, + {0x12efff5, 0x12eff61}, + {0x12fff28, 0x12fffc3}, + {0x130ff9c, 0x130ffd3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dffce}, + {0x12eff0a, 0x12eff19}, + {0x12fffd7, 0x12fff51}, + {0x130ffa3, 0x130ffda}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff88}, + {0x12eff1e, 0x12effd2}, + {0x12fff85, 0x12fffde}, + {0x130ffab, 0x130ffe0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff43}, + {0x12eff33, 0x12eff8b}, + {0x12fff33, 0x12fff6c}, + {0x130ffb3, 0x130ffe7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dfffe}, + {0x12eff47, 0x12eff43}, + {0x12fffe1, 0x12ffffa}, + {0x130ffba, 0x130ffed}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dffb9}, + {0x12eff5c, 0x12efffc}, + {0x12fff8f, 0x12fff87}, + {0x130ffc2, 0x130fff4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1b}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff73}, + {0x12eff70, 0x12effb5}, + {0x12fff3d, 0x12fff15}, + {0x130ffca, 0x130fffb}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff2e}, + {0x12eff85, 0x12eff6e}, + {0x12fffeb, 0x12fffa3}, + {0x130ffd1, 0x130ff01}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffe9}, + {0x12eff99, 0x12eff26}, + {0x12fff99, 0x12fff31}, + {0x130ffd9, 0x130ff08}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dffa4}, + {0x12effae, 0x12effdf}, + {0x12fff47, 0x12fffbe}, + {0x130ffe1, 0x130ff0e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff5f}, + {0x12effc2, 0x12eff98}, + {0x12ffff5, 0x12fff4c}, + {0x130ffe8, 0x130ff15}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff19}, + {0x12effd7, 0x12eff51}, + {0x12fffa3, 0x12fffda}, + {0x130fff0, 0x130ff1b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff20, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dffd4}, + {0x12effeb, 0x12eff09}, + {0x12fff51, 0x12fff68}, + {0x130fff8, 0x130ff22}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff8f}, + {0x12eff00, 0x12effc2}, + {0x12fff00, 0x12ffff5}, + {0x130ff00, 0x130ff28}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff4a}, + {0x12eff14, 0x12eff7b}, + {0x12fffae, 0x12fff83}, + {0x130ff07, 0x130ff2f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff04}, + {0x12eff28, 0x12eff34}, + {0x12fff5c, 0x12fff11}, + {0x130ff0f, 0x130ff36}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dffbf}, + {0x12eff3d, 0x12effec}, + {0x12fff0a, 0x12fff9e}, + {0x130ff17, 0x130ff3c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff7a}, + {0x12eff51, 0x12effa5}, + {0x12fffb8, 0x12fff2c}, + {0x130ff1e, 0x130ff43}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff35}, + {0x12eff66, 0x12eff5e}, + {0x12fff66, 0x12fffba}, + {0x130ff26, 0x130ff49}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dfff0}, + {0x12eff7a, 0x12eff16}, + {0x12fff14, 0x12fff48}, + {0x130ff2e, 0x130ff50}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dffaa}, + {0x12eff8f, 0x12effcf}, + {0x12fffc2, 0x12fffd5}, + {0x130ff35, 0x130ff56}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff65}, + {0x12effa3, 0x12eff88}, + {0x12fff70, 0x12fff63}, + {0x130ff3d, 0x130ff5d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff20}, + {0x12effb8, 0x12eff41}, + {0x12fff1e, 0x12ffff1}, + {0x130ff45, 0x130ff63}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dffdb}, + {0x12effcc, 0x12efff9}, + {0x12fffcc, 0x12fff7e}, + {0x130ff4c, 0x130ff6a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff95}, + {0x12effe1, 0x12effb2}, + {0x12fff7a, 0x12fff0c}, + {0x130ff54, 0x130ff71}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff50}, + {0x12efff5, 0x12eff6b}, + {0x12fff28, 0x12fff9a}, + {0x130ff5c, 0x130ff77}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff0b}, + {0x12eff0a, 0x12eff24}, + {0x12fffd7, 0x12fff28}, + {0x130ff63, 0x130ff7e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dffc6}, + {0x12eff1e, 0x12effdc}, + {0x12fff85, 0x12fffb5}, + {0x130ff6b, 0x130ff84}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff81}, + {0x12eff33, 0x12eff95}, + {0x12fff33, 0x12fff43}, + {0x130ff73, 0x130ff8b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff3b}, + {0x12eff47, 0x12eff4e}, + {0x12fffe1, 0x12fffd1}, + {0x130ff7a, 0x130ff91}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dfff6}, + {0x12eff5c, 0x12eff06}, + {0x12fff8f, 0x12fff5f}, + {0x130ff82, 0x130ff98}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dffb1}, + {0x12eff70, 0x12effbf}, + {0x12fff3d, 0x12fffec}, + {0x130ff8a, 0x130ff9e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff6c}, + {0x12eff85, 0x12eff78}, + {0x12fffeb, 0x12fff7a}, + {0x130ff91, 0x130ffa5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff26}, + {0x12eff99, 0x12eff31}, + {0x12fff99, 0x12fff08}, + {0x130ff99, 0x130ffac}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dffe1}, + {0x12effae, 0x12effe9}, + {0x12fff47, 0x12fff95}, + {0x130ffa1, 0x130ffb2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff9c}, + {0x12effc2, 0x12effa2}, + {0x12ffff5, 0x12fff23}, + {0x130ffa8, 0x130ffb9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff57}, + {0x12effd7, 0x12eff5b}, + {0x12fffa3, 0x12fffb1}, + {0x130ffb0, 0x130ffbf}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff12}, + {0x12effeb, 0x12eff14}, + {0x12fff51, 0x12fff3f}, + {0x130ffb8, 0x130ffc6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dffcc}, + {0x12eff00, 0x12effcc}, + {0x12fff00, 0x12fffcc}, + {0x130ffc0, 0x130ffcc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff87}, + {0x12eff14, 0x12eff85}, + {0x12fffae, 0x12fff5a}, + {0x130ffc7, 0x130ffd3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff42}, + {0x12eff28, 0x12eff3e}, + {0x12fff5c, 0x12fffe8}, + {0x130ffcf, 0x130ffd9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dfffd}, + {0x12eff3d, 0x12efff6}, + {0x12fff0a, 0x12fff75}, + {0x130ffd7, 0x130ffe0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dffb7}, + {0x12eff51, 0x12effaf}, + {0x12fffb8, 0x12fff03}, + {0x130ffde, 0x130ffe7}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dff72}, + {0x12eff66, 0x12eff68}, + {0x12fff66, 0x12fff91}, + {0x130ffe6, 0x130ffed}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff2d}, + {0x12eff7a, 0x12eff21}, + {0x12fff14, 0x12fff1f}, + {0x130ffee, 0x130fff4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1c}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dffe8}, + {0x12eff8f, 0x12effd9}, + {0x12fffc2, 0x12fffac}, + {0x130fff5, 0x130fffa}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff21, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dffa3}, + {0x12effa3, 0x12eff92}, + {0x12fff70, 0x12fff3a}, + {0x130fffd, 0x130ff01}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff5d}, + {0x12effb8, 0x12eff4b}, + {0x12fff1e, 0x12fffc8}, + {0x130ff05, 0x130ff07}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff18}, + {0x12effcc, 0x12eff04}, + {0x12fffcc, 0x12fff56}, + {0x130ff0c, 0x130ff0e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dffd3}, + {0x12effe1, 0x12effbc}, + {0x12fff7a, 0x12fffe3}, + {0x130ff14, 0x130ff14}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff8e}, + {0x12efff5, 0x12eff75}, + {0x12fff28, 0x12fff71}, + {0x130ff1c, 0x130ff1b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff48}, + {0x12eff0a, 0x12eff2e}, + {0x12fffd7, 0x12fffff}, + {0x130ff23, 0x130ff21}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff03}, + {0x12eff1e, 0x12effe7}, + {0x12fff85, 0x12fff8c}, + {0x130ff2b, 0x130ff28}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dffbe}, + {0x12eff33, 0x12eff9f}, + {0x12fff33, 0x12fff1a}, + {0x130ff33, 0x130ff2f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dff79}, + {0x12eff47, 0x12eff58}, + {0x12fffe1, 0x12fffa8}, + {0x130ff3a, 0x130ff35}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff34}, + {0x12eff5c, 0x12eff11}, + {0x12fff8f, 0x12fff36}, + {0x130ff42, 0x130ff3c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dffee}, + {0x12eff70, 0x12effc9}, + {0x12fff3d, 0x12fffc3}, + {0x130ff4a, 0x130ff42}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dffa9}, + {0x12eff85, 0x12eff82}, + {0x12fffeb, 0x12fff51}, + {0x130ff51, 0x130ff49}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dff64}, + {0x12eff99, 0x12eff3b}, + {0x12fff99, 0x12fffdf}, + {0x130ff59, 0x130ff4f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff1f}, + {0x12effae, 0x12efff4}, + {0x12fff47, 0x12fff6c}, + {0x130ff61, 0x130ff56}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dffd9}, + {0x12effc2, 0x12effac}, + {0x12ffff5, 0x12ffffa}, + {0x130ff68, 0x130ff5c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff94}, + {0x12effd7, 0x12eff65}, + {0x12fffa3, 0x12fff88}, + {0x130ff70, 0x130ff63}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff4f}, + {0x12effeb, 0x12eff1e}, + {0x12fff51, 0x12fff16}, + {0x130ff78, 0x130ff6a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff0a}, + {0x12eff00, 0x12effd7}, + {0x12fff00, 0x12fffa3}, + {0x130ff80, 0x130ff70}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dffc5}, + {0x12eff14, 0x12eff8f}, + {0x12fffae, 0x12fff31}, + {0x130ff87, 0x130ff77}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dff7f}, + {0x12eff28, 0x12eff48}, + {0x12fff5c, 0x12fffbf}, + {0x130ff8f, 0x130ff7d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff3a}, + {0x12eff3d, 0x12eff01}, + {0x12fff0a, 0x12fff4d}, + {0x130ff97, 0x130ff84}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dfff5}, + {0x12eff51, 0x12effb9}, + {0x12fffb8, 0x12fffda}, + {0x130ff9e, 0x130ff8a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffb0}, + {0x12eff66, 0x12eff72}, + {0x12fff66, 0x12fff68}, + {0x130ffa6, 0x130ff91}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dff6a}, + {0x12eff7a, 0x12eff2b}, + {0x12fff14, 0x12ffff6}, + {0x130ffae, 0x130ff97}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff25}, + {0x12eff8f, 0x12effe4}, + {0x12fffc2, 0x12fff83}, + {0x130ffb5, 0x130ff9e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dffe0}, + {0x12effa3, 0x12eff9c}, + {0x12fff70, 0x12fff11}, + {0x130ffbd, 0x130ffa5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dff9b}, + {0x12effb8, 0x12eff55}, + {0x12fff1e, 0x12fff9f}, + {0x130ffc5, 0x130ffab}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff56}, + {0x12effcc, 0x12eff0e}, + {0x12fffcc, 0x12fff2d}, + {0x130ffcc, 0x130ffb2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff10}, + {0x12effe1, 0x12effc7}, + {0x12fff7a, 0x12fffba}, + {0x130ffd4, 0x130ffb8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dffcb}, + {0x12efff5, 0x12eff7f}, + {0x12fff28, 0x12fff48}, + {0x130ffdc, 0x130ffbf}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dff86}, + {0x12eff0a, 0x12eff38}, + {0x12fffd7, 0x12fffd6}, + {0x130ffe3, 0x130ffc5}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff41}, + {0x12eff1e, 0x12efff1}, + {0x12fff85, 0x12fff63}, + {0x130ffeb, 0x130ffcc}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dfffb}, + {0x12eff33, 0x12effa9}, + {0x12fff33, 0x12ffff1}, + {0x130fff3, 0x130ffd2}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff22, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dffb6}, + {0x12eff47, 0x12eff62}, + {0x12fffe1, 0x12fff7f}, + {0x130fffa, 0x130ffd9}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dff71}, + {0x12eff5c, 0x12eff1b}, + {0x12fff8f, 0x12fff0d}, + {0x130ff02, 0x130ffe0}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff2c}, + {0x12eff70, 0x12effd4}, + {0x12fff3d, 0x12fff9a}, + {0x130ff0a, 0x130ffe6}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dffe7}, + {0x12eff85, 0x12eff8c}, + {0x12fffeb, 0x12fff28}, + {0x130ff11, 0x130ffed}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffa1}, + {0x12eff99, 0x12eff45}, + {0x12fff99, 0x12fffb6}, + {0x130ff19, 0x130fff3}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1d}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff5c}, + {0x12effae, 0x12efffe}, + {0x12fff47, 0x12fff43}, + {0x130ff21, 0x130fffa}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff17}, + {0x12effc2, 0x12effb7}, + {0x12ffff5, 0x12fffd1}, + {0x130ff28, 0x130ff00}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dffd2}, + {0x12effd7, 0x12eff6f}, + {0x12fffa3, 0x12fff5f}, + {0x130ff30, 0x130ff07}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dff8c}, + {0x12effeb, 0x12eff28}, + {0x12fff51, 0x12fffed}, + {0x130ff38, 0x130ff0d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff00, 0x12dff47}, + {0x12eff00, 0x12effe1}, + {0x12fff00, 0x12fff7a}, + {0x130ff40, 0x130ff14}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff7a, 0x12dff02}, + {0x12eff14, 0x12eff9a}, + {0x12fffae, 0x12fff08}, + {0x130ff47, 0x130ff1b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dfff5, 0x12dffbd}, + {0x12eff28, 0x12eff52}, + {0x12fff5c, 0x12fff96}, + {0x130ff4f, 0x130ff21}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff70, 0x12dff78}, + {0x12eff3d, 0x12eff0b}, + {0x12fff0a, 0x12fff24}, + {0x130ff57, 0x130ff28}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dffeb, 0x12dff32}, + {0x12eff51, 0x12effc4}, + {0x12fffb8, 0x12fffb1}, + {0x130ff5e, 0x130ff2e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff66, 0x12dffed}, + {0x12eff66, 0x12eff7c}, + {0x12fff66, 0x12fff3f}, + {0x130ff66, 0x130ff35}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dffe1, 0x12dffa8}, + {0x12eff7a, 0x12eff35}, + {0x12fff14, 0x12fffcd}, + {0x130ff6e, 0x130ff3b}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff5c, 0x12dff63}, + {0x12eff8f, 0x12effee}, + {0x12fffc2, 0x12fff5a}, + {0x130ff75, 0x130ff42}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dffd7, 0x12dff1d}, + {0x12effa3, 0x12effa7}, + {0x12fff70, 0x12fffe8}, + {0x130ff7d, 0x130ff48}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff51, 0x12dffd8}, + {0x12effb8, 0x12eff5f}, + {0x12fff1e, 0x12fff76}, + {0x130ff85, 0x130ff4f}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dffcc, 0x12dff93}, + {0x12effcc, 0x12eff18}, + {0x12fffcc, 0x12fff04}, + {0x130ff8c, 0x130ff56}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff47, 0x12dff4e}, + {0x12effe1, 0x12effd1}, + {0x12fff7a, 0x12fff91}, + {0x130ff94, 0x130ff5c}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dffc2, 0x12dff09}, + {0x12efff5, 0x12eff8a}, + {0x12fff28, 0x12fff1f}, + {0x130ff9c, 0x130ff63}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff3d, 0x12dffc3}, + {0x12eff0a, 0x12eff42}, + {0x12fffd7, 0x12fffad}, + {0x130ffa3, 0x130ff69}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dffb8, 0x12dff7e}, + {0x12eff1e, 0x12efffb}, + {0x12fff85, 0x12fff3a}, + {0x130ffab, 0x130ff70}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff33, 0x12dff39}, + {0x12eff33, 0x12effb4}, + {0x12fff33, 0x12fffc8}, + {0x130ffb3, 0x130ff76}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dffae, 0x12dfff4}, + {0x12eff47, 0x12eff6c}, + {0x12fffe1, 0x12fff56}, + {0x130ffba, 0x130ff7d}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff28, 0x12dffae}, + {0x12eff5c, 0x12eff25}, + {0x12fff8f, 0x12fffe4}, + {0x130ffc2, 0x130ff83}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dffa3, 0x12dff69}, + {0x12eff70, 0x12effde}, + {0x12fff3d, 0x12fff71}, + {0x130ffca, 0x130ff8a}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff1e, 0x12dff24}, + {0x12eff85, 0x12eff97}, + {0x12fffeb, 0x12fffff}, + {0x130ffd1, 0x130ff90}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff99, 0x12dffdf}, + {0x12eff99, 0x12eff4f}, + {0x12fff99, 0x12fff8d}, + {0x130ffd9, 0x130ff97}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff14, 0x12dff9a}, + {0x12effae, 0x12eff08}, + {0x12fff47, 0x12fff1b}, + {0x130ffe1, 0x130ff9e}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff8f, 0x12dff54}, + {0x12effc2, 0x12effc1}, + {0x12ffff5, 0x12fffa8}, + {0x130ffe8, 0x130ffa4}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff0a, 0x12dff0f}, + {0x12effd7, 0x12eff7a}, + {0x12fffa3, 0x12fff36}, + {0x130fff0, 0x130ffab}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff23, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff85, 0x12dffca}, + {0x12effeb, 0x12eff32}, + {0x12fff51, 0x12fffc4}, + {0x130fff8, 0x130ffb1}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}, + + {{0x10fffff, 0x10fffff}, + {0x110ff03, 0x110ff03}, + {0x111ff10, 0x111ff10}, + {0x12afce4, 0x12afce4}, + {0x12bff24, 0x12bff1e}, + {0x12cff08, 0x12cff10}, + {0x12dff01, 0x12dff85}, + {0x12eff00, 0x12effeb}, + {0x12fff00, 0x12fff51}, + {0x130ff00, 0x130ffb8}, + {0x1337f0a, 0x1337f0a}, + {0x1347c10, 0x1347c10}, + {0x135ff03, 0x135ff03}, + {0x1427f04, 0x1427f04}}}; diff --git a/opae-libs/plugins/xfpga/usrclk/user_clk_pgm_uclock_freq_template_A.h b/opae-libs/plugins/xfpga/usrclk/user_clk_pgm_uclock_freq_template_A.h new file mode 100644 index 0000000..f136eb1 --- /dev/null +++ b/opae-libs/plugins/xfpga/usrclk/user_clk_pgm_uclock_freq_template_A.h @@ -0,0 +1,28 @@ +// Copyright(c) 2017, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +extern const uint32_t scu32ia3d_DiffMifTbl[QUCPU_INT_NUMFRQ][QUCPU_INT_NUMREG] + [QUCPU_INT_NUMRCK]; diff --git a/opae-libs/plugins/xfpga/usrclk/user_clk_pgm_uclock_freq_template_D.h b/opae-libs/plugins/xfpga/usrclk/user_clk_pgm_uclock_freq_template_D.h new file mode 100644 index 0000000..7cda66d --- /dev/null +++ b/opae-libs/plugins/xfpga/usrclk/user_clk_pgm_uclock_freq_template_D.h @@ -0,0 +1,38 @@ +// Copyright(c) 2017, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +// Arthur.Sheiman@Intel.com Created: 09-08-16 +// Revision: 03-21-17 19:51 + + +#define QUCPU_INT_NUMFRQ_INTG_END ((int) 2) +#define QUCPU_INT_NUMFRQ_FRAC_BEG ((int) 25) +#define QUCPU_INT_NUMFRQ_FRAC_END ((int) 1200) +#define QUCPU_INT_NUMFRQ_CLIP ((int) 600) +#define QUCPU_INT_NUMFRQ ((int) 1201) +#define QUCPU_INT_NUMREG ((int) 14) +#define QUCPU_INT_NUMRCK ((int) 2) + diff --git a/opae-libs/plugins/xfpga/version.c b/opae-libs/plugins/xfpga/version.c new file mode 100644 index 0000000..39329bf --- /dev/null +++ b/opae-libs/plugins/xfpga/version.c @@ -0,0 +1,84 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include + +#include "common_int.h" +#include "types_int.h" + +fpga_result __XFPGA_API__ xfpga_fpgaGetOPAECVersion(fpga_version *version) +{ + if (!version) { + OPAE_ERR("version is NULL"); + return FPGA_INVALID_PARAM; + } + + version->major = OPAE_VERSION_MAJOR; + version->minor = OPAE_VERSION_MINOR; + version->patch = OPAE_VERSION_REVISION; + + return FPGA_OK; +} + +fpga_result __XFPGA_API__ xfpga_fpgaGetOPAECVersionString(char *version_str, size_t len) +{ + if (!version_str) { + OPAE_ERR("version_str is NULL"); + return FPGA_INVALID_PARAM; + } + + if (len < sizeof(OPAE_VERSION)) { + OPAE_ERR("insufficient buffer size"); + return FPGA_INVALID_PARAM; + } + + len = strnlen(OPAE_VERSION, len - 1); + strncpy(version_str, OPAE_VERSION, len + 1); + + return FPGA_OK; +} + +fpga_result __XFPGA_API__ xfpga_fpgaGetOPAECBuildString(char *build_str, size_t len) +{ + if (!build_str) { + OPAE_ERR("build_str is NULL"); + return FPGA_INVALID_PARAM; + } + + if (len < sizeof(OPAE_GIT_COMMIT_HASH)) { + OPAE_ERR("insufficient buffer size"); + return FPGA_INVALID_PARAM; + } + + len = strnlen(OPAE_GIT_COMMIT_HASH, len - 1); + strncpy(build_str, OPAE_GIT_COMMIT_HASH, len + 1); + + return FPGA_OK; +} diff --git a/opae-libs/plugins/xfpga/wsid_list.c b/opae-libs/plugins/xfpga/wsid_list.c new file mode 100644 index 0000000..308f88b --- /dev/null +++ b/opae-libs/plugins/xfpga/wsid_list.c @@ -0,0 +1,233 @@ +// Copyright(c) 2017-2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#include +#include +#include +#include "wsid_list_int.h" + +/* + * The code here assumes the caller handles any required mutexes. + * The logic here is not thread safe on its own. + */ + +/** + * @brief Initialize a wsid tracker hash table + * @param n_hash_buckets + * + * @return + */ +struct wsid_tracker *wsid_tracker_init(uint32_t n_hash_buckets) +{ + if (!n_hash_buckets || (n_hash_buckets > 16384)) + return NULL; + + struct wsid_tracker *root = malloc(sizeof(struct wsid_tracker)); + if (!root) + return NULL; + + root->n_hash_buckets = n_hash_buckets; + root->table = calloc(n_hash_buckets, sizeof(struct wsid_map *)); + if (!root->table) { + free(root); + return NULL; + } + + return root; +} + +/** + * @brief Map WSID to hash bucket index + * @param root + * @param wsid + * + * @return bucket index + */ +static inline uint32_t wsid_hash(struct wsid_tracker *root, uint64_t wsid) +{ + uint64_t h = wsid % 17659; + return h % root->n_hash_buckets; +} + + +/** + * @brief Add entry to WSID tracker + * Will allocate memory (which is freed by wsid_del() or + * wsid_tracker_cleanup()) + * @param root + * @param wsid + * @param addr + * @param phys + * @param len + * @param offset + * + * @return true if success, false otherwise + */ +bool wsid_add(struct wsid_tracker *root, + uint64_t wsid, + uint64_t addr, + uint64_t phys, + uint64_t len, + uint64_t offset, + uint64_t index, + int flags) +{ + uint32_t idx = wsid_hash(root, wsid); + struct wsid_map *tmp = malloc(sizeof(struct wsid_map)); + + if (!tmp) + return false; + + tmp->wsid = wsid; + tmp->addr = addr; + tmp->phys = phys; + tmp->len = len; + tmp->offset = offset; + tmp->index = index; + tmp->flags = flags; + tmp->next = root->table[idx]; + + root->table[idx] = tmp; + return true; +} + +/** + * @brief Remove entry from tracker + * + * @param root + * @param wsid + * + * @return true if success, false otherwise + */ +bool wsid_del(struct wsid_tracker *root, uint64_t wsid) +{ + uint32_t idx = wsid_hash(root, wsid); + struct wsid_map *tmp = root->table[idx]; + + if (!tmp) + return false; /* empty list */ + + if (tmp->wsid == wsid) { /* first entry */ + root->table[idx] = root->table[idx]->next; + free(tmp); + return true; + } + + while (tmp->next && tmp->next->wsid != wsid) { /* find */ + tmp = tmp->next; + } + + if (!tmp->next) + return false; /* not found */ + + struct wsid_map *tmp2 = tmp->next; + tmp->next = tmp->next->next; + free(tmp2); + + return true; +} + +/** + * @brief Clean up remaining entries in linked list + * Will delete all remaining entries + * + * @param root + */ +void wsid_tracker_cleanup(struct wsid_tracker *root, + void (*clean)(struct wsid_map *)) +{ + uint32_t idx; + + if (!root) + return; + + for (idx = 0; idx < root->n_hash_buckets; idx += 1) { + struct wsid_map *tmp = root->table[idx]; + + while (tmp) { + struct wsid_map *tmp2 = tmp->next; + if (clean) + clean(tmp); + free(tmp); + tmp = tmp2; + } + } + + free(root->table); + free(root); +} + +/** + * @ brief Find entry in linked list + * + * @param root + * @param wsid + * + * @return + */ +struct wsid_map *wsid_find(struct wsid_tracker *root, uint64_t wsid) +{ + uint32_t idx = wsid_hash(root, wsid); + struct wsid_map *tmp = root->table[idx]; + + while (tmp && tmp->wsid != wsid) + tmp = tmp->next; + + return tmp; +} + +/** + * @ brief Find entry in linked list + * + * @param root + * @param index + * + * @return + */ +struct wsid_map *wsid_find_by_index(struct wsid_tracker *root, uint32_t index) +{ + /* + * The hash table isn't set up for finding by index, but this search is + * used only for MMIO spaces, which should have a small number of entries. + */ + uint32_t idx; + for (idx = 0; idx < root->n_hash_buckets; idx += 1) { + struct wsid_map *tmp = root->table[idx]; + + while (tmp && tmp->index != index) + tmp = tmp->next; + + if (tmp) + return tmp; + } + + return NULL; +} + diff --git a/opae-libs/plugins/xfpga/wsid_list_int.h b/opae-libs/plugins/xfpga/wsid_list_int.h new file mode 100644 index 0000000..8c4391e --- /dev/null +++ b/opae-libs/plugins/xfpga/wsid_list_int.h @@ -0,0 +1,53 @@ +// Copyright(c) 2017-2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifndef __FPGA_WSID_LIST_INT_H__ +#define __FPGA_WSID_LIST_INT_H__ + +#include "opae/utils.h" +#include "types_int.h" + +/* + * WSID tracking structure manipulation functions + */ +struct wsid_tracker *wsid_tracker_init(uint32_t n_hash_buckets); +void wsid_tracker_cleanup(struct wsid_tracker *root, void (*clean)(struct wsid_map *)); + +bool wsid_add(struct wsid_tracker *root, + uint64_t wsid, + uint64_t addr, + uint64_t phys, + uint64_t len, + uint64_t offset, + uint64_t index, + int flags); +bool wsid_del(struct wsid_tracker *root, uint64_t wsid); +uint64_t wsid_gen(void); + +struct wsid_map *wsid_find(struct wsid_tracker *root, uint64_t wsid); +struct wsid_map *wsid_find_by_index(struct wsid_tracker *root, uint32_t index); + +#endif // ___FPGA_COMMON_INT_H__ diff --git a/opae-libs/plugins/xfpga/xfpga.h b/opae-libs/plugins/xfpga/xfpga.h new file mode 100644 index 0000000..bd2c328 --- /dev/null +++ b/opae-libs/plugins/xfpga/xfpga.h @@ -0,0 +1,152 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifndef __XFPGA_XFPGA_H__ +#define __XFPGA_XFPGA_H__ + +#include + +#include + +#ifdef __cplusplus +extern "C" { +#endif // __cplusplus + +fpga_result xfpga_fpgaOpen(fpga_token token, fpga_handle *handle, int flags); +fpga_result xfpga_fpgaClose(fpga_handle handle); +fpga_result xfpga_fpgaReset(fpga_handle handle); +fpga_result xfpga_fpgaGetPropertiesFromHandle(fpga_handle handle, + fpga_properties *prop); +fpga_result xfpga_fpgaGetProperties(fpga_token token, fpga_properties *prop); +fpga_result xfpga_fpgaUpdateProperties(fpga_token token, fpga_properties prop); +fpga_result xfpga_fpgaWriteMMIO64(fpga_handle handle, uint32_t mmio_num, + uint64_t offset, uint64_t value); +fpga_result xfpga_fpgaReadMMIO64(fpga_handle handle, uint32_t mmio_num, + uint64_t offset, uint64_t *value); +fpga_result xfpga_fpgaWriteMMIO32(fpga_handle handle, uint32_t mmio_num, + uint64_t offset, uint32_t value); +fpga_result xfpga_fpgaReadMMIO32(fpga_handle handle, uint32_t mmio_num, + uint64_t offset, uint32_t *value); +fpga_result xfpga_fpgaWriteMMIO512(fpga_handle handle, uint32_t mmio_num, + uint64_t offset, const void *value); +fpga_result xfpga_fpgaMapMMIO(fpga_handle handle, uint32_t mmio_num, + uint64_t **mmio_ptr); +fpga_result xfpga_fpgaUnmapMMIO(fpga_handle handle, uint32_t mmio_num); +fpga_result xfpga_fpgaEnumerate(const fpga_properties *filters, + uint32_t num_filters, fpga_token *tokens, + uint32_t max_tokens, uint32_t *num_matches); +fpga_result xfpga_fpgaCloneToken(fpga_token src, fpga_token *dst); +fpga_result xfpga_fpgaDestroyToken(fpga_token *token); +fpga_result xfpga_fpgaGetNumUmsg(fpga_handle handle, uint64_t *value); +fpga_result xfpga_fpgaSetUmsgAttributes(fpga_handle handle, uint64_t value); +fpga_result xfpga_fpgaTriggerUmsg(fpga_handle handle, uint64_t value); +fpga_result xfpga_fpgaGetUmsgPtr(fpga_handle handle, uint64_t **umsg_ptr); +fpga_result xfpga_fpgaPrepareBuffer(fpga_handle handle, uint64_t len, + void **buf_addr, uint64_t *wsid, int flags); +fpga_result xfpga_fpgaReleaseBuffer(fpga_handle handle, uint64_t wsid); +fpga_result xfpga_fpgaGetIOAddress(fpga_handle handle, uint64_t wsid, + uint64_t *ioaddr); +fpga_result xfpga_fpgaGetOPAECVersion(fpga_version *version); +fpga_result xfpga_fpgaGetOPAECVersionString(char *version_str, size_t len); +fpga_result xfpga_fpgaGetOPAECBuildString(char *build_str, size_t len); +fpga_result xfpga_fpgaReadError(fpga_token token, uint32_t error_num, + uint64_t *value); +fpga_result xfpga_fpgaClearError(fpga_token token, uint32_t error_num); +fpga_result xfpga_fpgaClearAllErrors(fpga_token token); +fpga_result xfpga_fpgaGetErrorInfo(fpga_token token, uint32_t error_num, + struct fpga_error_info *error_info); +fpga_result xfpga_fpgaCreateEventHandle(fpga_event_handle *event_handle); +fpga_result xfpga_fpgaDestroyEventHandle(fpga_event_handle *event_handle); +fpga_result xfpga_fpgaGetOSObjectFromEventHandle(const fpga_event_handle eh, + int *fd); +fpga_result xfpga_fpgaRegisterEvent(fpga_handle handle, + fpga_event_type event_type, + fpga_event_handle event_handle, + uint32_t flags); +fpga_result xfpga_fpgaUnregisterEvent(fpga_handle handle, + fpga_event_type event_type, + fpga_event_handle event_handle); +fpga_result xfpga_fpgaAssignPortToInterface(fpga_handle fpga, + uint32_t interface_num, + uint32_t slot_num, int flags); +fpga_result xfpga_fpgaAssignToInterface(fpga_handle fpga, + fpga_token accelerator, + uint32_t host_interface, int flags); +fpga_result xfpga_fpgaReleaseFromInterface(fpga_handle fpga, + fpga_token accelerator); +fpga_result xfpga_fpgaReconfigureSlot(fpga_handle fpga, uint32_t slot, + const uint8_t *bitstream, + size_t bitstream_len, int flags); +fpga_result xfpga_fpgaTokenGetObject(fpga_token token, const char *name, + fpga_object *object, int flags); +fpga_result xfpga_fpgaHandleGetObject(fpga_token handle, const char *name, + fpga_object *object, int flags); +fpga_result xfpga_fpgaObjectGetObject(fpga_object parent, const char *name, + fpga_object *object, int flags); +fpga_result xfpga_fpgaObjectGetObjectAt(fpga_object parent, size_t idx, + fpga_object *object); +fpga_result xfpga_fpgaDestroyObject(fpga_object *obj); +fpga_result xfpga_fpgaObjectGetType(fpga_object obj, + enum fpga_sysobject_type *type); +fpga_result xfpga_fpgaObjectGetName(fpga_object obj, char *name, + size_t max_len); +fpga_result xfpga_fpgaObjectGetSize(fpga_object obj, uint32_t *value, + int flags); +fpga_result xfpga_fpgaObjectRead(fpga_object obj, uint8_t *buffer, + size_t offset, size_t len, int flags); +fpga_result xfpga_fpgaObjectRead64(fpga_object obj, uint64_t *value, int flags); +fpga_result xfpga_fpgaObjectWrite64(fpga_object obj, uint64_t value, int flags); +fpga_result xfpga_fpgaSetUserClock(fpga_handle handle, uint64_t low_clk, + uint64_t high_clk, int flags); +fpga_result xfpga_fpgaGetUserClock(fpga_handle handle, uint64_t *low_clk, + uint64_t *high_clk, int flags); + +fpga_result xfpga_fpgaGetNumMetrics(fpga_handle handle, + uint64_t *num_metrics); + +fpga_result xfpga_fpgaGetMetricsInfo(fpga_handle handle, + fpga_metric_info *metric_info, + uint64_t *num_metrics); + +fpga_result xfpga_fpgaGetMetricsByIndex(fpga_handle handle, + uint64_t *metric_num, + uint64_t num_metric_indexes, + fpga_metric *metrics); + +fpga_result xfpga_fpgaGetMetricsByName(fpga_handle handle, + char **metrics_names, + uint64_t num_metric_names, + fpga_metric *metrics); + +fpga_result xfpga_fpgaGetMetricsThresholdInfo(fpga_handle handle, + metric_threshold *metric_threshold, + uint32_t *num_thresholds); + +#ifdef __cplusplus +} +#endif // __cplusplus + +#endif // __XFPGA_XFPGA_H__ diff --git a/opae-libs/pyopae/CMakeLists.txt b/opae-libs/pyopae/CMakeLists.txt new file mode 100644 index 0000000..835f80a --- /dev/null +++ b/opae-libs/pyopae/CMakeLists.txt @@ -0,0 +1,120 @@ +## Copyright(c) 2018-2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +set(PYOPAE_PYBIND11_VERSION "2.2.4") + +set(PYOPAE_SRC + opae.cpp + pycontext.h + pycontext.cpp + pyproperties.h + pyproperties.cpp + pyhandle.h + pyhandle.cpp + pytoken.h + pytoken.cpp + pyshared_buffer.h + pyshared_buffer.cpp + pyevents.h + pyevents.cpp + pyerrors.h + pyerrors.cpp + pysysobject.h + pysysobject.cpp +) + +opae_external_project_add(PROJECT_NAME pybind11 + GIT_URL https://github.com/pybind/pybind11.git + GIT_TAG v2.4.3 + ) + +opae_add_module_library(TARGET _opae + SOURCE ${PYOPAE_SRC} + LIBS + opae-c + opae-cxx-core +) + +target_include_directories(_opae + PRIVATE ${PYBIND11_INCLUDE_DIR} + PRIVATE ${PYTHON_INCLUDE_DIRS}) + +set_target_properties(_opae + PROPERTIES PREFIX "" + CXX_VISIBILITY_PRESET "hidden" + LINK_FLAGS "-std=c++11" + LIBRARY_OUTPUT_DIRECTORY + ${LIBRARY_OUTPUT_PATH}/python${OPAE_PYTHON_VERSION}/opae/fpga +) + +add_custom_command(TARGET _opae + POST_BUILD + COMMAND ${CMAKE_COMMAND} -E copy + ${CMAKE_CURRENT_SOURCE_DIR}/opae/__init__.py + ${LIBRARY_OUTPUT_PATH}/python${OPAE_PYTHON_VERSION}/opae + COMMAND ${CMAKE_COMMAND} -E copy + ${CMAKE_CURRENT_SOURCE_DIR}/opae/fpga/__init__.py + ${LIBRARY_OUTPUT_PATH}/python${OPAE_PYTHON_VERSION}/opae/fpga + COMMENT "Copying namespace package files") + +add_custom_command(TARGET _opae + POST_BUILD + COMMAND ${CMAKE_COMMAND} -E copy + ${CMAKE_CURRENT_SOURCE_DIR}/test_pyopae.py + ${LIBRARY_OUTPUT_PATH}/python${OPAE_PYTHON_VERSION} + COMMENT "Copying Python test files") + +if (OPAE_BUILD_PYTHON_DIST) + set(SETUP_INCLUDE_DIRS "${OPAE_INCLUDE_DIR}:${PYBIND_INCLUDE_DIR}") + + set(PYFILES + setup.py + opae/__init__.py + opae/fpga/__init__.py + test_pyopae.py + ) + + set (PYDIST_STAGE_DIR ${CMAKE_CURRENT_BINARY_DIR}/stage) + + configure_file(README.md ${PYDIST_STAGE_DIR}/README.md @ONLY) + foreach(pyfile ${PYFILES}) + configure_file(${pyfile} ${PYDIST_STAGE_DIR}/${pyfile} @ONLY) + endforeach(pyfile ${PYFILES}) + + foreach(cppfile ${PYOPAE_SRC}) + file(COPY ${cppfile} DESTINATION ${PYDIST_STAGE_DIR}) + endforeach(cppfile ${PYOPAE_SRC}) + file(COPY MANIFEST.in DESTINATION ${PYDIST_STAGE_DIR}) + add_custom_target(pyopae-dist + COMMAND ${PYTHON_EXECUTABLE} setup.py sdist + COMMAND ${PYTHON_EXECUTABLE} setup.py build_ext + --include-dirs=${OPAE_INCLUDE_DIR} + --library-dirs=${LIBRARY_OUTPUT_PATH} + COMMAND ${PYTHON_EXECUTABLE} setup.py bdist_wheel + DEPENDS ${PYFILES} ${PYOPAE_SRC} + WORKING_DIRECTORY ${PYDIST_STAGE_DIR} + COMMENT "Building Python distrubutions") +endif (OPAE_BUILD_PYTHON_DIST) diff --git a/opae-libs/pyopae/MANIFEST.in b/opae-libs/pyopae/MANIFEST.in new file mode 100644 index 0000000..3209430 --- /dev/null +++ b/opae-libs/pyopae/MANIFEST.in @@ -0,0 +1,17 @@ +include opae.cpp +include pycontext.h +include pycontext.cpp +include pyproperties.h +include pyproperties.cpp +include pyhandle.h +include pyhandle.cpp +include pytoken.h +include pytoken.cpp +include pyshared_buffer.h +include pyshared_buffer.cpp +include pyevents.h +include pyevents.cpp +include pyerrors.h +include pyerrors.cpp +include pysysobject.h +include pysysobject.cpp diff --git a/opae-libs/pyopae/README.md b/opae-libs/pyopae/README.md new file mode 100644 index 0000000..3530d18 --- /dev/null +++ b/opae-libs/pyopae/README.md @@ -0,0 +1,141 @@ +# OPAE Python Bindings + +OPAE (Open Programmable Acceleration Engine) now includes Python bindings for +interacting with FPGA resources. The OPAE Python API is built on top of the +OPAE C++ Core API and its object model. Because of this, developing OPAE +applications in Python is very similar to developing OPAE applications in C++ +which significantly reduces the learning curve required to adapt to the Python API. +While the object model remains the same, some static factory functions in the +OPAE C++ Core API have been moved to module level methods in the OPAE Python API +with the exception of the properties class. The goal of the OPAE Python API is +to enable fast prototyping, test automation, infrastructure managment, and an +easy to use framework for FPGA resource interactions that don't rely on software +algorithms with a high runtime complexity. + +Currently, the only Python package that is part of OPAE is `opae.fpga` + +## Implementation + +The OPAE Python API is implemented by creating a Python extension using `pybind11 +`_. +This extension is created by using the pybind11 API which relies mostly on +macros and compile time introspection to define the module initialization point +as well as type converters between OPAE C++ Core types and OPAE Python types. + +## Benefits +The major benefits of using pybind11 for developing the OPAE Python API +include, but are not limited to, the following features of pybind11: + +* Uses C++ 11 standard library although it can use C++ 14 or C++17. +* Automatic conversions of shared_ptr types +* Built-in support for numpy and Eigen numerical libraries +* Interoperable with the Python C API + +## Runtime Requirements +Because opae.fpga is built on top of the opae-cxx-core API, it does require +that the runtime libraries for both opae-cxx-core and opae-c be installed on +the system (as well as any other libraries they depend on). Those libraries can +be installed using the opae-libs package (from either RPM or DEB format - +depending on your Linux distribution). + +## Installation + +## Python Wheels +The preferred method of installation is to use a binary wheel package for your +version of Python. + +The following table lists example names for different Python versions and +platforms. + +| Python Version | Python ABI | Linux Platform | Package Name | +|----------------|-----------------|----------------|--------------| +| 2.7 | CPython w/ UCS4 | x86_64 | opae.fpga.-cp27-cp27mu-linux_x86_64.whl | +| 3.4 | CPython w/ UCS4 | x86_64 | opae.fpga.-cp34-cp34mu-linux_x86_64.whl | +| 3.6 | CPython w/ UCS4 | x86_64 | opae.fpga.-cp36-cp36mu-linux_x86_64.whl | + + +opae.fpga is currently not available in the Python Package Index but once it +does become available, one should be able to install using pip by simply typing +the following: +```shell +> pip install --user opae.fpga +``` + +## Installing From Source +In addition to the runtime libraries mentioned above, installing from source +does require that the OPAE header files be installed as well as those header +files for pybind11. The former can be installed with the opae-devel package and +the latter can be installed by installing pybind11 Python module. + +### Example Installation +The following example shows how to build from source by installing the +prerequisites before running the setup.py file. + +```shell +>sudo yum install opae-libs-.x86_64.rpm +>sudo yum install opae-devel-.x86_64.rpm +>pip install --user pybind11 +>pip install --user opae.fpga-.tar.gz +``` + + +_NOTE_: The `pip` examples above use the `--user` flag to avoid requiring root +permissions. Those packages will be installed in the user's `site-packages` +directory found in the user's `.local` directory. + +## Example Scripts +The following example is an implementation of the sample, hello_fpga.c, which +is designed to configure the NLB0 diagnostic accelerator for a simple loopback. + + +```Python +import time +from opae import fpga + +NLB0 = "d8424dc4-a4a3-c413-f89e-433683f9040b" +CTL = 0x138 +CFG = 0x140 +NUM_LINES = 0x130 +SRC_ADDR = 0x0120 +DST_ADDR = 0x0128 +DSM_ADDR = 0x0110 +DSM_STATUS = 0x40 + +def cl_align(addr): + return addr >> 6 + +tokens = fpga.enumerate(type=fpga.ACCELERATOR, guid=NLB0) +assert tokens, "Could not enumerate accelerator: {}".format(NlB0) + +with fpga.open(tokens[0], fpga.OPEN_SHARED) as handle: + src = fpga.allocate_shared_buffer(handle, 4096) + dst = fpga.allocate_shared_buffer(handle, 4096) + dsm = fpga.allocate_shared_buffer(handle, 4096) + handle.write_csr32(CTL, 0) + handle.write_csr32(CTL, 1) + handle.write_csr64(DSM_ADDR, dsm.io_address()) + handle.write_csr64(SRC_ADDR, cl_align(src.io_address())) # cacheline-aligned + handle.write_csr64(DST_ADDR, cl_align(dst.io_address())) # cacheline-aligned + handle.write_csr32(CFG, 0x42000) + handle.write_csr32(NUM_LINES, 4096/64) + handle.write_csr32(CTL, 3) + while dsm[DSM_STATUS] & 0x1 == 0: + time.sleep(0.001) + handle.write_csr32(CTL, 7) + +``` + +This example shows how one might reprogram (Partial Reconfiguration) an +accelerator on a given bus, 0x5e, using a bitstream file, m0.gbs. + +```Python +from opae import fpga + +BUS = 0x5e +GBS = 'm0.gbs' +tokens = fpga.enumerate(type=fpga.DEVICE, bus=BUS) +assert tokens, "Could not enumerate device on bus: {}".format(BUS) +with open(GBS, 'rb') as fd, fpga.open(tokens[0]) as device: + device.reconfigure(0, fd) +``` + diff --git a/opae-libs/pyopae/opae.cpp b/opae-libs/pyopae/opae.cpp new file mode 100644 index 0000000..f53b3fc --- /dev/null +++ b/opae-libs/pyopae/opae.cpp @@ -0,0 +1,290 @@ +// Copyright(c) 2017-2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#include +#include +#include +#include +#include +#include +#include +#include +#include "pyerrors.h" +#include "pyevents.h" +#include "pyhandle.h" +#include "pyproperties.h" +#include "pyshared_buffer.h" +#include "pysysobject.h" +#include "pytoken.h" + +namespace py = pybind11; +using opae::fpga::types::properties; +using opae::fpga::types::token; +using opae::fpga::types::handle; +using opae::fpga::types::shared_buffer; +using opae::fpga::types::event; +using opae::fpga::types::error; +using opae::fpga::types::sysobject; +using opae::fpga::types::version; + +const char *memory_barrier_doc = R"opaedoc( + Place a memory barrier or fence to ensure that all preceding memory operations have completed before continuing. +)opaedoc"; + +#ifdef OPAE_EMBEDDED +#include +PYBIND11_EMBEDDED_MODULE(_opae, m) { + m.def("initialize", &fpgaInitialize); +#else +PYBIND11_MODULE(_opae, m) { + fpgaInitialize(nullptr); +#endif + + py::options opts; + // opts.disable_function_signatures(); + + m.doc() = "Open Programmable Acceleration Engine - Python bindings"; + + // define enumerations + py::enum_(m, "fpga_result", py::arithmetic(), + "OPAE return codes") + .value("OK", FPGA_OK) + .value("INVALID_PARAM", FPGA_INVALID_PARAM) + .value("BUSY", FPGA_BUSY) + .value("EXCEPTION", FPGA_EXCEPTION) + .value("NOT_FOUND", FPGA_NOT_FOUND) + .value("NO_MEMORY", FPGA_NO_MEMORY) + .value("NOT_SUPPORTED", FPGA_NOT_SUPPORTED) + .value("NO_DRIVER", FPGA_NO_DRIVER) + .value("NO_DAEMON", FPGA_NO_DAEMON) + .value("NO_ACCESS", FPGA_NO_ACCESS) + .value("RECONF_ERROR", FPGA_RECONF_ERROR) + .export_values(); + + py::enum_(m, "fpga_objtype", py::arithmetic(), + "OPAE resource objects") + .value("DEVICE", FPGA_DEVICE) + .value("ACCELERATOR", FPGA_ACCELERATOR) + .export_values(); + + py::enum_(m, "fpga_open_flags", py::arithmetic(), + "OPAE flags for opening resources") + .value("OPEN_SHARED", FPGA_OPEN_SHARED) + .export_values(); + + py::enum_(m, "fpga_event_type", py::arithmetic(), + "OPAE event type") + .value("EVENT_INTERRUPT", FPGA_EVENT_INTERRUPT) + .value("EVENT_ERROR", FPGA_EVENT_ERROR) + .value("EVENT_POWER_THERMAL", FPGA_EVENT_POWER_THERMAL) + .export_values(); + + py::enum_(m, "fpga_accelerator_state", + py::arithmetic(), "OPAE accelerator_state") + .value("ACCELERATOR_ASSIGNED", FPGA_ACCELERATOR_ASSIGNED) + .value("ACCELERATOR_UNASSIGNED", FPGA_ACCELERATOR_UNASSIGNED) + .export_values(); + + py::enum_(m, "fpga_sysobject_flags", py::arithmetic(), + "OPAE sysobject API flags.") + .value("SYSOBJECT_SYNC", FPGA_OBJECT_SYNC) + .value("SYSOBJECT_RAW", FPGA_OBJECT_RAW) + .value("SYSOBJECT_GLOB", FPGA_OBJECT_GLOB) + .value("SYSOBJECT_RECURSE_ONE", FPGA_OBJECT_RECURSE_ONE) + .value("SYSOBJECT_RECURSE_ALL", FPGA_OBJECT_RECURSE_ALL) + .export_values(); + + py::enum_( + m, "fpga_reconf_flags", py::arithmetic(), + "Flags that define how an accelerator is opened.") + .value("RECONF_FORCE", FPGA_RECONF_FORCE) + .export_values(); + + // version method + m.def("version", &version::as_string, + "Get the OPAE runtime version as a string"); + m.def("build", &version::build, "Get the OPAE runtime build hash"); + + // define properties class + py::class_ pyproperties(m, "properties", + properties_doc()); + pyproperties.def(py::init(&properties_get), properties_doc_get()) + .def(py::init(&properties_get_token), properties_doc_get_token()) + .def(py::init(&properties_get_handle), properties_doc_get_handle()) + .def_property("parent", properties_get_parent, properties_set_parent, + properties_doc_parent()) + .def_property("guid", properties_get_guid, properties_set_guid, + properties_doc_guid()) + .def_property("type", properties_get_type, properties_set_type, + properties_doc_type()) + .def_property("segment", properties_get_segment, properties_set_segment, + properties_doc_segment()) + .def_property("bus", properties_get_bus, properties_set_bus, + properties_doc_bus()) + .def_property("device", properties_get_device, properties_set_device, + properties_doc_device()) + .def_property("function", properties_get_function, + properties_set_function, properties_doc_function()) + .def_property("socket_id", properties_get_socket_id, + properties_set_socket_id, properties_doc_socket_id()) + .def_property("object_id", properties_get_object_id, + properties_set_object_id, properties_doc_object_id()) + .def_property("num_errors", properties_get_num_errors, + properties_set_num_errors, properties_doc_num_errors()) + .def_property("num_slots", properties_get_num_slots, + properties_set_num_slots, properties_doc_num_slots()) + .def_property("bbs_id", properties_get_bbs_id, properties_set_bbs_id, + properties_doc_bbs_id()) + .def_property("bbs_version", properties_get_bbs_version, + properties_set_bbs_version, properties_doc_bbs_version()) + .def_property("vendor_id", properties_get_vendor_id, + properties_set_vendor_id, properties_doc_vendor_id()) + .def_property("device_id", properties_get_device_id, + properties_set_device_id, properties_doc_device_id()) + .def_property("model", properties_get_model, properties_set_model, + properties_doc_model()) + .def_property("local_memory_size", properties_get_local_memory_size, + properties_set_local_memory_size, + properties_doc_local_memory_size()) + .def_property("capabilities", properties_get_capabilities, + properties_set_capabilities, properties_doc_capabilities()) + .def_property("num_mmio", properties_get_num_mmio, + properties_set_num_mmio, properties_doc_num_mmio()) + .def_property("num_interrupts", properties_get_num_interrupts, + properties_set_num_interrupts, + properties_doc_num_interrupts()) + .def_property("accelerator_state", properties_get_accelerator_state, + properties_set_accelerator_state, + properties_doc_accelerator_state()); + + // memory fence + m.def("memory_barrier", + []() { std::atomic_thread_fence(std::memory_order_release); }, + memory_barrier_doc); + // define token class + m.def("enumerate", &token::enumerate, token_doc_enumerate()) + .def("enumerate", token_enumerate_kwargs, token_doc_enumerate_kwargs()); + py::class_ pytoken(m, "token", token_doc()); + pytoken.def("__getattr__", token_get_sysobject, sysobject_doc_token_get()) + .def("__getitem__", token_get_sysobject, sysobject_doc_token_get()) + .def("find", token_find_sysobject, sysobject_doc_token_find(), + py::arg("name"), py::arg("flags") = 0); + + // define handle class + m.def("open", handle_open, handle_doc_open(), py::arg("tok"), + py::arg("flags") = 0); + py::class_ pyhandle(m, "handle"); + pyhandle.def("__enter__", handle_context_enter, handle_doc_context_enter()) + .def("__exit__", handle_context_exit, handle_doc_context_exit()) + .def("reconfigure", handle_reconfigure, handle_doc_reconfigure(), + py::arg("slot"), py::arg("fd"), py::arg("flags") = 0) + .def("__bool__", handle_valid, handle_doc_valid()) + .def("close", &handle::close, handle_doc_close()) + .def("reset", &handle::reset, handle_doc_reset()) + .def("read_csr32", &handle::read_csr32, handle_doc_read_csr32(), + py::arg("offset"), py::arg("csr_space") = 0) + .def("read_csr64", &handle::read_csr64, handle_doc_read_csr64(), + py::arg("offset"), py::arg("csr_space") = 0) + .def("write_csr32", &handle::write_csr32, handle_doc_write_csr32(), + py::arg("offset"), py::arg("value"), py::arg("csr_space") = 0) + .def("write_csr64", &handle::write_csr64, handle_doc_write_csr64(), + py::arg("offset"), py::arg("value"), py::arg("csr_space") = 0) + .def("__getattr__", handle_get_sysobject, sysobject_doc_handle_get()) + .def("__getitem__", handle_get_sysobject, sysobject_doc_handle_get()) + .def("find", handle_find_sysobject, sysobject_doc_handle_find(), + py::arg("name"), py::arg("flags") = 0); + + // define shared_buffer class + m.def("allocate_shared_buffer", shared_buffer_allocate, + shared_buffer_doc_allocate()); + py::class_ pybuffer( + m, "shared_buffer", py::buffer_protocol(), shared_buffer_doc()); + pybuffer.def("size", &shared_buffer::size, shared_buffer_doc_size()) + .def("wsid", &shared_buffer::wsid, shared_buffer_doc_wsid()) + .def("io_address", &shared_buffer::io_address, + shared_buffer_doc_io_address()) + .def("fill", &shared_buffer::fill, shared_buffer_doc_fill()) + .def("poll", shared_buffer_poll, + "Poll for an 8-bit value being set at given offset", + py::arg("offset"), py::arg("value"), py::arg("mask") = 0, + py::arg("timeout_usec") = 1000) + .def("poll32", shared_buffer_poll, + "Poll for a 32-bit value being set at given offset", + py::arg("offset"), py::arg("value"), py::arg("mask") = 0, + py::arg("timeout_usec") = 1000) + .def("poll64", shared_buffer_poll, + "Poll for a 64-bit value being set at given offset", + py::arg("offset"), py::arg("value"), py::arg("mask"), + py::arg("timeout_usec") = 1000) + .def("compare", &shared_buffer::compare, shared_buffer_doc_compare()) + .def("copy", shared_buffer_copy, shared_buffer_doc_copy(), + py::arg("other"), py::arg("size") = 0) + .def_buffer([](shared_buffer &b) -> py::buffer_info { + return py::buffer_info( + const_cast(b.c_type()), sizeof(uint8_t), + py::format_descriptor::format(), b.size()); + }) + .def("read32", &shared_buffer::read, shared_buffer_doc_read32()) + .def("read64", &shared_buffer::read, shared_buffer_doc_read64()) + .def("write32", &shared_buffer::write, + shared_buffer_doc_write32()) + .def("write64", &shared_buffer::write, + shared_buffer_doc_write64()) + .def("split", shared_buffer_split, shared_buffer_doc_split()) + .def("__getitem__", shared_buffer_getitem, shared_buffer_doc_getitem()) + .def("__setitem__", shared_buffer_setitem, shared_buffer_doc_setitem()) + .def("__getitem__", shared_buffer_getslice, shared_buffer_doc_getslice()); + + // define event class + m.def("register_event", event_register_event, event_doc_register_event(), + py::arg("handle"), py::arg("event_type"), py::arg("flags") = 0); + py::class_ pyevent(m, "event", event_doc()); + + pyevent.def("os_object", event_os_object, event_doc_os_object()); + + py::class_ pyerror(m, "error", error_doc()); + pyerror.def_property_readonly("name", &error::name, error_doc_name()) + .def_property_readonly("can_clear", &error::can_clear, + error_doc_can_clear()) + .def("read_value", &error::read_value, error_doc_read_value()); + + m.def("errors", error_errors, error_doc_errors()); + + // define object class + py::class_ pysysobject(m, "sysobject", + sysobject_doc()); + pysysobject + .def("__getattr__", sysobject_get_sysobject, sysobject_doc_object_get()) + .def("__getitem__", sysobject_get_sysobject, sysobject_doc_object_get()) + .def("find", sysobject_find_sysobject, sysobject_doc_object_find(), + py::arg("name"), py::arg("flags") = 0) + .def("read64", + [](sysobject::ptr_t obj) { return obj->read64(FPGA_OBJECT_SYNC); }) + .def("write64", &sysobject::write64) + .def("size", &sysobject::size) + .def("bytes", sysobject_bytes, sysobject_doc_bytes()) + .def("__getitem__", sysobject_getitem, sysobject_doc_getitem()) + .def("__getitem__", sysobject_getslice, sysobject_doc_getslice()); +} diff --git a/opae-libs/pyopae/opae/__init__.py b/opae-libs/pyopae/opae/__init__.py new file mode 100644 index 0000000..69e3be5 --- /dev/null +++ b/opae-libs/pyopae/opae/__init__.py @@ -0,0 +1 @@ +__path__ = __import__('pkgutil').extend_path(__path__, __name__) diff --git a/opae-libs/pyopae/opae/fpga/__init__.py b/opae-libs/pyopae/opae/fpga/__init__.py new file mode 100644 index 0000000..d0ca5ac --- /dev/null +++ b/opae-libs/pyopae/opae/fpga/__init__.py @@ -0,0 +1,45 @@ +from _opae import ( + properties, + token, + handle, + shared_buffer, + event, + enumerate, + open, + allocate_shared_buffer, + register_event, + error, + errors, + sysobject, + version, + build, + memory_barrier) +from _opae import (DEVICE, ACCELERATOR, OPEN_SHARED, EVENT_ERROR, + EVENT_INTERRUPT, EVENT_POWER_THERMAL, ACCELERATOR_ASSIGNED, + ACCELERATOR_UNASSIGNED, RECONF_FORCE, SYSOBJECT_GLOB) +__all__ = ['properties', + 'token', + 'handle', + 'shared_buffer', + 'event', + 'enumerate', + 'open', + 'allocate_shared_buffer', + 'register_event', + 'error', + 'errors', + 'sysobject', + 'version', + 'build', + 'memory_barrier', + 'DEVICE', + 'ACCELERATOR', + 'OPEN_SHARED', + 'EVENT_ERROR', + 'EVENT_INTERRUPT', + 'EVENT_POWER_THERMAL', + 'ACCELERATOR_ASSIGNED', + 'ACCELERATOR_UNASSIGNED', + 'RECONF_FORCE', + 'SYSOBJECT_GLOB' + ] diff --git a/opae-libs/pyopae/pycontext.cpp b/opae-libs/pyopae/pycontext.cpp new file mode 100644 index 0000000..5f5b7ac --- /dev/null +++ b/opae-libs/pyopae/pycontext.cpp @@ -0,0 +1,58 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include "pycontext.h" + +buffer_registry* buffer_registry::instance_ = nullptr; + +buffer_registry::buffer_registry() : buffers_() {} + +buffer_registry& buffer_registry::instance() { + if (buffer_registry::instance_ == nullptr) { + buffer_registry::instance_ = new buffer_registry(); + } + return *buffer_registry::instance_; +} + +void buffer_registry::register_handle(handle_t handle) { + buffers_[handle] = buffer_list_t(); +} + +void buffer_registry::add_buffer(handle_t handle, shared_buffer_t buffer) { + buffers_[handle].push_back(buffer); +} + +void buffer_registry::unregister_handle(handle_t handle) { + // find the handle in the internal map + // and release all buffers associated with the handle + auto it = buffers_.find(handle); + if (it != buffers_.end()) { + for (auto b : it->second) { + b->release(); + } + buffers_.erase(it); + } +} diff --git a/opae-libs/pyopae/pycontext.h b/opae-libs/pyopae/pycontext.h new file mode 100644 index 0000000..2925f4a --- /dev/null +++ b/opae-libs/pyopae/pycontext.h @@ -0,0 +1,50 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#pragma once +#include +#include +#include +#include + +class buffer_registry { +private: + typedef opae::fpga::types::handle::ptr_t handle_t; + typedef opae::fpga::types::shared_buffer::ptr_t shared_buffer_t; + typedef std::vector buffer_list_t; + typedef std::map buffer_map_t; +public: + static buffer_registry & instance(); + void register_handle(handle_t handle); + void add_buffer(handle_t handle, shared_buffer_t buffer); + void unregister_handle(handle_t handle); + +private: + buffer_registry(); + buffer_map_t buffers_; + static buffer_registry *instance_; + +}; + diff --git a/opae-libs/pyopae/pyerrors.cpp b/opae-libs/pyopae/pyerrors.cpp new file mode 100644 index 0000000..9c15045 --- /dev/null +++ b/opae-libs/pyopae/pyerrors.cpp @@ -0,0 +1,77 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#include "pyerrors.h" +namespace py = pybind11; +using opae::fpga::types::error; +using opae::fpga::types::token; +using opae::fpga::types::properties; + +const char *error_doc() { + return R"opaedoc( + error object is used to represent an error register in an FPGA resource. + It holds two read-only properties, `name` and `can_clear` and it can also + be used to read the raw register value from its corresponding error register. + )opaedoc"; +} + +const char *error_doc_name() { + return R"opaedoc( + Error register name - read-only property + )opaedoc"; +} + + +const char *error_doc_can_clear() { + return R"opaedoc( + Indicates if the error register can be cleared - read-only property + )opaedoc"; +} + +const char *error_doc_read_value() { + return R"opaedoc( + Read the raw value from the error register. + )opaedoc"; +} + +const char *error_doc_errors() { + return R"opaedoc( + Get a list of error objects in an FPGA resource. + Each error object represents an error register contained in the resource. + + Args: + tok(token): Token representing an FPGA resource. + )opaedoc"; +} + +std::vector error_errors(token::ptr_t tok) { + auto props = properties::get(tok); + std::vector errors(props->num_errors); + for (uint32_t i = 0; i < props->num_errors; ++i) { + errors[i] = error::get(tok, i); + } + return errors; +} + diff --git a/opae-libs/pyopae/pyerrors.h b/opae-libs/pyopae/pyerrors.h new file mode 100644 index 0000000..d75866d --- /dev/null +++ b/opae-libs/pyopae/pyerrors.h @@ -0,0 +1,45 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#pragma once +#include + +#include +#include +#include +#include + +const char *error_doc(); + +const char *error_doc_name(); + +const char *error_doc_can_clear(); + +const char *error_doc_read_value(); + +const char *error_doc_errors(); + +std::vector error_errors( + opae::fpga::types::token::ptr_t tok); diff --git a/opae-libs/pyopae/pyevents.cpp b/opae-libs/pyopae/pyevents.cpp new file mode 100644 index 0000000..02ed26a --- /dev/null +++ b/opae-libs/pyopae/pyevents.cpp @@ -0,0 +1,67 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#include "pyevents.h" +namespace py = pybind11; +using opae::fpga::types::event; + +const char *event_doc() { + return R"opaedoc( + event object are used to register for OPAE events. + Currently, the event types are: + EVENT_ERROR + EVENT_INTERRUPT + EVENT_POWER_THERMAL + )opaedoc"; +} + +const char *event_doc_register_event() { + return R"opaedoc( + Factory method used to create events registered to a particular event type. + + Args: + handle: A handle to an OPAE resource. + type(fpga_event_type): The event type to register for. Valid event types are: + EVENT_ERROR, EVENT_INTERRUPT, EVENT_POWER_THERMAL + flags: Event registration flags that control registration behavior. + )opaedoc"; +} + +opae::fpga::types::event::ptr_t event_register_event( + opae::fpga::types::handle::ptr_t hndl, fpga_event_type type, int flags) { + return event::register_event(hndl, type, flags); +} + +const char *event_doc_os_object() { + return R"opaedoc( + Get an OS specific object from the event which can be used to subscribe for + events. On Linux, the object corresponds to a file descriptor that can be + used with select/poll/epoll calls. + )opaedoc"; +} + +int event_os_object(opae::fpga::types::event::ptr_t evnt) { + return evnt->os_object(); +} diff --git a/opae-libs/pyopae/pyevents.h b/opae-libs/pyopae/pyevents.h new file mode 100644 index 0000000..7002553 --- /dev/null +++ b/opae-libs/pyopae/pyevents.h @@ -0,0 +1,40 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#pragma once +#include + +#include +#include + +const char *event_doc(); + +const char *event_doc_register_event(); +opae::fpga::types::event::ptr_t event_register_event( + opae::fpga::types::handle::ptr_t hndl, fpga_event_type type, int flags); + +const char *event_doc_os_object(); +int event_os_object(opae::fpga::types::event::ptr_t evnt); + diff --git a/opae-libs/pyopae/pyhandle.cpp b/opae-libs/pyopae/pyhandle.cpp new file mode 100644 index 0000000..6f3e38d --- /dev/null +++ b/opae-libs/pyopae/pyhandle.cpp @@ -0,0 +1,177 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTOR."AS ." +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#include +#include "pyhandle.h" +#include "pycontext.h" +#include + +namespace py = pybind11; +using opae::fpga::types::handle; +using opae::fpga::types::token; + +const char *handle_doc_open() { + return R"opaedoc( + Create a new handle object from a token. + )opaedoc"; +} + +handle::ptr_t handle_open(token::ptr_t tok, int flags) { + return handle::open(tok, flags); +} + +const char *handle_doc_reconfigure() { + return R"opaedoc( + Reconfigure an accelerator resource. By default, an attempt will be + made to open the accelerator in exclusive mode which will result in + an exception being thrown if that accelerator is currently in use. + Use FPGA_RECONF_FORCE to bypass this behavior and program the GBS. + Args: + slot: The slot number to program. + fd(file): The file object obtained by openeing the GBS file. + flags: Flags that control behavior of reconfiguration. + Value of 0 indicates no flags. FPGA_RECONF_FORCE indicates + that the bitstream be programmed into the slot without + checking if the resource is currently in use. + + )opaedoc"; +} + +void handle_reconfigure(handle::ptr_t handle, uint32_t slot, py::object file, + int flags) { + PyObject *obj = file.ptr(); +#if PY_MAJOR_VERSION == 3 + int fd = PyObject_AsFileDescriptor(obj); + FILE *fp = fdopen(fd, "r"); +#else + if (!PyFile_Check(obj)) { + throw std::invalid_argument("fd argument is not a file object"); + } + FILE *fp = PyFile_AsFile(obj); +#endif + if (!fp) { + throw std::runtime_error("could not convert fd to FILE*"); + } + // PyFile_IncUseCount(obj); + // is fd object already holding a reference count while in this function? + fseek(fp, 0L, SEEK_END); + size_t size = ftell(fp); + fseek(fp, 0L, SEEK_SET); + std::vector buffer(size); + if (!fread(buffer.data(), size, 1, fp)) { + fclose(fp); + throw std::runtime_error("error reading from file object"); + } + fclose(fp); + handle->reconfigure(slot, reinterpret_cast(buffer.data()), + size, flags); +} + +const char *handle_doc_valid() { + return R"opaedoc( + "Boolean protocol to test if a handle is open or not." + )opaedoc"; +} + +bool handle_valid(opae::fpga::types::handle::ptr_t handle) { + return *handle != nullptr; +} + +const char *handle_doc_context_enter() { + return R"opaedoc( + Context manager protocol enter function. + Simply returns the handle object. + )opaedoc"; +} + +handle::ptr_t handle_context_enter(handle::ptr_t hnd) { + buffer_registry::instance().register_handle(hnd); + return hnd; +} + +const char *handle_doc_context_exit() { + return R"opaedoc( + Context manager protocol exit function. + Closes the resource identified by this handle and currently does nothing with the exit arguments. + )opaedoc"; +} + +void handle_context_exit(opae::fpga::types::handle::ptr_t hnd, py::args args) { + // TODO: Use args for logging exceptions + (void)args; + buffer_registry::instance().unregister_handle(hnd); + hnd->close(); +} + +const char *handle_doc_close() { + return R"opaedoc( + "Close an accelerator associated with handle." + )opaedoc"; +} + +const char *handle_doc_reset() { + return R"opaedoc( + Reset the accelerator associated with this handle. + The accelerator must be opened. + )opaedoc"; +} + +const char *handle_doc_read_csr32() { + return R"opaedoc( + Read 32 bits from a CSR belonging to a resource associated with a handle. + Args: + offset: The register offset. + csr_space: The CSR space to read from. Default is 0. + )opaedoc"; +} + +const char *handle_doc_read_csr64() { + return R"opaedoc( + Read 64 bits from a CSR belonging to a resource associated with a handle. + Args: + offset: The register offset. + csr_space: The CSR space to read from. Default is 0. + )opaedoc"; +} + +const char *handle_doc_write_csr32() { + return R"opaedoc( + Write 32 bits to a CSR belonging to a resource associated with a handle. + Args: + offset: The register offset. + value: The 32-bit value to write to the register. + csr_space: The CSR space to write from. Default is 0. + )opaedoc"; +} + +const char *handle_doc_write_csr64() { + return R"opaedoc( + Write 64 bits to a CSR belonging to a resource associated with a handle. + Args: + offset: The register offset. + value: The 64-bit value to write to the register. + csr_space: The CSR space to write from. Default is 0. + )opaedoc"; +} diff --git a/opae-libs/pyopae/pyhandle.h b/opae-libs/pyopae/pyhandle.h new file mode 100644 index 0000000..1e76254 --- /dev/null +++ b/opae-libs/pyopae/pyhandle.h @@ -0,0 +1,54 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#pragma once +#include + +#include +#include + +const char *handle_doc_open(); +opae::fpga::types::handle::ptr_t handle_open( + opae::fpga::types::token::ptr_t tok, int flags = 0); + +const char *handle_doc_reconfigure(); +void handle_reconfigure(opae::fpga::types::handle::ptr_t handle, uint32_t slot, + pybind11::object, int flags); + +const char *handle_doc_valid(); +bool handle_valid(opae::fpga::types::handle::ptr_t handle); + +const char *handle_doc_context_enter(); +opae::fpga::types::handle::ptr_t handle_context_enter(opae::fpga::types::handle::ptr_t hnd); +const char *handle_doc_context_exit(); +void handle_context_exit(opae::fpga::types::handle::ptr_t hnd, pybind11::args args); + +const char *handle_doc_close(); +const char *handle_doc_reset(); +const char *handle_doc_read_csr32(); +const char *handle_doc_read_csr64(); +const char *handle_doc_write_csr32(); +const char *handle_doc_write_csr64(); + diff --git a/opae-libs/pyopae/pyproperties.cpp b/opae-libs/pyopae/pyproperties.cpp new file mode 100644 index 0000000..ad3cc8b --- /dev/null +++ b/opae-libs/pyopae/pyproperties.cpp @@ -0,0 +1,507 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include "pyproperties.h" +#include + +namespace py = pybind11; +using opae::fpga::types::properties; +using opae::fpga::types::token; + +static inline fpga_version pytuple_to_fpga_version(py::tuple tpl) { + fpga_version version{ + .major = tpl[0].cast(), + .minor = tpl[1].cast(), + .patch = tpl[2].cast(), + }; + return version; +} + +const char *properties_doc() { + return R"opaedoc( + properties class is a container class for OPAE resource properties. + )opaedoc"; +} + +const char *properties_doc_get() { + return R"opaedoc( + Create a new properties object. If kwargs is not included then the + properties object is created with no property values set. + If one of the kwargs keys is an OPAE property name then the kwargs + value is used to initialize the corresponding value in the + properties object. + + Kwargs: + + parent (token): Token object representing parent resource. + + guid (str): GUID (as a string) of the resource. + + type (fpga_objtype): The object type - DEVICE or ACCELERATOR. + + segment (uint16_t) : The PCIe segment (or domain) number. + + bus (uint8_t) : The PCIe bus number. + + device (uint8_t) : The PCIe device number. + + function (uint8_t) : The PCIe function number. + + socket_id (uint8_t): The socket ID encoded in the FIM. + + num_slots (uint32_t): Number of slots available in the FPGA. + + num_errors (uint32_t): Number of error registers in the resource. + + bbs_id (uint64_t): The BBS ID encoded in the FIM. + + bbs_version (tuple): The version of the BBS. + + vendor_id (uint16_t): The vendor ID in PCI config space. + + device_id (uint16_t): The device ID in PCI config space. + + model (str): The model of the FPGA. + + local_memory_size (uint64_t): The size (in bytes) of the FPGA local memory. + + num_mmio (uint32_t): The number of mmio spaces. + + num_interrupts (uint32_t): The number of interrupts supported by an accelerator. + + accelerator_state (fpga_accelerator_state): The state of the accelerator - ASSIGNED or UNASSIGNED. + + object_id (uint64_t): The 64-bit number unique within a single node or system. + + )opaedoc"; +} +properties::ptr_t properties_get(py::kwargs kwargs) { + auto props = properties::get(); + // if kwargs is empty, return a new (empty) properties object + if (!kwargs) { + return props; + } + + if (kwargs.contains("parent")) { + props->parent = *kwargs["parent"].cast(); + } + + if (kwargs.contains("guid")) { + props->guid.parse(kwargs["guid"].cast().c_str()); + } + + kwargs_to_props(props->type, kwargs, "type"); + kwargs_to_props(props->segment, kwargs, "segment"); + kwargs_to_props(props->bus, kwargs, "bus"); + kwargs_to_props(props->device, kwargs, "device"); + kwargs_to_props(props->function, kwargs, "function"); + kwargs_to_props(props->socket_id, kwargs, "socket_id"); + kwargs_to_props(props->num_errors, kwargs, "num_errors"); + kwargs_to_props(props->num_slots, kwargs, "num_slots"); + kwargs_to_props(props->bbs_id, kwargs, "bbs_id"); + + if (kwargs.contains("bbs_version")) { + py::tuple version_tuple = kwargs["bbs_version"].cast(); + props->bbs_version = pytuple_to_fpga_version(version_tuple); + } + kwargs_to_props(props->vendor_id, kwargs, "vendor_id"); + kwargs_to_props(props->device_id, kwargs, "device_id"); + + if (kwargs.contains("model")) { + props->model = + const_cast(kwargs["model"].cast().c_str()); + } + + kwargs_to_props(props->local_memory_size, kwargs, + "local_memory_size"); + kwargs_to_props(props->capabilities, kwargs, "capabilities"); + kwargs_to_props(props->num_mmio, kwargs, "num_mmio"); + kwargs_to_props(props->num_interrupts, kwargs, "num_interrupts"); + kwargs_to_props(props->accelerator_state, kwargs, + "accelerator_state"); + kwargs_to_props(props->object_id, kwargs, "object_id"); + + return props; +} + +const char *properties_doc_get_token() { + return R"opaedoc( + Get properties from a token object. + Args: + tok (token): The token to read properties from. + )opaedoc"; +} + +opae::fpga::types::properties::ptr_t properties_get_token( + opae::fpga::types::token::ptr_t tok) { + return properties::get(tok); +} + +const char *properties_doc_get_handle() { + return R"opaedoc( + Get properties from a handle object. + Args: + h (handle): The handle to read properties from. + )opaedoc"; +} + +opae::fpga::types::properties::ptr_t properties_get_handle( + opae::fpga::types::handle::ptr_t h) { + return properties::get(h); +} + +const char *properties_doc_parent() { + return R"opaedoc( + Get or set the token representing a parent object of a resource. + The resource must be of type ACCELERATOR + )opaedoc"; +} + +token::ptr_t properties_get_parent(properties::ptr_t props) { + auto token_struct = props->parent; + auto parent_props = properties::get(token_struct); + auto tokens = token::enumerate({parent_props}); + return tokens[0]; +} + +void properties_set_parent(properties::ptr_t props, token::ptr_t parent) { + props->parent = *parent; +} + +// guid +const char *properties_doc_guid() { + return R"opaedoc( + Get or set the guid property of a resource as a string. + )opaedoc"; +} + +std::string properties_get_guid(properties::ptr_t props) { + std::stringstream ss; + ss << props->guid; + return ss.str(); +} + +void properties_set_guid(properties::ptr_t props, const std::string &guid_str) { + props->guid.parse(guid_str.c_str()); +} + +// object type +const char *properties_doc_type() { + return R"opaedoc( + Get or set the type property of a resource. The type must be + either DEVICE or ACCELERATOR + )opaedoc"; +} + +fpga_objtype properties_get_type(properties::ptr_t props) { + return props->type; +} + +void properties_set_type(properties::ptr_t props, fpga_objtype type) { + props->type = type; +} +// pcie segment +const char *properties_doc_segment() { + return R"opaedoc( + Get or set the PCIe segment property of a resource. + )opaedoc"; +} + +uint16_t properties_get_segment(properties::ptr_t props) { + return props->segment; +} + +void properties_set_segment(properties::ptr_t props, uint16_t segment) { + props->segment = segment; +} + +// pcie bus +const char *properties_doc_bus() { + return R"opaedoc( + Get or set the PCIe bus property of a resource. + )opaedoc"; +} + +uint8_t properties_get_bus(properties::ptr_t props) { return props->bus; } + +void properties_set_bus(properties::ptr_t props, uint8_t bus) { + props->bus = bus; +} + +// pcie device +const char *properties_doc_device() { + return R"opaedoc( + Get or set the PCIe device property of a resource. + )opaedoc"; +} + +uint8_t properties_get_device(properties::ptr_t props) { return props->device; } + +void properties_set_device(properties::ptr_t props, uint8_t device) { + props->device = device; +} + +// pcie function +const char *properties_doc_function() { + return R"opaedoc( + Get or set the PCIe function property of a resource. + )opaedoc"; +} + +uint8_t properties_get_function(properties::ptr_t props) { + return props->function; +} + +void properties_set_function(properties::ptr_t props, uint8_t function) { + props->function = function; +} + +// socket id +const char *properties_doc_socket_id() { + return R"opaedoc( + Get or set the Socket ID property of a resource. The socket id is + encoded in of the FIM CSRs + )opaedoc"; +} + +uint8_t properties_get_socket_id(properties::ptr_t props) { + return props->socket_id; +} + +void properties_set_socket_id(properties::ptr_t props, uint8_t socket_id) { + props->socket_id = socket_id; +} + +// object id +const char *properties_doc_object_id() { + return R"opaedoc( + Get or set the Object ID property of a resource. The object id is + a 64-bit identifier that is unique within a single node or system. + I represents a similar concept as the token but can be serialized + for use across processes + )opaedoc"; +} + +uint64_t properties_get_object_id(properties::ptr_t props) { + return props->object_id; +} + +void properties_set_object_id(properties::ptr_t props, uint64_t object_id) { + props->object_id = object_id; +} + +// num errors +const char *properties_doc_num_errors() { + return R"opaedoc( + Get or set the number of error registers in the resource. + )opaedoc"; +} + +uint32_t properties_get_num_errors(properties::ptr_t props) { + return props->num_errors; +} + +void properties_set_num_errors(properties::ptr_t props, uint32_t num_errors) { + props->num_errors = num_errors; +} + +// num slots +const char *properties_doc_num_slots() { + return R"opaedoc( + Get or set the number of slots property of a resource. + The resource must be of type DEVICE + )opaedoc"; +} + +uint32_t properties_get_num_slots(properties::ptr_t props) { + return props->num_slots; +} + +void properties_set_num_slots(properties::ptr_t props, uint32_t num_slots) { + props->num_slots = num_slots; +} + +// bbs id +const char *properties_doc_bbs_id() { + return R"opaedoc( + Get or set the BBS ID property of a resource. + The resource must be of type DEVICE + )opaedoc"; +} + +uint64_t properties_get_bbs_id(properties::ptr_t props) { + return props->bbs_id; +} + +void properties_set_bbs_id(properties::ptr_t props, uint64_t bbs_id) { + props->bbs_id = bbs_id; +} + +// bbs version +const char *properties_doc_bbs_version() { + return R"opaedoc( + Get or set the BBS version property of a resource. + The resource must be of type DEVICE + )opaedoc"; +} + +std::tuple properties_get_bbs_version( + properties::ptr_t props) { + fpga_version version = props->bbs_version; + return std::make_tuple(version.major, version.minor, version.patch); +} + +void properties_set_bbs_version(properties::ptr_t props, + py::tuple bbs_version) { + props->bbs_version = pytuple_to_fpga_version(bbs_version); +} + +// vendor id +const char *properties_doc_vendor_id() { + return R"opaedoc( + Get or set the vendor ID property of a resource. + The vendor ID is part of the PCI ID and is assigned by the + PCI SIG consortium. + )opaedoc"; +} + +uint32_t properties_get_vendor_id(properties::ptr_t props) { + return props->vendor_id; +} + +void properties_set_vendor_id(properties::ptr_t props, uint32_t vendor_id) { + props->vendor_id = vendor_id; +} + +// device id +const char *properties_doc_device_id() { + return R"opaedoc( + Get or set the device ID property of a resource. + The device ID is part of the PCI ID and is assigned by the + vendor. + )opaedoc"; +} + +uint32_t properties_get_device_id(properties::ptr_t props) { + return props->device_id; +} + +void properties_set_device_id(properties::ptr_t props, uint32_t device_id) { + props->device_id = device_id; +} + +// model +const char *properties_doc_model() { + return R"opaedoc( + Get or set the model property of a resource. + )opaedoc"; +} + +std::string properties_get_model(properties::ptr_t props) { + return props->model; +} + +void properties_set_model(properties::ptr_t props, char *model) { + props->model = model; +} + +// local memory size +const char *properties_doc_local_memory_size() { + return R"opaedoc( + Get or set the local memory size property of a resource. + )opaedoc"; +} + +uint64_t properties_get_local_memory_size(properties::ptr_t props) { + return props->local_memory_size; +} + +void properties_set_local_memory_size(properties::ptr_t props, uint64_t size) { + props->local_memory_size = size; +} + +// capabilities +const char *properties_doc_capabilities() { + return R"opaedoc( + Get or set the capabilities property of a resource. + This is taken directly from the capabilities CSR in the FIM. + )opaedoc"; +} + +uint64_t properties_get_capabilities(properties::ptr_t props) { + return props->capabilities; +} + +void properties_set_capabilities(properties::ptr_t props, uint64_t caps) { + props->capabilities = caps; +} + +// num mmio +const char *properties_doc_num_mmio() { + return R"opaedoc( + Get or set the number of mmio spaces in a resource. + )opaedoc"; +} + +uint32_t properties_get_num_mmio(properties::ptr_t props) { + return props->num_mmio; +} + +void properties_set_num_mmio(properties::ptr_t props, uint32_t num_mmio) { + props->num_mmio = num_mmio; +} + +// num interrupts +const char *properties_doc_num_interrupts() { + return R"opaedoc( + Get or set the number of interrupt vectors supported by a resource. + )opaedoc"; +} +uint32_t properties_get_num_interrupts(properties::ptr_t props) { + return props->num_interrupts; +} +void properties_set_num_interrupts(properties::ptr_t props, + uint32_t num_interrupts) { + props->num_interrupts = num_interrupts; +} + +// accelerator state +const char *properties_doc_accelerator_state() { + return R"opaedoc( + Get or set the state of an accelerator. + The accelerator state is of type fpga_accelerator_state. + )opaedoc"; +} + +fpga_accelerator_state properties_get_accelerator_state( + properties::ptr_t props) { + return props->accelerator_state; +} + +void properties_set_accelerator_state(properties::ptr_t props, + fpga_accelerator_state state) { + props->accelerator_state = state; +} diff --git a/opae-libs/pyopae/pyproperties.h b/opae-libs/pyopae/pyproperties.h new file mode 100644 index 0000000..71a37b6 --- /dev/null +++ b/opae-libs/pyopae/pyproperties.h @@ -0,0 +1,165 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#pragma once +#include + +#include +#include +#include +#include +#include +#include + +template +static inline void kwargs_to_props(opae::fpga::types::pvalue &prop, + pybind11::kwargs kwargs, const char *key) { + if (kwargs.contains(key)) { + prop = kwargs[key].cast(); + } +} + +const char *properties_doc(); +const char *properties_doc_get(); +opae::fpga::types::properties::ptr_t properties_get(pybind11::kwargs kwargs); + +const char *properties_doc_get_token(); +opae::fpga::types::properties::ptr_t properties_get_token( + opae::fpga::types::token::ptr_t tok); + +const char *properties_doc_get_handle(); +opae::fpga::types::properties::ptr_t properties_get_handle( + opae::fpga::types::handle::ptr_t hndl); + +const char *properties_doc_parent(); +opae::fpga::types::token::ptr_t properties_get_parent( + opae::fpga::types::properties::ptr_t props); +void properties_set_parent(opae::fpga::types::properties::ptr_t props, + opae::fpga::types::token::ptr_t parent); + +const char *properties_doc_guid(); +std::string properties_get_guid(opae::fpga::types::properties::ptr_t props); +void properties_set_guid(opae::fpga::types::properties::ptr_t props, + const std::string &guid_str); + +const char *properties_doc_type(); +fpga_objtype properties_get_type(opae::fpga::types::properties::ptr_t props); +void properties_set_type(opae::fpga::types::properties::ptr_t props, + fpga_objtype type); + +const char *properties_doc_segment(); +uint16_t properties_get_segment(opae::fpga::types::properties::ptr_t props); +void properties_set_segment(opae::fpga::types::properties::ptr_t props, + uint16_t segment); + +const char *properties_doc_bus(); +uint8_t properties_get_bus(opae::fpga::types::properties::ptr_t props); +void properties_set_bus(opae::fpga::types::properties::ptr_t props, + uint8_t bus); + +const char *properties_doc_device(); +uint8_t properties_get_device(opae::fpga::types::properties::ptr_t props); +void properties_set_device(opae::fpga::types::properties::ptr_t props, + uint8_t device); + +const char *properties_doc_function(); +uint8_t properties_get_function(opae::fpga::types::properties::ptr_t props); +void properties_set_function(opae::fpga::types::properties::ptr_t props, + uint8_t function); + +const char *properties_doc_socket_id(); +uint8_t properties_get_socket_id(opae::fpga::types::properties::ptr_t props); +void properties_set_socket_id(opae::fpga::types::properties::ptr_t props, + uint8_t socket_id); + +const char *properties_doc_object_id(); +uint64_t properties_get_object_id(opae::fpga::types::properties::ptr_t props); +void properties_set_object_id(opae::fpga::types::properties::ptr_t props, + uint64_t object_id); + +const char *properties_doc_num_errors(); +uint32_t properties_get_num_errors(opae::fpga::types::properties::ptr_t props); +void properties_set_num_errors(opae::fpga::types::properties::ptr_t props, + uint32_t num_errors); + +const char *properties_doc_num_slots(); +uint32_t properties_get_num_slots(opae::fpga::types::properties::ptr_t props); +void properties_set_num_slots(opae::fpga::types::properties::ptr_t props, + uint32_t num_slots); + +const char *properties_doc_bbs_id(); +uint64_t properties_get_bbs_id(opae::fpga::types::properties::ptr_t props); +void properties_set_bbs_id(opae::fpga::types::properties::ptr_t props, + uint64_t bbs_id); + +const char *properties_doc_bbs_version(); +std::tuple properties_get_bbs_version( + opae::fpga::types::properties::ptr_t props); +void properties_set_bbs_version(opae::fpga::types::properties::ptr_t props, + pybind11::tuple bbs_version); + +const char *properties_doc_vendor_id(); +uint32_t properties_get_vendor_id(opae::fpga::types::properties::ptr_t props); +void properties_set_vendor_id(opae::fpga::types::properties::ptr_t props, + uint32_t vendor_id); + +const char *properties_doc_device_id(); +uint32_t properties_get_device_id(opae::fpga::types::properties::ptr_t props); +void properties_set_device_id(opae::fpga::types::properties::ptr_t props, + uint32_t device_id); + +const char *properties_doc_model(); +std::string properties_get_model(opae::fpga::types::properties::ptr_t props); +void properties_set_model(opae::fpga::types::properties::ptr_t props, + char *model); + +const char *properties_doc_local_memory_size(); +uint64_t properties_get_local_memory_size( + opae::fpga::types::properties::ptr_t props); +void properties_set_local_memory_size( + opae::fpga::types::properties::ptr_t props, uint64_t size); + +const char *properties_doc_capabilities(); +uint64_t properties_get_capabilities( + opae::fpga::types::properties::ptr_t props); +void properties_set_capabilities(opae::fpga::types::properties::ptr_t props, + uint64_t caps); + +const char *properties_doc_num_mmio(); +uint32_t properties_get_num_mmio(opae::fpga::types::properties::ptr_t props); +void properties_set_num_mmio(opae::fpga::types::properties::ptr_t props, + uint32_t num_mmio); + +const char *properties_doc_num_interrupts(); +uint32_t properties_get_num_interrupts( + opae::fpga::types::properties::ptr_t props); +void properties_set_num_interrupts(opae::fpga::types::properties::ptr_t props, + uint32_t num_interrupts); + +const char *properties_doc_accelerator_state(); +fpga_accelerator_state properties_get_accelerator_state( + opae::fpga::types::properties::ptr_t props); +void properties_set_accelerator_state( + opae::fpga::types::properties::ptr_t props, fpga_accelerator_state state); diff --git a/opae-libs/pyopae/pyshared_buffer.cpp b/opae-libs/pyopae/pyshared_buffer.cpp new file mode 100644 index 0000000..0d698c4 --- /dev/null +++ b/opae-libs/pyopae/pyshared_buffer.cpp @@ -0,0 +1,225 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#include "pyshared_buffer.h" +#include +#include "pycontext.h" + +namespace py = pybind11; +using opae::fpga::types::shared_buffer; +using opae::fpga::types::handle; + +const char *shared_buffer_doc() { + return R"opaedoc( + shared_buffer represents a system memory buffer that can be shared with the accelerator. + It implements the Python buffer protocol and can be converted to a native bytearray object. + )opaedoc"; +} + +const char *shared_buffer_doc_allocate() { + return R"opaedoc( + shared_buffer factory method - allocate a shared buffer object. + Args: + handle: An accelerator handle object that identifies an open accelerator + obect to share the buffer with. + len: The length in bytes of the requested buffer. + )opaedoc"; +} + +shared_buffer::ptr_t shared_buffer_allocate(handle::ptr_t hndl, size_t size) { + auto buf = shared_buffer::allocate(hndl, size); + buffer_registry::instance().add_buffer(hndl, buf); + return buf; +} + +const char *shared_buffer_doc_size() { + return R"opaedoc( + Get the length of the buffer in bytes. + )opaedoc"; +} + +const char *shared_buffer_doc_wsid() { + return R"opaedoc( + Get the underlying buffer's workspace ID. + )opaedoc"; +} + +const char *shared_buffer_doc_io_address() { + return R"opaedoc( + Get the address of the buffer suitable for programming into the + accelerator device. + )opaedoc"; +} + +const char *shared_buffer_doc_fill() { + return R"opaedoc( + Fill the buffer with a given value. + + Args: + value: The value to use when filling the buffer. + )opaedoc"; +} + +const char *shared_buffer_doc_compare() { + return R"opaedoc( + Compare this shared_buffer (the first len bytes) object with another one. + Returns 0 if the two buffers (up to len) are equal. + )opaedoc"; +} + +const char *shared_buffer_doc_getitem() { + return R"opaedoc( + Get the byte at the given offset. + )opaedoc"; +} + +uint8_t shared_buffer_getitem(shared_buffer::ptr_t buf, uint32_t offset) { + return *(buf->c_type() + offset); +} + +const char *shared_buffer_doc_setitem() { + return R"opaedoc( + Set the bytes at the given offset using all bytes in the argument. + )opaedoc"; +} + +void shared_buffer_setitem(opae::fpga::types::shared_buffer::ptr_t buf, + uint32_t offset, pybind11::int_ item) { + int *ptr = + reinterpret_cast(const_cast(buf->c_type() + offset)); + *ptr = item.cast(); +} + +const char *shared_buffer_doc_getslice() { + return R"opaedoc( + Get a slice of the bytes as determined by the slice arguments ([start:stop:step]) + Args: + start: start offset of buffer + stop: end offset of the buffer (not incusive) + step: step offset + + NOTE: This current implementation copies the data into a new list. + )opaedoc"; +} + +py::list shared_buffer_getslice(shared_buffer::ptr_t buf, py::slice slice) { + size_t start, stop, step, length; + if (!slice.compute(buf->size(), &start, &stop, &step, &length)) + throw py::error_already_set(); + py::list list; + for (size_t i = start; i < stop; i += step) { + list.append(*(buf->c_type() + i)); + } + return list; +} + +const char *shared_buffer_doc_read32() { + return R"opaedoc( + Cast the memory at the given offset into a 32-bit integer + )opaedoc"; +} + +const char *shared_buffer_doc_read64() { + return R"opaedoc( + Cast the memory at the given offset into a 64-bit integer + )opaedoc"; +} + +const char *shared_buffer_doc_write32() { + return R"opaedoc( + Write a 32-bit integer at the memory at the given offset + )opaedoc"; +} + +const char *shared_buffer_doc_write64() { + return R"opaedoc( + Write a 64-bit integer at the memory at the given offset + )opaedoc"; +} + +const char *shared_buffer_doc_copy() { + return R"opaedoc( + Copy the given number of bytes from the current buffer to the buffer in the argument. + )opaedoc"; +} + +void shared_buffer_copy(shared_buffer::ptr_t self, shared_buffer::ptr_t other, + size_t size) { + uint8_t *src = const_cast(self->c_type()); + uint8_t *dst = const_cast(other->c_type()); + + std::copy(src, src + (size ? size : self->size()), dst); +} + +const char *shared_buffer_doc_split() { + return R"opaedoc( + Split the buffer into other shared_buffer objects. + The arguments to this method make up a list of sizes to use when splitting the buffer. + For example, say a shared_buffer object is 1024 bytes and split is called with sizes + 256, 256, 512 then the result is a list of shared_buffer objects with those sizes + respectively. + )opaedoc"; +} + +class split_buffer : public shared_buffer { + public: + typedef std::shared_ptr ptr_t; + split_buffer(const split_buffer &) = delete; + split_buffer &operator=(const split_buffer &) = delete; + + split_buffer(shared_buffer::ptr_t parent, size_t len, uint8_t *virt, + uint64_t wsid, uint64_t io_address) + : shared_buffer(nullptr, len, virt, wsid, io_address), parent_(parent) {} + + virtual ~split_buffer() { parent_.reset(); } + + private: + shared_buffer::ptr_t parent_; +}; + +std::vector shared_buffer_split(shared_buffer::ptr_t buf, + py::args args) { + std::vector buffers; + if (!args || py::len(args) == 1) { + buffers.push_back(buf); + } else { + size_t offset = 0; + uint8_t *virt = const_cast(buf->c_type()); + auto wsid = buf->wsid(); + auto io_address = buf->io_address(); + for (auto a : args) { + auto len = a.cast(); + if (offset + len > buf->size()) { + throw std::invalid_argument("buffer not big enough to split this way"); + } + buffers.push_back( + std::make_shared(buf, len, virt, wsid, io_address)); + offset += len; + io_address += len; + virt += len; + } + } + return buffers; +} diff --git a/opae-libs/pyopae/pyshared_buffer.h b/opae-libs/pyopae/pyshared_buffer.h new file mode 100644 index 0000000..16ee2ce --- /dev/null +++ b/opae-libs/pyopae/pyshared_buffer.h @@ -0,0 +1,91 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#pragma once +#include +#include +#include +#include +#include "pyhandle.h" + +const char *shared_buffer_doc(); + +const char *shared_buffer_doc_allocate(); +opae::fpga::types::shared_buffer::ptr_t shared_buffer_allocate( + opae::fpga::types::handle::ptr_t hndl, size_t size); +const char *shared_buffer_doc_size(); + +const char *shared_buffer_doc_wsid(); + +const char *shared_buffer_doc_io_address(); + +const char *shared_buffer_doc_fill(); + +const char *shared_buffer_doc_compare(); + +const char *shared_buffer_doc_getitem(); +uint8_t shared_buffer_getitem(opae::fpga::types::shared_buffer::ptr_t buf, + uint32_t offset); + +const char *shared_buffer_doc_setitem(); +void shared_buffer_setitem(opae::fpga::types::shared_buffer::ptr_t buf, + uint32_t offset, pybind11::int_ item); + +const char *shared_buffer_doc_getslice(); +pybind11::list shared_buffer_getslice( + opae::fpga::types::shared_buffer::ptr_t buf, pybind11::slice slice); + +const char *shared_buffer_doc_read32(); +const char *shared_buffer_doc_read64(); +const char *shared_buffer_doc_write32(); +const char *shared_buffer_doc_write64(); +const char *shared_buffer_doc_copy(); +void shared_buffer_copy(opae::fpga::types::shared_buffer::ptr_t self, + opae::fpga::types::shared_buffer::ptr_t other, + size_t size); +const char *shared_buffer_doc_split(); +std::vector shared_buffer_split( + opae::fpga::types::shared_buffer::ptr_t buf, pybind11::args args); + +template +bool shared_buffer_poll(opae::fpga::types::shared_buffer::ptr_t self, + size_t offset, T value, T mask = 0, + uint64_t timeout_usec = 1000) { + using hrc = std::chrono::high_resolution_clock; + auto ptr = self->c_type(); + auto begin = hrc::now(); + std::chrono::microseconds timeout(timeout_usec); + if (!mask) { + mask = ~mask; + } + + while ((*reinterpret_cast(ptr + offset) & mask) != value) { + if (std::chrono::duration_cast( + hrc::now() - begin) >= timeout) { + return false; + } + } + return true; +} diff --git a/opae-libs/pyopae/pysysobject.cpp b/opae-libs/pyopae/pysysobject.cpp new file mode 100644 index 0000000..d9dbd9a --- /dev/null +++ b/opae-libs/pyopae/pysysobject.cpp @@ -0,0 +1,159 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTOR."AS ." +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#include "pysysobject.h" + +using namespace opae::fpga::types; +namespace py = pybind11; + +const char *sysobject_doc() { + return R"doc( + Wraps the OPAE fpga_object primitive as a Python object. + )doc"; +} + +const char *sysobject_doc_token_get() { + return R"doc( + Get a sysobject instance from a valid token object. + )doc"; +} + +const char *sysobject_doc_handle_get() { + return R"doc( + Get a sysobject instance from a valid handle object. + )doc"; +} + +const char *sysobject_doc_object_get() { + return R"doc( + Get a sysobject instance from a valid sysobject. + The parent sysobject must be a container type object. + )doc"; +} + +const char *sysobject_doc_token_find() { + return R"doc( + Find a sysobject instance from a valid token object. + Args: + flags: Flags that control behavior of finding sub-objects. + SYSOBJECT_GLOB is used to indicate that wildcard patterns (*) are allowed. + SYSOBJECT_RECURSE_ONE is used to indicate that the find routine should recurse one level. + SYSOBJECT_RECURSE_ALL is used to indicate that the find routine should recurse to all children. + )doc"; +} + +const char *sysobject_doc_handle_find() { + return R"doc( + Find a sysobject instance from a valid handle object. + )doc"; +} + +const char *sysobject_doc_object_find() { + return R"doc( + Find a sysobject instance from a valid sysobject. + The parent sysobject must be a container type object. + )doc"; +} + +const char *sysobject_doc_bytes() { + return R"doc( + Get bytes from the sysobject. + Raises `RuntimeError` if the sysobject instance is a container type. + )doc"; +} + +const char *sysobject_doc_getitem() { + return R"doc( + Get a byte from the sysobject at a given index. + Raises `RuntimeError` if the sysobject instance is a container type. + )doc"; +} + +const char *sysobject_doc_getslice() { + return R"doc( + Get a slice of bytes from the sysobject at a given offset. + Raises `RuntimeError` if the sysobject instance is a container type. + )doc"; +} + +sysobject::ptr_t token_get_sysobject(token::ptr_t tok, const std::string &name) { + return sysobject::get(tok, name, 0); +} + +sysobject::ptr_t handle_get_sysobject(handle::ptr_t h, const std::string &name) { + return sysobject::get(h, name, 0); +} + +sysobject::ptr_t sysobject_get_sysobject(sysobject::ptr_t o, const std::string &name) { + return o->get(name, 0); +} + +sysobject::ptr_t sysobject_get_sysobject(sysobject::ptr_t o, int i) { + return o->get(i); +} + +sysobject::ptr_t token_find_sysobject(token::ptr_t tok, const std::string &name, + int flags) { + return sysobject::get(tok, name, flags); +} + +sysobject::ptr_t handle_find_sysobject(handle::ptr_t h, const std::string &name, + int flags) { + return sysobject::get(h, name, flags); +} + +sysobject::ptr_t sysobject_find_sysobject(sysobject::ptr_t o, const std::string &name, + int flags) { + return o->get(name, flags); +} + +std::string sysobject_bytes(sysobject::ptr_t obj) { + auto bytes = obj->bytes(FPGA_OBJECT_SYNC); + return std::string(bytes.begin(), bytes.end()); +} + +py::object sysobject_getitem(sysobject::ptr_t obj, uint32_t offset) { + switch(obj->type()) { + case FPGA_OBJECT_ATTRIBUTE: + return py::cast(obj->bytes(offset, 1, FPGA_OBJECT_SYNC)[0]); + break; + case FPGA_OBJECT_CONTAINER: + return py::cast(obj->get(offset)); + break; + } + return py::object(); +} + +std::string sysobject_getslice(sysobject::ptr_t obj, py::slice slice) { + size_t start, stop, step, length; + if (!slice.compute(obj->size(), &start, &stop, &step, &length)) + throw py::error_already_set(); + auto bytes = obj->bytes(FPGA_OBJECT_SYNC); + std::string buf('\0', bytes.size()); + for (size_t i = start, j = 0; i < stop; i += step, ++j) { + buf[j] = bytes[i]; + } + return buf; +} diff --git a/opae-libs/pyopae/pysysobject.h b/opae-libs/pyopae/pysysobject.h new file mode 100644 index 0000000..18e1251 --- /dev/null +++ b/opae-libs/pyopae/pysysobject.h @@ -0,0 +1,63 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#pragma once +#include +#include +#include +#include +#include + +const char *sysobject_doc(); +const char *sysobject_doc_token_get(); +const char *sysobject_doc_handle_get(); +const char *sysobject_doc_object_get(); +const char *sysobject_doc_token_find(); +const char *sysobject_doc_handle_find(); +const char *sysobject_doc_object_find(); +const char *sysobject_doc_bytes(); +const char *sysobject_doc_getitem(); +const char *sysobject_doc_getslice(); + +opae::fpga::types::sysobject::ptr_t token_get_sysobject( + opae::fpga::types::token::ptr_t tok, const std::string &name); +opae::fpga::types::sysobject::ptr_t handle_get_sysobject( + opae::fpga::types::handle::ptr_t tok, const std::string &name); +opae::fpga::types::sysobject::ptr_t sysobject_get_sysobject( + opae::fpga::types::sysobject::ptr_t tok, const std::string &name); +opae::fpga::types::sysobject::ptr_t token_find_sysobject( + opae::fpga::types::token::ptr_t tok, const std::string &name, + int flags = 0); +opae::fpga::types::sysobject::ptr_t handle_find_sysobject( + opae::fpga::types::handle::ptr_t tok, const std::string &name, + int flags = 0); +opae::fpga::types::sysobject::ptr_t sysobject_find_sysobject( + opae::fpga::types::sysobject::ptr_t tok, const std::string &name, + int flags = 0); +std::string sysobject_bytes(opae::fpga::types::sysobject::ptr_t obj); +pybind11::object sysobject_getitem(opae::fpga::types::sysobject::ptr_t obj, + uint32_t offset); +std::string sysobject_getslice(opae::fpga::types::sysobject::ptr_t obj, + pybind11::slice slice); diff --git a/opae-libs/pyopae/pytoken.cpp b/opae-libs/pyopae/pytoken.cpp new file mode 100644 index 0000000..04fd880 --- /dev/null +++ b/opae-libs/pyopae/pytoken.cpp @@ -0,0 +1,67 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTOR."AS ." +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include "pytoken.h" + +#include "pyproperties.h" + +namespace py = pybind11; +using opae::fpga::types::token; + +const char *token_doc() { + return R"opaedoc( + Token for referencing an OPAE resource. + + A token object serves as a reference so a specific resource in the system. + Holding a token does not constitute ownership of an OPAE resource. + It is used to query information about a resource, + or to acquire ownership by calling fpga.open module method. + )opaedoc"; +} + +const char *token_doc_enumerate() { + return R"opaedoc( + Get a list of tokens for the given search criteria. + + Args: + + props(list): A list of properties objects that define the search criteria. + All OPAE properties in each properties object make up one filter. + All properties objects are combined in a union. + )opaedoc"; +} + +const char *token_doc_enumerate_kwargs() { + return R"opaedoc( + Get a list of tokens from zero or one filters as defined by kwargs. + If kwargs is empty, then no filter is used. + If kwargs is not empty, then one properties object is created using the kwargs. + )opaedoc"; +} + +std::vector token_enumerate_kwargs(py::kwargs kwargs) { + return token::enumerate({properties_get(kwargs)}); +}; diff --git a/opae-libs/pyopae/pytoken.h b/opae-libs/pyopae/pytoken.h new file mode 100644 index 0000000..7b4fa22 --- /dev/null +++ b/opae-libs/pyopae/pytoken.h @@ -0,0 +1,37 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#pragma once +#include + +#include +#include +#include + +const char *token_doc(); +const char *token_doc_enumerate(); +const char *token_doc_enumerate_kwargs(); + +std::vector token_enumerate_kwargs(pybind11::kwargs kwargs); diff --git a/opae-libs/pyopae/setup.py b/opae-libs/pyopae/setup.py new file mode 100644 index 0000000..4932ccc --- /dev/null +++ b/opae-libs/pyopae/setup.py @@ -0,0 +1,98 @@ +# Copyright(c) 2018, Intel Corporation +# +# Redistribution and use in source and binary forms, with or without +# modification, are permitted provided that the following conditions are met: +# +# * Redistributions of source code must retain the above copyright notice, +# this list of conditions and the following disclaimer. +# * Redistributions in binary form must reproduce the above copyright notice, +# this list of conditions and the following disclaimer in the documentation +# and/or other materials provided with the distribution. +# * Neither the name of Intel Corporation nor the names of its contributors +# may be used to endorse or promote products derived from this software +# without specific prior written permission. +# +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +# AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +# IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +# ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +# LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +# CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +# SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +# INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +# CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +# ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +# POSSIBILITY OF SUCH DAMAGE. +import os +from setuptools import setup, find_packages +from setuptools.command.build_ext import build_ext +from distutils.extension import Extension + + +# get the original build_extensions method +original_build_extensions = build_ext.build_extensions + + +def override_build_extensions(self): + if '-Wstrict-prototypes' in self.compiler.compiler_so: + self.compiler.compiler_so.remove('-Wstrict-prototypes') + self.compiler.compiler_so.append('-fvisibility=hidden') + # call the original build_extensions + original_build_extensions(self) + + +# replace build_extensions with our custom version +build_ext.build_extensions = override_build_extensions + + +class pybind_include_dirs(object): + def __init__(self, user=False): + self.user = user + + def __str__(self): + import pybind11 + return pybind11.get_include(self.user) + + +extensions = [ + Extension("opae.fpga._opae", + sources=["pyproperties.cpp", + "pycontext.cpp", + "pyhandle.cpp", + "pytoken.cpp", + "pyshared_buffer.cpp", + "pyevents.cpp", + "pyerrors.cpp", + "pysysobject.cpp", + "opae.cpp"], + language="c++", + extra_compile_args=["-std=c++11"], + extra_link_args=["-std=c++11"], + include_dirs=[ + "@CMAKE_INSTALL_PREFIX@/include", + os.environ.get("OPAE_INCLUDE_DIR", ""), + pybind_include_dirs(), + pybind_include_dirs(True) + ], + libraries=["opae-c", "opae-cxx-core", "uuid"], + library_dirs=[os.environ.get("OPAE_LIBRARY_DIR", ""), + "@CMAKE_INSTALL_PREFIX@/lib", + "@CMAKE_INSTALL_PREFIX@/lib64"]) +] + +setup( + name="opae.fpga", + version="@OPAE_VERSION@", + packages=find_packages(), + entry_points={ + 'console_scripts': [ + ] + }, + ext_modules=extensions, + install_requires=['pybind11>=@PYOPAE_PYBIND11_VERSION@'], + description="pyopae provides Python bindings around the " + "OPAE C API", + license="BSD3", + keywords="OPAE accelerator fpga bindings", + url="https://01.org/OPAE", +) diff --git a/opae-libs/pyopae/test_pybind_compile.cpp b/opae-libs/pyopae/test_pybind_compile.cpp new file mode 100644 index 0000000..7e44ead --- /dev/null +++ b/opae-libs/pyopae/test_pybind_compile.cpp @@ -0,0 +1,9 @@ +#include + + +int main(int argc, char* argv[]) +{ + (void)argc; + (void)argv; + return 0; +} diff --git a/opae-libs/pyopae/test_pyopae.py b/opae-libs/pyopae/test_pyopae.py new file mode 100644 index 0000000..5513fa3 --- /dev/null +++ b/opae-libs/pyopae/test_pyopae.py @@ -0,0 +1,445 @@ +# Copyright(c) 2018, Intel Corporation +# +# Redistribution and use in source and binary forms, with or without +# modification, are permitted provided that the following conditions are met: +# +# * Redistributions of source code must retain the above copyright notice, +# this list of conditions and the following disclaimer. +# * Redistributions in binary form must reproduce the above copyright notice, +# this list of conditions and the following disclaimer in the documentation +# and/or other materials provided with the distribution. +# * Neither the name of Intel Corporation nor the names of its contributors +# may be used to endorse or promote products derived from this software +# without specific prior written permission. +# +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +# AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +# IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +# ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +# LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +# CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +# SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +# INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +# CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +# ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +# POSSIBILITY OF SUCH DAMAGE. +import json +import select +import struct +import subprocess +import threading +import time +import unittest +import uuid +import sys +import opae.fpga + +NLB0 = "d8424dc4-a4a3-c413-f89e-433683f9040b" + +MOCK_PORT_ERROR = "/tmp/class/fpga/intel-fpga-dev.0/intel-fpga-port.0/errors/errors" + +NLB0_MDATA = {"version": 640, + "afu-image": {"clock-frequency-high": 312, + "clock-frequency-low": 156, + "power": 50, + "interface-uuid": "1a422218-6dba-448e-b302-425cbcde1406", + "magic-no": 488605312, + "accelerator-clusters": [{"total-contexts": 1, + "name": "nlb_400", + "accelerator-type-uuid": "d8424dc4-a4a3-c413-f89e-433683f9040b"}]}, + "platform-name": "MCP"} + +class TestProperties(unittest.TestCase): + def test_set_parent(self): + props = opae.fpga.properties(type=opae.fpga.DEVICE) + toks = opae.fpga.enumerate([props]) + props2 = opae.fpga.properties(type=opae.fpga.ACCELERATOR, + parent=toks[0]) + assert props2.parent + props2 = opae.fpga.properties(type=opae.fpga.ACCELERATOR) + props2.parent = toks[0] + assert props2.parent + + def test_guid(self): + props = opae.fpga.properties(guid=NLB0) + guid_str = props.guid + guid = uuid.UUID(guid_str) + assert str(guid).lower() == NLB0 + props = opae.fpga.properties() + props.guid = NLB0 + guid_str = props.guid + guid = uuid.UUID(guid_str) + assert str(guid).lower() == NLB0 + + def test_set_objtype_accelerator(self): + props = opae.fpga.properties(type=opae.fpga.ACCELERATOR) + assert props.type == opae.fpga.ACCELERATOR + props = opae.fpga.properties(type=opae.fpga.DEVICE) + props.type = opae.fpga.ACCELERATOR + assert props.type == opae.fpga.ACCELERATOR + + def test_set_objtype_device(self): + props = opae.fpga.properties(type=opae.fpga.DEVICE) + assert props.type == opae.fpga.DEVICE + props = opae.fpga.properties(type=opae.fpga.ACCELERATOR) + props.type = opae.fpga.DEVICE + assert props.type == opae.fpga.DEVICE + + def test_set_segment(self): + props = opae.fpga.properties(segment=0x9090) + assert props.segment == 0x9090 + props.segment = 0xA1A1 + assert props.segment == 0xA1A1 + + def test_set_bus(self): + props = opae.fpga.properties(bus=0x5e) + assert props.bus == 0x5e + props.bus = 0xbe + assert props.bus == 0xbe + + def test_set_device(self): + props = opae.fpga.properties(device=0xe) + assert props.device == 0xe + props.device = 0xf + assert props.device == 0xf + + def test_set_function(self): + props = opae.fpga.properties(function=0x7) + assert props.function == 0x7 + props.function = 0x6 + assert props.function == 0x6 + + def test_set_socket_id(self): + props = opae.fpga.properties(socket_id=1) + assert props.socket_id == 1 + props.socket_id = 0 + assert props.socket_id == 0 + + def test_set_object_id(self): + props = opae.fpga.properties(object_id=0xcafe) + assert props.object_id == 0xcafe + props.object_id = 0xfade + assert props.object_id == 0xfade + + def test_set_num_errors(self): + props = opae.fpga.properties(num_errors=8) + assert props.num_errors == 8 + props.num_errors = 4 + assert props.num_errors == 4 + + def test_set_num_slots(self): + props = opae.fpga.properties(type=opae.fpga.DEVICE, + num_slots=3) + assert props.num_slots == 3 + props.num_slots = 2 + assert props.num_slots == 2 + + def test_set_bbs_id(self): + props = opae.fpga.properties(type=opae.fpga.DEVICE, + bbs_id=0xc0c0cafe) + assert props.bbs_id == 0xc0c0cafe + props.bbs_id = 0xb0b0fade + assert props.bbs_id == 0xb0b0fade + + def test_set_bbs_version(self): + props = opae.fpga.properties(type=opae.fpga.DEVICE, + bbs_version=(0, 1, 2)) + assert props.bbs_version == (0, 1, 2) + props.bbs_version = (1, 2, 3) + assert props.bbs_version == (1, 2, 3) + + def test_set_vendor_id(self): + props = opae.fpga.properties(vendor_id=0xfafa) + assert props.vendor_id == 0xfafa + props.vendor_id = 0xdada + assert props.vendor_id == 0xdada + + def test_set_device_id(self): + props = opae.fpga.properties(device_id=0xfa) + assert props.device_id == 0xfa + props.device_id = 0xda + assert props.device_id == 0xda + + @unittest.skip("model not implemented yet") + def test_set_model(self): + props = opae.fpga.properties(model="intel skxp") + assert props.model == "intel skxp" + props.model = "intel skxp 2" + assert props.model == "intel skxp 2" + + @unittest.skip("local_memory_size not implemented yet") + def test_set_local_memory_size(self): + props = opae.fpga.properties(local_memory_size=0xffff) + assert props.local_memory_size == 0xffff + props.local_memory_size = 0xaaaa + assert props.local_memory_size == 0xaaaa + + @unittest.skip("capabilities not implemented yet") + def test_set_capabilities(self): + props = opae.fpga.properties(capabilities=0xdeadbeef) + assert props.capabilities == 0xdeadbeef + props.capabilities = 0xfeebdaed + assert props.capabilities == 0xfeebdaed + + def test_set_num_mmio(self): + props = opae.fpga.properties(type=opae.fpga.ACCELERATOR, + num_mmio=4) + assert props.num_mmio == 4 + props.num_mmio = 5 + assert props.num_mmio == 5 + + def test_set_num_interrupts(self): + props = opae.fpga.properties(type=opae.fpga.ACCELERATOR, + num_interrupts=9) + assert props.num_interrupts == 9 + props.num_interrupts = 8 + assert props.num_interrupts == 8 + + def test_set_accelerator_state(self): + props = opae.fpga.properties( + type=opae.fpga.ACCELERATOR, + accelerator_state=opae.fpga.ACCELERATOR_ASSIGNED) + assert props.accelerator_state == opae.fpga.ACCELERATOR_ASSIGNED + props.accelerator_state = opae.fpga.ACCELERATOR_UNASSIGNED + assert props.accelerator_state == opae.fpga.ACCELERATOR_UNASSIGNED + + +class TestToken(unittest.TestCase): + def test_enumerate(self): + props = opae.fpga.properties(guid=NLB0) + toks = opae.fpga.enumerate([props]) + assert toks + + def test_enumerate_kwargs(self): + toks = opae.fpga.enumerate(guid=NLB0) + assert toks + + def test_enumerate_empty_kwargs(self): + toks = opae.fpga.enumerate() + assert toks + + def test_token_properties(self): + props = opae.fpga.properties(guid=NLB0) + toks = opae.fpga.enumerate([props]) + assert toks + for tok in toks: + token_props = opae.fpga.properties(tok) + assert token_props + assert token_props.guid and token_props.guid != "" + + +class TestHandle(unittest.TestCase): + def setUp(self): + self.props = opae.fpga.properties(type=opae.fpga.ACCELERATOR) + self.toks = opae.fpga.enumerate([self.props]) + assert self.toks + self.handle = opae.fpga.open(self.toks[0]) + assert self.handle + with open('m0.gbs', 'w+b') as fd: + if sys.version_info[0] == 3: + fd.write(bytes("XeonFPGA\b7GBSv001\53\02\00\00", 'UTF-8')) + fd.write(bytes(json.dumps(NLB0_MDATA), 'UTF-8')) + else: + fd.write("XeonFPGA\b7GBSv001\53\02\00\00") + fd.write(json.dumps(NLB0_MDATA)) + + + def test_open_null_token(self): + with self.assertRaises(ValueError): + hndl = opae.fpga.open(None) + + def test_open(self): + assert self.handle + + def test_reconfigure(self): + with open('m0.gbs', 'r') as fd: + self.handle.reconfigure(0, fd) + + def test_close(self): + self.handle.close() + assert not self.handle + + def test_context(self): + self.handle.close() + assert not self.handle + with opae.fpga.open(self.toks[0]) as h: + assert h + assert not h + + def test_reset(self): + self.handle.reset() + + def test_close_reset(self): + self.handle.close() + assert not self.handle + with self.assertRaises(RuntimeError): + self.handle.reset() + + def test_mmio(self): + offset = 0x100 + write_value = 10 + self.handle.write_csr32(offset, write_value) + read_value = self.handle.read_csr32(offset) + assert read_value == write_value + self.handle.write_csr64(offset, write_value) + read_value = self.handle.read_csr64(offset) + assert read_value == write_value + write_value = 0 + self.handle.write_csr32(offset, write_value) + read_value = self.handle.read_csr32(offset) + assert read_value == write_value + self.handle.write_csr64(offset, write_value) + read_value = self.handle.read_csr64(offset) + assert read_value == write_value + + def test_close_mmio(self): + self.handle.close() + assert not self.handle + with self.assertRaises(RuntimeError): + self.handle.write_csr32(0x100, 0xbeef) + + with self.assertRaises(RuntimeError): + self.handle.write_csr64(0x100, 0xbeef) + + with self.assertRaises(RuntimeError): + self.handle.read_csr32(0x100) + + with self.assertRaises(RuntimeError): + self.handle.read_csr64(0x100) + + +class TestSharedBuffer(unittest.TestCase): + def setUp(self): + self.props = opae.fpga.properties(type=opae.fpga.ACCELERATOR) + self.toks = opae.fpga.enumerate([self.props]) + assert self.toks + self.handle = opae.fpga.open(self.toks[0]) + assert self.handle + + def test_allocate(self): + buff1 = opae.fpga.allocate_shared_buffer(self.handle, 4096) + buff2 = opae.fpga.allocate_shared_buffer(self.handle, 4096) + assert buff1 + assert buff2 + assert buff1.size() == 4096 + assert buff1.wsid() != 0 + assert buff1.io_address() != 0 + mv = memoryview(buff1) + assert mv + assert not buff1.compare(buff2, 4096) + buff1.fill(0xAA) + buff2.fill(0xEE) + assert buff1.compare(buff2, 4096) + if sys.version_info[0] == 2: + assert mv[0] == '\xaa' + assert mv[-1] == '\xaa' + else: + assert mv[0] == 0xaa + assert mv[-1] == 0xaa + ba = bytearray(buff1) + assert ba[0] == 0xaa + buff1[42] = int(65536) + assert struct.unpack(' -1 + epoll = select.epoll() + epoll.register(os_object, select.EPOLLIN) + received_event = False + count = 0 + trigger_error_timer = threading.Timer(1, trigger_port_error) + trigger_error_timer.start() + for _ in range(10): + for fileno, ev in epoll.poll(1): + if fileno == os_object: + received_event = True + break + if received_event: + break + time.sleep(1) + + trigger_error_timer.cancel() + # temporarily disalbe this assertion + #assert received_event + + +class TestError(unittest.TestCase): + def setUp(self): + self.port_errors = {"errors": {"can_clear": True}, + "first_error": {"can_clear": False}, + "first_malformed_req": {"can_clear": False}} + self.fme_errors = {"pcie0_errors": {"can_clear": True}, + "warning_errors": {"can_clear": True}, + "pcie1_errors": {"can_clear": True}, + "gbs_errors": {"can_clear": False}, + "bbs_errors": {"can_clear": False}, + "next_error": {"can_clear": False}, + "errors": {"can_clear": False}, + "first_error": {"can_clear": False}, + "inject_error": {"can_clear": True}} + props = opae.fpga.properties(type=opae.fpga.ACCELERATOR) + toks = opae.fpga.enumerate([props]) + assert toks + self.acc_token = toks[0] + props.type = opae.fpga.DEVICE + toks = opae.fpga.enumerate([props]) + assert toks + self.dev_token = toks[0] + + + def test_port_errors(self): + for err in opae.fpga.errors(self.acc_token): + assert self.port_errors[err.name]["can_clear"] == err.can_clear + self.port_errors.pop(err.name) + assert not self.port_errors + + def test_fme_errors(self): + for err in opae.fpga.errors(self.dev_token): + assert self.fme_errors[err.name]["can_clear"] == err.can_clear + self.fme_errors.pop(err.name) + assert not self.fme_errors + +if __name__ == "__main__": + test = TestEvent('test_events') + test.run() + diff --git a/opae-libs/tests/CMakeLists.txt b/opae-libs/tests/CMakeLists.txt new file mode 100644 index 0000000..1817c4c --- /dev/null +++ b/opae-libs/tests/CMakeLists.txt @@ -0,0 +1,46 @@ +## Copyright(c) 2017-2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +cmake_minimum_required (VERSION 2.8.12) +project(tests) + +if(${GTest_FOUND}) + message(STATUS Found GTest) +else() + opae_load_gtest() +endif() + +# Disable some warnings that fire during gtest compilation +check_cxx_compiler_flag("-Wno-sign-compare" CXX_SUPPORTS_NO_SIGN_COMPARE) +if(CXX_SUPPORTS_NO_SIGN_COMPARE) + set(CMAKE_CXX_FLAGS "${CMAKE_CXX_FLAGS} -Wno-sign-compare") +endif() + +add_subdirectory(bitstream) +add_subdirectory(opae-c) +add_subdirectory(opae-cxx) +add_subdirectory(pyopae) +add_subdirectory(xfpga) diff --git a/opae-libs/tests/bitstream/CMakeLists.txt b/opae-libs/tests/bitstream/CMakeLists.txt new file mode 100644 index 0000000..e9f3869 --- /dev/null +++ b/opae-libs/tests/bitstream/CMakeLists.txt @@ -0,0 +1,51 @@ +## Copyright(c) 2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +opae_test_add_static_lib(TARGET bitstream-static + SOURCE + ${OPAE_LIBS_ROOT}/libbitstream/bitstream.c + ${OPAE_LIBS_ROOT}/libbitstream/bits_utils.c + ${OPAE_LIBS_ROOT}/libbitstream/metadatav1.c + LIBS + opae-c + ${libjson-c_LIBRARIES} + ${libuuid_LIBRARIES} +) + +opae_test_add(TARGET test_bitstream_bitstream_c + SOURCE test_bitstream_c.cpp + LIBS bitstream-static +) + +opae_test_add(TARGET test_bitstream_bits_utils_c + SOURCE test_bits_utils_c.cpp + LIBS bitstream-static +) + +opae_test_add(TARGET test_bitstream_metadatav1_c + SOURCE test_metadatav1_c.cpp + LIBS bitstream-static +) diff --git a/opae-libs/tests/bitstream/test_bits_utils_c.cpp b/opae-libs/tests/bitstream/test_bits_utils_c.cpp new file mode 100644 index 0000000..d5af151 --- /dev/null +++ b/opae-libs/tests/bitstream/test_bits_utils_c.cpp @@ -0,0 +1,653 @@ +// Copyright(c) 2019-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include "libbitstream/bits_utils.h" + +extern "C" { + +bool opae_bitstream_path_invalid_chars(const char *path, + size_t len); + +bool opae_bitstream_path_not_file(const char *path); + +bool opae_bitstream_path_contains_dotdot(const char *path, + size_t len); + +bool opae_bitstream_path_contains_symlink(const char *path, + size_t len); +} + +#include +#include + +#include "gtest/gtest.h" +#include "mock/test_system.h" + +using namespace opae::testing; + +class bits_utils_c_p : public ::testing::TestWithParam { + protected: + + virtual void SetUp() override { + std::string platform_key = GetParam(); + ASSERT_TRUE(test_platform::exists(platform_key)); + platform_ = test_platform::get(platform_key); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + j_root_ = nullptr; + } + + virtual void TearDown() override { + + if (j_root_) + json_object_put(j_root_); + + system_->finalize(); + } + + json_object *parse(const char *json_str) + { + enum json_tokener_error j_err = json_tokener_success; + return j_root_ = json_tokener_parse_verbose(json_str, &j_err); + } + + json_object *j_root_; + test_platform platform_; + test_system *system_; +}; + +/** + * @test string_err0 + * @brief Test: opae_bitstream_get_json_string + * @details If the given name doesn't exist,
    + * the fn returns FPGA_EXCEPTION.
    + */ +TEST_P(bits_utils_c_p, string_err0) { + const char *mdata = + R"mdata({ +"a": "foo" +})mdata"; + json_object *root; + char *value = nullptr; + + root = parse(mdata); + ASSERT_NE(root, nullptr); + + EXPECT_EQ(opae_bitstream_get_json_string(root, + "b", + &value), + FPGA_EXCEPTION); + EXPECT_EQ(value, nullptr); +} + +/** + * @test string_err1 + * @brief Test: opae_bitstream_get_json_string + * @details If the given name exists,
    + * but isn't a string,
    + * the fn returns FPGA_EXCEPTION.
    + */ +TEST_P(bits_utils_c_p, string_err1) { + const char *mdata = + R"mdata({ +"a": 3 +})mdata"; + json_object *root; + char *value = nullptr; + + root = parse(mdata); + ASSERT_NE(root, nullptr); + + EXPECT_EQ(opae_bitstream_get_json_string(root, + "a", + &value), + FPGA_EXCEPTION); + EXPECT_EQ(value, nullptr); +} + +/** + * @test int_err0 + * @brief Test: opae_bitstream_get_json_int + * @details If the given name doesn't exist,
    + * the fn returns FPGA_EXCEPTION.
    + */ +TEST_P(bits_utils_c_p, int_err0) { + const char *mdata = + R"mdata({ +"a": 3 +})mdata"; + json_object *root; + int value = 0; + + root = parse(mdata); + ASSERT_NE(root, nullptr); + + EXPECT_EQ(opae_bitstream_get_json_int(root, + "b", + &value), + FPGA_EXCEPTION); + EXPECT_EQ(value, 0); +} + +/** + * @test int_err1 + * @brief Test: opae_bitstream_get_json_int + * @details If the given name exists,
    + * but isn't of type integer,
    + * the fn returns FPGA_EXCEPTION.
    + */ +TEST_P(bits_utils_c_p, int_err1) { + const char *mdata = + R"mdata({ +"a": "str" +})mdata"; + json_object *root; + int value = 0; + + root = parse(mdata); + ASSERT_NE(root, nullptr); + + EXPECT_EQ(opae_bitstream_get_json_int(root, + "a", + &value), + FPGA_EXCEPTION); + EXPECT_EQ(value, 0); +} + +/** + * @test double_err0 + * @brief Test: opae_bitstream_get_json_double + * @details If the given name doesn't exist,
    + * the fn returns FPGA_EXCEPTION.
    + */ +TEST_P(bits_utils_c_p, double_err0) { + const char *mdata = + R"mdata({ +"a": 3.14 +})mdata"; + json_object *root; + double value = 0.0; + + root = parse(mdata); + ASSERT_NE(root, nullptr); + + EXPECT_EQ(opae_bitstream_get_json_double(root, + "b", + &value), + FPGA_EXCEPTION); + EXPECT_EQ(value, 0.0); +} + +/** + * @test double_err1 + * @brief Test: opae_bitstream_get_json_double + * @details If the given name exists,
    + * but isn't of type double,
    + * the fn returns FPGA_EXCEPTION.
    + */ +TEST_P(bits_utils_c_p, double_err1) { + const char *mdata = + R"mdata({ +"a": "str" +})mdata"; + json_object *root; + double value = 0.0; + + root = parse(mdata); + ASSERT_NE(root, nullptr); + + EXPECT_EQ(opae_bitstream_get_json_double(root, + "a", + &value), + FPGA_EXCEPTION); + EXPECT_EQ(value, 0.0); +} + +/** + * @test double_err2 + * @brief Test: opae_bitstream_get_json_double + * @details If the given name exists,
    + * but isn't of type double,
    + * the fn returns FPGA_EXCEPTION.
    + */ +TEST_P(bits_utils_c_p, double_err2) { + const char *mdata = + R"mdata({ +"a": 42 +})mdata"; + json_object *root; + double value = 0.0; + + root = parse(mdata); + ASSERT_NE(root, nullptr); + + EXPECT_EQ(opae_bitstream_get_json_double(root, + "a", + &value), + FPGA_EXCEPTION); + EXPECT_EQ(value, 0.0); +} + +/** + * @test invalid_chars0 + * @brief Test: opae_bitstream_path_invalid_chars + * @details Given a path that contains non-printable chars,
    + * the fn returns true.
    + */ +TEST_P(bits_utils_c_p, invalid_chars0) { + const char *p; + p = "\x01\x05xyz.gbs"; + EXPECT_TRUE(opae_bitstream_path_invalid_chars(p, strlen(p))); +} + +/** + * @test invalid_chars1 + * @brief Test: opae_bitstream_path_invalid_chars + * @details Given a path that contains URL encoding,
    + * the fn returns true.
    + */ +TEST_P(bits_utils_c_p, invalid_chars1) { + const char *p; + p = "my%2E.gbs"; + EXPECT_TRUE(opae_bitstream_path_invalid_chars(p, strlen(p))); +} + +/** + * @test invalid_chars2 + * @brief Test: opae_bitstream_path_invalid_chars + * @details Given a path that contains no invalid chars,
    + * the fn returns false.
    + */ +TEST_P(bits_utils_c_p, invalid_chars2) { + const char *p; + p = "abc.gbs"; + EXPECT_FALSE(opae_bitstream_path_invalid_chars(p, strlen(p))); +} + +/** + * @test not_file0 + * @brief Test: opae_bitstream_path_not_file + * @details Given a path to a file that doesn't exist,
    + * the fn returns true.
    + */ +TEST_P(bits_utils_c_p, not_file0) { + EXPECT_TRUE(opae_bitstream_path_not_file("doesntexist")); +} + +/** + * @test not_file1 + * @brief Test: opae_bitstream_path_not_file + * @details Given a path to a directory,
    + * the fn returns true.
    + */ +TEST_P(bits_utils_c_p, not_file1) { + EXPECT_TRUE(opae_bitstream_path_not_file("/")); +} + +/** + * @test not_file2 + * @brief Test: opae_bitstream_path_not_file + * @details Given a path to valid file,
    + * the fn returns false.
    + */ +TEST_P(bits_utils_c_p, not_file2) { + char tmpfile[20]; + + strcpy(tmpfile, "tmp-XXXXXX.gbs"); + close(mkstemps(tmpfile, 4)); + + EXPECT_FALSE(opae_bitstream_path_not_file(tmpfile)); + + unlink(tmpfile); +} + +/** + * @test dotdot0 + * @brief Test: opae_bitstream_path_contains_dotdot + * @details Given a path that contains a reference to
    + * the special directory designator ..
    + * the fn returns true.
    + */ +TEST_P(bits_utils_c_p, dotdot0) { + EXPECT_TRUE(opae_bitstream_path_contains_dotdot("..", 2)); + EXPECT_TRUE(opae_bitstream_path_contains_dotdot("../", 3)); + EXPECT_TRUE(opae_bitstream_path_contains_dotdot("../abc.gbs", 10)); + EXPECT_TRUE(opae_bitstream_path_contains_dotdot("my/../abc.gbs", 13)); + EXPECT_TRUE(opae_bitstream_path_contains_dotdot("my/..", 5)); +} + +/** + * @test dotdot1 + * @brief Test: opae_bitstream_path_contains_dotdot + * @details Given a path that contains the character sequence '..',
    + * if that character sequence does not designate the parent dir,
    + * the fn returns false.
    + */ +TEST_P(bits_utils_c_p, dotdot1) { + EXPECT_FALSE(opae_bitstream_path_contains_dotdot("my..gbs", 7)); +} + +/** + * @test symlink0 + * @brief Test: opae_bitstream_path_contains_symlink + * @details If the given path string is empty,
    + * then the fn returns true.
    + */ +TEST_P(bits_utils_c_p, symlink0) { + EXPECT_TRUE(opae_bitstream_path_contains_symlink("", 0)); +} + +/** + * @test symlink1 + * @brief Test: opae_bitstream_path_contains_symlink + * @details If the given file name doesn't exist,
    + * then the fn returns true.
    + */ +TEST_P(bits_utils_c_p, symlink1) { + EXPECT_TRUE(opae_bitstream_path_contains_symlink("doesntexist", 11)); +} + +/** + * @test symlink2 + * @brief Test: opae_bitstream_path_contains_symlink + * @details If the given file name exists,
    + * and it does not contain any / characters,
    + * and it is a symlink,
    + * then the fn returns true.
    + */ +TEST_P(bits_utils_c_p, symlink2) { + char tmpfile[20]; + + strcpy(tmpfile, "tmp-XXXXXX.gbs"); + close(mkstemps(tmpfile, 4)); + + ASSERT_EQ(symlink(tmpfile, "mylink"), 0); + EXPECT_TRUE(opae_bitstream_path_contains_symlink("mylink", 6)); + unlink("mylink"); + unlink(tmpfile); +} + +/** + * @test symlink3 + * @brief Test: opae_bitstream_path_contains_symlink + * @details If the given file name exists,
    + * and it does not contain a / character in position 0,
    + * and there is a symlink in any of the path components,
    + * then the fn returns true.
    + */ +TEST_P(bits_utils_c_p, symlink3) { + char tmpfile[20]; + + strcpy(tmpfile, "tmp-XXXXXX.gbs"); + close(mkstemps(tmpfile, 4)); + + std::string s; + + EXPECT_EQ(std::system("rm -rf bar"), 0); + + // bar/baz/foo -> tmpfile + ASSERT_EQ(mkdir("bar", 0755), 0); + ASSERT_EQ(mkdir("bar/baz", 0755), 0); + s = std::string("../../") + std::string(tmpfile); + ASSERT_EQ(symlink(s.c_str(), "bar/baz/foo"), 0); + EXPECT_TRUE(opae_bitstream_path_contains_symlink("bar/baz/foo", 11)); + ASSERT_EQ(unlink("bar/baz/foo"), 0); + ASSERT_EQ(rmdir("bar/baz"), 0); + ASSERT_EQ(rmdir("bar"), 0); + + // bar/baz -> ../ + ASSERT_EQ(mkdir("bar", 0755), 0); + ASSERT_EQ(symlink("..", "bar/baz"), 0); + s = std::string("bar/baz/") + std::string(tmpfile); + EXPECT_TRUE(opae_bitstream_path_contains_symlink(s.c_str(), strlen(s.c_str()))); + ASSERT_EQ(unlink("bar/baz"), 0); + ASSERT_EQ(rmdir("bar"), 0); + + // bar -> blah which contains baz, which contains the config file + ASSERT_EQ(mkdir("blah", 0755), 0); + ASSERT_EQ(mkdir("blah/baz", 0755), 0); + s = std::string("blah/baz/") + std::string(tmpfile); + ASSERT_EQ(rename(tmpfile, s.c_str()), 0); + ASSERT_EQ(symlink("blah", "bar"), 0); + s = std::string("bar/baz/") + std::string(tmpfile); + EXPECT_TRUE(opae_bitstream_path_contains_symlink(s.c_str(), strlen(s.c_str()))); + ASSERT_EQ(rename(s.c_str(), tmpfile), 0); + ASSERT_EQ(rmdir("blah/baz"), 0); + ASSERT_EQ(rmdir("blah"), 0); + ASSERT_EQ(unlink("bar"), 0); + ASSERT_EQ(unlink(tmpfile), 0); +} + +/** + * @test symlink4 + * @brief Test: opae_bitstream_path_contains_symlink + * @details If the given file name exists,
    + * and it contains a / character in position 0,
    + * and there is a symlink in any of the path components,
    + * then the fn returns true.
    + */ +TEST_P(bits_utils_c_p, symlink4) { + char tmpfile[20]; + + strcpy(tmpfile, "tmp-XXXXXX.gbs"); + close(mkstemps(tmpfile, 4)); + + std::string s; + char *d = get_current_dir_name(); + + ASSERT_NE(d, nullptr); + + // /current/dir/foo -> cfg file + ASSERT_EQ(symlink(tmpfile, "foo"), 0); + s = std::string(d) + std::string("/foo"); + EXPECT_TRUE(opae_bitstream_path_contains_symlink(s.c_str(), strlen(s.c_str()))); + ASSERT_EQ(unlink("foo"), 0); + ASSERT_EQ(unlink(tmpfile), 0); + + free(d); +} + +/** + * @test symlink5 + * @brief Test: opae_bitstream_path_contains_symlink + * @details If the given file name exists and is a regular file,
    + * then the fn returns false.
    + */ +TEST_P(bits_utils_c_p, symlink5) { + char tmpfile[20]; + + strcpy(tmpfile, "tmp-XXXXXX.gbs"); + close(mkstemps(tmpfile, 4)); + + EXPECT_FALSE(opae_bitstream_path_contains_symlink(tmpfile, strlen(tmpfile))); + + ASSERT_EQ(unlink(tmpfile), 0); +} + +/** + * @test is_valid0 + * @brief Test: opae_bitstream_path_is_valid + * @details If the given path pointer is NULL or
    + * points to the empty string,
    + * then the fn returns false.
    + */ +TEST_P(bits_utils_c_p, is_valid0) { + EXPECT_FALSE(opae_bitstream_path_is_valid(NULL, 0)); + EXPECT_FALSE(opae_bitstream_path_is_valid("", 0)); +} + +/** + * @test is_valid1 + * @brief Test: opae_bitstream_path_is_valid + * @details If the given path contains non-printable characters,
    + * then the fn returns false.
    + */ +TEST_P(bits_utils_c_p, is_valid1) { + const char *p = "\x01ijk.gbs"; + EXPECT_FALSE(opae_bitstream_path_is_valid(p, 0)); +} + +/** + * @test is_valid2 + * @brief Test: opae_bitstream_path_is_valid + * @details If the given path doesn't exist,
    + * then the fn returns false.
    + */ +TEST_P(bits_utils_c_p, is_valid2) { + EXPECT_FALSE(opae_bitstream_path_is_valid("doesntexist", 0)); +} + +/** + * @test is_valid3 + * @brief Test: opae_bitstream_path_is_valid + * @details If the given flags parameter does not contain
    + * OPAE_BITSTREAM_PATH_NO_PARENT,
    + * and the special parent directory indicator ..
    + * appears in the path, then the fn returns true.
    + */ +TEST_P(bits_utils_c_p, is_valid3) { + char tmpfile[32]; + + EXPECT_EQ(std::system("rm -rf bar"), 0); + + ASSERT_EQ(mkdir("bar", 0755), 0); + strcpy(tmpfile, "tmp-XXXXXX.gbs"); + close(mkstemps(tmpfile, 4)); + + std::string s = std::string("bar/../") + std::string(tmpfile); + + EXPECT_TRUE(opae_bitstream_path_is_valid(s.c_str(), 0)); + + ASSERT_EQ(unlink(tmpfile), 0); + ASSERT_EQ(rmdir("bar"), 0); +} + +/** + * @test is_valid4 + * @brief Test: opae_bitstream_path_is_valid + * @details If the given flags parameter contains
    + * OPAE_BITSTREAM_PATH_NO_PARENT,
    + * and the special parent directory indicator ..
    + * appears in the path, then the fn returns false.
    + */ +TEST_P(bits_utils_c_p, is_valid4) { + char tmpfile[32]; + + EXPECT_EQ(std::system("rm -rf bar"), 0); + + ASSERT_EQ(mkdir("bar", 0755), 0); + strcpy(tmpfile, "tmp-XXXXXX.gbs"); + close(mkstemps(tmpfile, 4)); + + std::string s = std::string("bar/../") + std::string(tmpfile); + + EXPECT_FALSE(opae_bitstream_path_is_valid(s.c_str(), + OPAE_BITSTREAM_PATH_NO_PARENT)); + + ASSERT_EQ(unlink(tmpfile), 0); + ASSERT_EQ(rmdir("bar"), 0); +} + +/** + * @test is_valid5 + * @brief Test: opae_bitstream_path_is_valid + * @details If the given flags parameter does not contain
    + * OPAE_BITSTREAM_PATH_NO_SYMLINK,
    + * and the path contains a symlink component,
    + * then the fn returns true.
    + */ +TEST_P(bits_utils_c_p, is_valid5) { + char tmpfile[20]; + + strcpy(tmpfile, "tmp-XXXXXX.gbs"); + close(mkstemps(tmpfile, 4)); + + ASSERT_EQ(symlink(tmpfile, "foo"), 0); + + EXPECT_TRUE(opae_bitstream_path_is_valid("foo", 0)); + + ASSERT_EQ(unlink("foo"), 0); + ASSERT_EQ(unlink(tmpfile), 0); +} + +/** + * @test is_valid6 + * @brief Test: opae_bitstream_path_is_valid + * @details If the given flags parameter contains
    + * OPAE_BITSTREAM_PATH_NO_SYMLINK,
    + * and the path contains a symlink component,
    + * then the fn returns false.
    + */ +TEST_P(bits_utils_c_p, is_valid6) { + char tmpfile[20]; + + strcpy(tmpfile, "tmp-XXXXXX.gbs"); + close(mkstemps(tmpfile, 4)); + + ASSERT_EQ(symlink(tmpfile, "foo"), 0); + + EXPECT_FALSE(opae_bitstream_path_is_valid("foo", + OPAE_BITSTREAM_PATH_NO_SYMLINK)); + + ASSERT_EQ(unlink("foo"), 0); + ASSERT_EQ(unlink(tmpfile), 0); +} + +INSTANTIATE_TEST_CASE_P(bits_utils_c, bits_utils_c_p, + ::testing::ValuesIn(test_platform::platforms({}))); + + +class mock_bits_utils_c_p : public bits_utils_c_p {}; + +/** + * @test string_err2 + * @brief Test: opae_bitstream_get_json_string + * @details If malloc fails,
    + * the fn returns FPGA_NO_MEMORY.
    + */ +TEST_P(mock_bits_utils_c_p, string_err2) { + const char *mdata = + R"mdata({ +"a": "str" +})mdata"; + json_object *root; + char *value = nullptr; + + root = parse(mdata); + ASSERT_NE(root, nullptr); + + system_->invalidate_malloc(0, "opae_bitstream_get_json_string"); + EXPECT_EQ(opae_bitstream_get_json_string(root, + "a", + &value), + FPGA_NO_MEMORY); + EXPECT_EQ(value, nullptr); +} + +INSTANTIATE_TEST_CASE_P(bits_utils_c, mock_bits_utils_c_p, + ::testing::ValuesIn(test_platform::mock_platforms({}))); diff --git a/opae-libs/tests/bitstream/test_bitstream_c.cpp b/opae-libs/tests/bitstream/test_bitstream_c.cpp new file mode 100644 index 0000000..878e9b0 --- /dev/null +++ b/opae-libs/tests/bitstream/test_bitstream_c.cpp @@ -0,0 +1,402 @@ +// Copyright(c) 2019-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include "libbitstream/bitstream.h" + +extern "C" { + +fpga_result opae_bitstream_read_file(const char *file, + uint8_t **buf, + size_t *len); + +void opae_resolve_legacy_bitstream(opae_bitstream_info *info); + +void *opae_bitstream_parse_metadata(const char *metadata, + fpga_guid pr_interface_id, + int *version); + +fpga_result opae_resolve_bitstream(opae_bitstream_info *info); + +extern fpga_guid valid_GBS_guid; + +} + +#include +#include + +#include +#include + +#include "gtest/gtest.h" +#include "mock/test_system.h" + +const fpga_guid guid = { + 0x02, 0x7f, 0x3a, 0x1a, + 0xcb, 0x3b, + 0x0c, 0x87, + 0x53, 0x4b, + 0x56, 0x7d, 0x4a, 0xf6, 0x93, 0xe9 +}; +const fpga_guid guid_reversed = { + 0xe9, 0x93, 0xf6, 0x4a, + 0x7d, 0x56, + 0x4b, 0x53, + 0x87, 0x0c, + 0x3b, 0xcb, 0x1a, 0x3a, 0x7f, 0x02 +}; + +using namespace opae::testing; + +class bitstream_c_p : public ::testing::TestWithParam { + protected: + + virtual void SetUp() override { + std::string platform_key = GetParam(); + ASSERT_TRUE(test_platform::exists(platform_key)); + platform_ = test_platform::get(platform_key); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + strcpy(tmpnull_gbs_, "tmpnull-XXXXXX.gbs"); + close(mkstemps(tmpnull_gbs_, 4)); + + null_gbs_ = system_->assemble_gbs_header(platform_.devices[0]); + + std::ofstream gbs; + gbs.open(tmpnull_gbs_, std::ios::out|std::ios::binary); + gbs.write((const char *) null_gbs_.data(), null_gbs_.size()); + gbs.close(); + } + + virtual void TearDown() override { + system_->finalize(); + + if (!::testing::Test::HasFatalFailure() && + !::testing::Test::HasNonfatalFailure()) { + unlink(tmpnull_gbs_); + } + } + + char tmpnull_gbs_[20]; + std::vector null_gbs_; + test_platform platform_; + test_system *system_; +}; + +/** + * @test read_err0 + * @brief Test: opae_bitstream_read_file + * @details If the given file doesn't exist,
    + * the fn returns FPGA_EXCEPTION.
    + */ +TEST_P(bitstream_c_p, read_err0) { + uint8_t *buf = nullptr; + size_t len = 0; + EXPECT_EQ(opae_bitstream_read_file("doesntexist", &buf, &len), + FPGA_EXCEPTION); +} + +/** + * @test is_legacy + * @brief Test: opae_is_legacy_bitstream + * @details If the given info pointer is that for a
    + * legacy format bitstream,
    + * the fn returns true.
    + */ +TEST_P(bitstream_c_p, is_legacy) { + opae_legacy_bitstream_header hdr; + hdr.legacy_magic = OPAE_LEGACY_BITSTREAM_MAGIC; + + opae_bitstream_info info; + info.data = (uint8_t *)&hdr; + info.data_len = sizeof(hdr); + + EXPECT_TRUE(opae_is_legacy_bitstream(&info)); +} + +/** + * @test resolve_legacy + * @brief Test: opae_resolve_legacy_bitstream + * @details Given an opae_bitstream_info with data and
    + * data_len fields populated,
    + * opae_resolve_legacy_bitstream fills the
    + * pr_interface_id, rbf_data, and rbf_len
    + * fields appropriately.
    + */ +TEST_P(bitstream_c_p, resolve_legacy) { + char buf[sizeof(opae_legacy_bitstream_header) + sizeof(uint32_t)]; + + opae_legacy_bitstream_header *hdr = + (opae_legacy_bitstream_header *)buf; + hdr->legacy_magic = OPAE_LEGACY_BITSTREAM_MAGIC; + memcpy(hdr->legacy_pr_ifc_id, guid, sizeof(guid)); + + opae_bitstream_info info; + info.data = (uint8_t *)hdr; + info.data_len = sizeof(buf); + info.metadata_version = 0; + info.parsed_metadata = nullptr; + + opae_resolve_legacy_bitstream(&info); + + EXPECT_EQ(info.rbf_data, (uint8_t *)&buf[sizeof(opae_legacy_bitstream_header)]); + EXPECT_EQ(info.rbf_len, sizeof(uint32_t)); + EXPECT_EQ(memcmp(info.pr_interface_id, guid_reversed, sizeof(guid_reversed)), 0); + EXPECT_EQ(info.metadata_version, 0); + EXPECT_EQ(info.parsed_metadata, nullptr); +} + +/** + * @test parse_err0 + * @brief Test: opae_bitstream_parse_metadata + * @details If the given metadata string is not valid JSON,
    + * the fn returns NULL.
    + */ +TEST_P(bitstream_c_p, parse_err0) { + const char *mdata = + R"mdata({ +)mdata"; + fpga_guid guid; + int ver = 0; + + EXPECT_EQ(opae_bitstream_parse_metadata(mdata, guid, &ver), nullptr); +} + +/** + * @test parse_err1 + * @brief Test: opae_bitstream_parse_metadata + * @details If the given metadata string contains no version key,
    + * the fn returns NULL.
    + */ +TEST_P(bitstream_c_p, parse_err1) { + const char *mdata = + R"mdata({ +})mdata"; + fpga_guid guid; + int ver = 0; + + EXPECT_EQ(opae_bitstream_parse_metadata(mdata, guid, &ver), nullptr); +} + +/** + * @test parse_err2 + * @brief Test: opae_bitstream_parse_metadata + * @details If the given metadata string contains a version key,
    + * the type of which is not integer,
    + * the fn returns NULL.
    + */ +TEST_P(bitstream_c_p, parse_err2) { + const char *mdata = + R"mdata({ + "version": "ver" +})mdata"; + fpga_guid guid; + int ver = 0; + + EXPECT_EQ(opae_bitstream_parse_metadata(mdata, guid, &ver), nullptr); +} + +/** + * @test parse_err3 + * @brief Test: opae_bitstream_parse_metadata + * @details If the given metadata string contains a version key
    + * which matches no valid metadata version,
    + * the fn returns NULL.
    + */ +TEST_P(bitstream_c_p, parse_err3) { + const char *mdata = + R"mdata({ + "version": 99 +})mdata"; + fpga_guid guid; + int ver = 0; + + EXPECT_EQ(opae_bitstream_parse_metadata(mdata, guid, &ver), nullptr); +} + +/** + * @test resolve_err0 + * @brief Test: opae_resolve_bitstream + * @details Given an opae_bitstream_info that has a guid
    + * that does not match the valid GBS guid,
    + * the fn returns FPGA_INVALID_PARAM.
    + */ +TEST_P(bitstream_c_p, resolve_err0) { + opae_bitstream_header hdr; + memcpy(hdr.valid_gbs_guid, valid_GBS_guid, sizeof(fpga_guid)); + hdr.valid_gbs_guid[0] = ~hdr.valid_gbs_guid[0]; + hdr.metadata_length = 1; + + opae_bitstream_info info; + info.data = (uint8_t *)&hdr; + info.data_len = sizeof(hdr); + info.filename = (char*)"dummy_file.txt"; + + EXPECT_EQ(opae_resolve_bitstream(&info), FPGA_INVALID_PARAM); +} + +/** + * @test resolve_err1 + * @brief Test: opae_resolve_bitstream + * @details Given an opae_bitstream_info that has a metadata_length
    + * field that causes the header size to exceed the file size,
    + * the fn returns FPGA_INVALID_PARAM.
    + */ +TEST_P(bitstream_c_p, resolve_err1) { + opae_bitstream_header hdr; + memcpy(hdr.valid_gbs_guid, valid_GBS_guid, sizeof(fpga_guid)); + hdr.metadata_length = 2; + + opae_bitstream_info info; + info.filename = tmpnull_gbs_; + info.data = (uint8_t *)&hdr; + info.data_len = sizeof(hdr); + + EXPECT_EQ(opae_resolve_bitstream(&info), FPGA_INVALID_PARAM); +} + +/** + * @test load_err0 + * @brief Test: opae_load_bitstream + * @details If either of the parameters is NULL,
    + * the fn returns FPGA_INVALID_PARAM.
    + */ +TEST_P(bitstream_c_p, load_err0) { + EXPECT_EQ(opae_load_bitstream(tmpnull_gbs_, nullptr), FPGA_INVALID_PARAM); +} + +/** + * @test load_err1 + * @brief Test: opae_load_bitstream + * @details If the given file name doesn't exist,
    + * the fn returns FPGA_INVALID_PARAM.
    + */ +TEST_P(bitstream_c_p, load_err1) { + opae_bitstream_info info; + EXPECT_EQ(opae_load_bitstream("doesntexist", &info), FPGA_INVALID_PARAM); +} + +/** + * @test load_ok0 + * @brief Test: opae_load_bitstream + * @details If the given opae_bitstream_info represents a
    + * legacy formatted bitstream,
    + * the fn resolve it and returns FPGA_OK.
    + */ +TEST_P(bitstream_c_p, load_ok0) { + opae_legacy_bitstream_header hdr; + hdr.legacy_magic = OPAE_LEGACY_BITSTREAM_MAGIC; + memcpy(hdr.legacy_pr_ifc_id, guid, sizeof(fpga_guid)); + + std::ofstream gbs; + gbs.open(tmpnull_gbs_, std::ios::out|std::ios::binary); + gbs.write((const char *)&hdr, sizeof(hdr)); + gbs.close(); + + opae_bitstream_info info; + EXPECT_EQ(opae_load_bitstream(tmpnull_gbs_, &info), FPGA_OK); + EXPECT_STREQ(tmpnull_gbs_, info.filename); + ASSERT_NE(info.data, nullptr); + EXPECT_EQ(info.data_len, sizeof(hdr)); + EXPECT_EQ(info.rbf_data, info.data + sizeof(hdr)); + EXPECT_EQ(info.rbf_len, 0); + EXPECT_EQ(memcmp(info.pr_interface_id, guid_reversed, sizeof(fpga_guid)), 0); + EXPECT_EQ(info.metadata_version, 0); + EXPECT_EQ(info.parsed_metadata, nullptr); + EXPECT_EQ(opae_unload_bitstream(&info), FPGA_OK); +} + +/** + * @test unload_err0 + * @brief Test: opae_unload_bitstream + * @details When passed NULL,
    + * the fn returns FPGA_INVALID_PARAM.
    + */ +TEST_P(bitstream_c_p, unload_err0) { + EXPECT_EQ(opae_unload_bitstream(nullptr), FPGA_INVALID_PARAM); +} + +/** + * @test unload_err1 + * @brief Test: opae_unload_bitstream + * @details When passed an opae_bitstream_info that
    + * has an unsupported metadata version, + * the fn returns FPGA_EXCEPTION.
    + */ +TEST_P(bitstream_c_p, unload_err1) { + opae_bitstream_info info; + info.data = nullptr; + info.parsed_metadata = malloc(4); + uint8_t *save = (uint8_t *)info.parsed_metadata; + info.metadata_version = 99; + + EXPECT_EQ(opae_unload_bitstream(&info), FPGA_EXCEPTION); + + free(save); +} + +INSTANTIATE_TEST_CASE_P(bitstream_c, bitstream_c_p, + ::testing::ValuesIn(test_platform::platforms({}))); + + +class mock_bitstream_c_p : public bitstream_c_p {}; + +/** + * @test read_err1 + * @brief Test: opae_bitstream_read_file + * @details If malloc fails,
    + * the fn returns FPGA_NO_MEMORY.
    + */ +TEST_P(mock_bitstream_c_p, read_err1) { + uint8_t *buf = nullptr; + size_t len = 0; + system_->invalidate_malloc(0, "opae_bitstream_read_file"); + EXPECT_EQ(opae_bitstream_read_file(tmpnull_gbs_, &buf, &len), FPGA_NO_MEMORY); +} + +/** + * @test resolve_err2 + * @brief Test: opae_resolve_bitstream + * @details When malloc fails
    + * the fn returns FPGA_NO_MEMORY.
    + */ +TEST_P(mock_bitstream_c_p, resolve_err2) { + opae_bitstream_header hdr; + memcpy(hdr.valid_gbs_guid, valid_GBS_guid, sizeof(fpga_guid)); + hdr.metadata_length = 1; + + opae_bitstream_info info; + info.filename = tmpnull_gbs_; + info.data = (uint8_t *)&hdr; + info.data_len = sizeof(hdr); + + system_->invalidate_malloc(0, "opae_resolve_bitstream"); + EXPECT_EQ(opae_resolve_bitstream(&info), FPGA_NO_MEMORY); +} + +INSTANTIATE_TEST_CASE_P(bitstream_c, mock_bitstream_c_p, + ::testing::ValuesIn(test_platform::mock_platforms({}))); diff --git a/opae-libs/tests/bitstream/test_metadatav1_c.cpp b/opae-libs/tests/bitstream/test_metadatav1_c.cpp new file mode 100644 index 0000000..49939eb --- /dev/null +++ b/opae-libs/tests/bitstream/test_metadatav1_c.cpp @@ -0,0 +1,895 @@ +// Copyright(c) 2019-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include "libbitstream/bitstream.h" +#include "libbitstream/metadatav1.h" + +extern "C" { + +fpga_result opae_bitstream_parse_accelerator_cluster_v1(json_object *j_cluster, + opae_metadata_accelerator_cluster_v1 *cluster); + +fpga_result opae_bitstream_parse_afu_image_v1(json_object *j_afu_image, + opae_metadata_afu_image_v1 *img, + fpga_guid pr_interface_id); + +} + +#include +#include + +#include "gtest/gtest.h" +#include "mock/test_system.h" + +using namespace opae::testing; + +class metadatav1_c_p : public ::testing::TestWithParam { + protected: + + virtual void SetUp() override { + std::string platform_key = GetParam(); + ASSERT_TRUE(test_platform::exists(platform_key)); + platform_ = test_platform::get(platform_key); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + j_root_ = nullptr; + } + + virtual void TearDown() override { + + if (j_root_) + json_object_put(j_root_); + + system_->finalize(); + } + + json_object *parse(const char *json_str) + { + enum json_tokener_error j_err = json_tokener_success; + return j_root_ = json_tokener_parse_verbose(json_str, &j_err); + } + + json_object *j_root_; + test_platform platform_; + test_system *system_; +}; + +/** + * @test cluster_err0 + * @brief Test: opae_bitstream_parse_accelerator_cluster_v1 + * @details If the given json_object contains no,
    + * "total-contexts" key,
    + * the fn returns FPGA_EXCEPTION.
    + */ +TEST_P(metadatav1_c_p, cluster_err0) { + const char *mdata = + R"mdata({ +"name": "nlb_400", +"accelerator-type-uuid": "d8424dc4-a4a3-c413-f89e-433683f9040b" +})mdata"; + json_object *j_cluster; + + j_cluster = parse(mdata); + ASSERT_NE(j_cluster, nullptr); + + opae_metadata_accelerator_cluster_v1 cluster; + memset(&cluster, 0, sizeof(cluster)); + + EXPECT_EQ(opae_bitstream_parse_accelerator_cluster_v1(j_cluster, + &cluster), + FPGA_EXCEPTION); + + EXPECT_EQ(cluster.total_contexts, 0); + EXPECT_EQ(cluster.name, nullptr); + EXPECT_EQ(cluster.accelerator_type_uuid, nullptr); +} + +/** + * @test cluster_err1 + * @brief Test: opae_bitstream_parse_accelerator_cluster_v1 + * @details If the given json_object contains no,
    + * "name" key,
    + * the fn returns FPGA_EXCEPTION.
    + */ +TEST_P(metadatav1_c_p, cluster_err1) { + const char *mdata = + R"mdata({ +"total-contexts": 1, +"accelerator-type-uuid": "d8424dc4-a4a3-c413-f89e-433683f9040b" +})mdata"; + json_object *j_cluster; + + j_cluster = parse(mdata); + ASSERT_NE(j_cluster, nullptr); + + opae_metadata_accelerator_cluster_v1 cluster; + memset(&cluster, 0, sizeof(cluster)); + + EXPECT_EQ(opae_bitstream_parse_accelerator_cluster_v1(j_cluster, + &cluster), + FPGA_EXCEPTION); + + EXPECT_EQ(cluster.total_contexts, 1); + EXPECT_EQ(cluster.name, nullptr); + EXPECT_EQ(cluster.accelerator_type_uuid, nullptr); +} + +/** + * @test cluster_err2 + * @brief Test: opae_bitstream_parse_accelerator_cluster_v1 + * @details If the given json_object contains no,
    + * "accelerator-type-uuid" key,
    + * the fn returns FPGA_EXCEPTION.
    + */ +TEST_P(metadatav1_c_p, cluster_err2) { + const char *mdata = + R"mdata({ +"total-contexts": 1, +"name": "nlb_400" +})mdata"; + json_object *j_cluster; + + j_cluster = parse(mdata); + ASSERT_NE(j_cluster, nullptr); + + opae_metadata_accelerator_cluster_v1 cluster; + memset(&cluster, 0, sizeof(cluster)); + + EXPECT_EQ(opae_bitstream_parse_accelerator_cluster_v1(j_cluster, + &cluster), + FPGA_EXCEPTION); + + EXPECT_EQ(cluster.total_contexts, 1); + EXPECT_EQ(cluster.name, nullptr); + EXPECT_EQ(cluster.accelerator_type_uuid, nullptr); +} + +/** + * @test image_err0 + * @brief Test: opae_bitstream_parse_afu_image_v1 + * @details If the given json_object contains no,
    + * "clock-frequency-high" key,
    + * the fn returns FPGA_OK.
    + */ +TEST_P(metadatav1_c_p, image_err0) { + const char *mdata = + R"mdata({ +"clock-frequency-low": 156, +"power": 50, +"interface-uuid": "01234567-89AB-CDEF-0123-456789ABCDEF", +"magic-no": 488605312, + +"accelerator-clusters": [ + { + "total-contexts": 1, + "name": "nlb_400", + "accelerator-type-uuid": "d8424dc4-a4a3-c413-f89e-433683f9040b" + } +] +})mdata"; + json_object *j_afu_image; + + j_afu_image = parse(mdata); + ASSERT_NE(j_afu_image, nullptr); + + opae_metadata_afu_image_v1 img; + memset(&img, 0, sizeof(img)); + + fpga_guid ifc_id; + + EXPECT_EQ(opae_bitstream_parse_afu_image_v1(j_afu_image, + &img, + ifc_id), + FPGA_OK); + + free(img.interface_uuid); + free(img.accelerator_clusters[0].name); + free(img.accelerator_clusters[0].accelerator_type_uuid); + free(img.accelerator_clusters); +} + +/** + * @test image_err1 + * @brief Test: opae_bitstream_parse_afu_image_v1 + * @details If the given json_object contains no,
    + * "clock-frequency-low" key,
    + * the fn returns FPGA_OK.
    + */ +TEST_P(metadatav1_c_p, image_err1) { + const char *mdata = + R"mdata({ +"clock-frequency-high": 31.2, +"power": 50, +"interface-uuid": "01234567-89AB-CDEF-0123-456789ABCDEF", +"magic-no": 488605312, + +"accelerator-clusters": [ + { + "total-contexts": 1, + "name": "nlb_400", + "accelerator-type-uuid": "d8424dc4-a4a3-c413-f89e-433683f9040b" + } +] +})mdata"; + json_object *j_afu_image; + + j_afu_image = parse(mdata); + ASSERT_NE(j_afu_image, nullptr); + + opae_metadata_afu_image_v1 img; + memset(&img, 0, sizeof(img)); + + fpga_guid ifc_id; + + EXPECT_EQ(opae_bitstream_parse_afu_image_v1(j_afu_image, + &img, + ifc_id), + FPGA_OK); + + EXPECT_EQ(img.clock_frequency_high, 31.2); + EXPECT_EQ(img.power, 50.0); + + free(img.interface_uuid); + free(img.accelerator_clusters[0].name); + free(img.accelerator_clusters[0].accelerator_type_uuid); + free(img.accelerator_clusters); +} + +/** + * @test image_err2 + * @brief Test: opae_bitstream_parse_afu_image_v1 + * @details If the given json_object contains no,
    + * "power" key,
    + * the fn returns FPGA_OK.
    + */ +TEST_P(metadatav1_c_p, image_err2) { + const char *mdata = + R"mdata({ +"clock-frequency-high": 3.12, +"clock-frequency-low": 1.56, +"interface-uuid": "01234567-89AB-CDEF-0123-456789ABCDEF", +"magic-no": 488605312, + +"accelerator-clusters": [ + { + "total-contexts": 1, + "name": "nlb_400", + "accelerator-type-uuid": "d8424dc4-a4a3-c413-f89e-433683f9040b" + } +] +})mdata"; + json_object *j_afu_image; + + j_afu_image = parse(mdata); + ASSERT_NE(j_afu_image, nullptr); + + opae_metadata_afu_image_v1 img; + memset(&img, 0, sizeof(img)); + + fpga_guid ifc_id; + + EXPECT_EQ(opae_bitstream_parse_afu_image_v1(j_afu_image, + &img, + ifc_id), + FPGA_OK); + + EXPECT_EQ(img.clock_frequency_high, 3.12); + EXPECT_EQ(img.clock_frequency_low, 1.56); + + free(img.interface_uuid); + free(img.accelerator_clusters[0].name); + free(img.accelerator_clusters[0].accelerator_type_uuid); + free(img.accelerator_clusters); +} + +/** + * @test image_err3 + * @brief Test: opae_bitstream_parse_afu_image_v1 + * @details If the given json_object contains no,
    + * "magic-no" key,
    + * the fn returns FPGA_EXCEPTION.
    + */ +TEST_P(metadatav1_c_p, image_err3) { + const char *mdata = + R"mdata({ +"clock-frequency-high": 312, +"clock-frequency-low": 156, +"power": 50, +"interface-uuid": "01234567-89AB-CDEF-0123-456789ABCDEF", + +"accelerator-clusters": [ + { + "total-contexts": 1, + "name": "nlb_400", + "accelerator-type-uuid": "d8424dc4-a4a3-c413-f89e-433683f9040b" + } +] +})mdata"; + json_object *j_afu_image; + + j_afu_image = parse(mdata); + ASSERT_NE(j_afu_image, nullptr); + + opae_metadata_afu_image_v1 img; + memset(&img, 0, sizeof(img)); + + fpga_guid ifc_id; + + EXPECT_EQ(opae_bitstream_parse_afu_image_v1(j_afu_image, + &img, + ifc_id), + FPGA_EXCEPTION); + + EXPECT_EQ(img.interface_uuid, nullptr); + EXPECT_EQ(img.accelerator_clusters, nullptr); +} + +/** + * @test image_err4 + * @brief Test: opae_bitstream_parse_afu_image_v1 + * @details If the given json_object contains,
    + * a "magic-no" key that doesn't match the expected,
    + * the fn returns FPGA_EXCEPTION.
    + */ +TEST_P(metadatav1_c_p, image_err4) { + const char *mdata = + R"mdata({ +"clock-frequency-high": 312, +"clock-frequency-low": 156, +"power": 50, +"interface-uuid": "01234567-89AB-CDEF-0123-456789ABCDEF", +"magic-no": 488605311, + +"accelerator-clusters": [ + { + "total-contexts": 1, + "name": "nlb_400", + "accelerator-type-uuid": "d8424dc4-a4a3-c413-f89e-433683f9040b" + } +] +})mdata"; + json_object *j_afu_image; + + j_afu_image = parse(mdata); + ASSERT_NE(j_afu_image, nullptr); + + opae_metadata_afu_image_v1 img; + memset(&img, 0, sizeof(img)); + + fpga_guid ifc_id; + + EXPECT_EQ(opae_bitstream_parse_afu_image_v1(j_afu_image, + &img, + ifc_id), + FPGA_EXCEPTION); + + EXPECT_EQ(img.interface_uuid, nullptr); + EXPECT_EQ(img.accelerator_clusters, nullptr); +} + +/** + * @test image_err5 + * @brief Test: opae_bitstream_parse_afu_image_v1 + * @details If the given json_object contains no,
    + * "interface-uuid" key,
    + * the fn returns FPGA_EXCEPTION.
    + */ +TEST_P(metadatav1_c_p, image_err5) { + const char *mdata = + R"mdata({ +"clock-frequency-high": 312, +"clock-frequency-low": 156, +"power": 50, +"magic-no": 488605312, + +"accelerator-clusters": [ + { + "total-contexts": 1, + "name": "nlb_400", + "accelerator-type-uuid": "d8424dc4-a4a3-c413-f89e-433683f9040b" + } +] +})mdata"; + json_object *j_afu_image; + + j_afu_image = parse(mdata); + ASSERT_NE(j_afu_image, nullptr); + + opae_metadata_afu_image_v1 img; + memset(&img, 0, sizeof(img)); + + fpga_guid ifc_id; + + EXPECT_EQ(opae_bitstream_parse_afu_image_v1(j_afu_image, + &img, + ifc_id), + FPGA_EXCEPTION); + + EXPECT_EQ(img.interface_uuid, nullptr); + EXPECT_EQ(img.accelerator_clusters, nullptr); +} + +/** + * @test image_err6 + * @brief Test: opae_bitstream_parse_afu_image_v1 + * @details If the given json_object contains an,
    + * "interface-uuid" key that is not a valid guid,
    + * the fn returns FPGA_EXCEPTION.
    + */ +TEST_P(metadatav1_c_p, image_err6) { + const char *mdata = + R"mdata({ +"clock-frequency-high": 312, +"clock-frequency-low": 156, +"power": 50, +"interface-uuid": "not a valid guid", +"magic-no": 488605312, + +"accelerator-clusters": [ + { + "total-contexts": 1, + "name": "nlb_400", + "accelerator-type-uuid": "d8424dc4-a4a3-c413-f89e-433683f9040b" + } +] +})mdata"; + json_object *j_afu_image; + + j_afu_image = parse(mdata); + ASSERT_NE(j_afu_image, nullptr); + + opae_metadata_afu_image_v1 img; + memset(&img, 0, sizeof(img)); + + fpga_guid ifc_id; + + EXPECT_EQ(opae_bitstream_parse_afu_image_v1(j_afu_image, + &img, + ifc_id), + FPGA_EXCEPTION); + + EXPECT_EQ(img.interface_uuid, nullptr); + EXPECT_EQ(img.accelerator_clusters, nullptr); +} + +/** + * @test image_err7 + * @brief Test: opae_bitstream_parse_afu_image_v1 + * @details If the given json_object contains no
    + * "accelerator-clusters" key,
    + * the fn returns FPGA_EXCEPTION.
    + */ +TEST_P(metadatav1_c_p, image_err7) { + const char *mdata = + R"mdata({ +"clock-frequency-high": 312, +"clock-frequency-low": 156, +"power": 50, +"interface-uuid": "01234567-89AB-CDEF-0123-456789ABCDEF", +"magic-no": 488605312, +})mdata"; + json_object *j_afu_image; + + j_afu_image = parse(mdata); + ASSERT_NE(j_afu_image, nullptr); + + opae_metadata_afu_image_v1 img; + memset(&img, 0, sizeof(img)); + + fpga_guid ifc_id; + + EXPECT_EQ(opae_bitstream_parse_afu_image_v1(j_afu_image, + &img, + ifc_id), + FPGA_EXCEPTION); + + EXPECT_EQ(img.interface_uuid, nullptr); + EXPECT_EQ(img.accelerator_clusters, nullptr); +} + +/** + * @test image_err8 + * @brief Test: opae_bitstream_parse_afu_image_v1 + * @details If the given json_object contains an,
    + * "accelerator-clusters" key that is not an array,
    + * the fn returns FPGA_EXCEPTION.
    + */ +TEST_P(metadatav1_c_p, image_err8) { + const char *mdata = + R"mdata({ +"clock-frequency-high": 312, +"clock-frequency-low": 156, +"power": 50, +"interface-uuid": "01234567-89AB-CDEF-0123-456789ABCDEF", +"magic-no": 488605312, + +"accelerator-clusters": 3 +})mdata"; + json_object *j_afu_image; + + j_afu_image = parse(mdata); + ASSERT_NE(j_afu_image, nullptr); + + opae_metadata_afu_image_v1 img; + memset(&img, 0, sizeof(img)); + + fpga_guid ifc_id; + + EXPECT_EQ(opae_bitstream_parse_afu_image_v1(j_afu_image, + &img, + ifc_id), + FPGA_EXCEPTION); + + EXPECT_EQ(img.interface_uuid, nullptr); + EXPECT_EQ(img.accelerator_clusters, nullptr); +} + +/** + * @test image_err10 + * @brief Test: opae_bitstream_parse_afu_image_v1 + * @details If an error occurs when parsing
    + * the "accelerator-clusters" array,
    + * the fn frees any successfully-parsed
    + * array entries and propagates the error
    + * code from opae_bitstream_parse_accelerator_cluster_v1.
    + */ +TEST_P(metadatav1_c_p, image_err10) { + const char *mdata = + R"mdata({ +"clock-frequency-high": 312, +"clock-frequency-low": 156, +"power": 50, +"interface-uuid": "01234567-89AB-CDEF-0123-456789ABCDEF", +"magic-no": 488605312, + +"accelerator-clusters": [ + { + "total-contexts": 1, + "name": "nlb_400", + "accelerator-type-uuid": "d8424dc4-a4a3-c413-f89e-433683f9040b" + }, + { + "total-contexts": "not an integer", + "name": "nlb_400", + "accelerator-type-uuid": "d8424dc4-a4a3-c413-f89e-433683f9040b" + } +] +})mdata"; + json_object *j_afu_image; + + j_afu_image = parse(mdata); + ASSERT_NE(j_afu_image, nullptr); + + opae_metadata_afu_image_v1 img; + memset(&img, 0, sizeof(img)); + + fpga_guid ifc_id; + + EXPECT_EQ(opae_bitstream_parse_afu_image_v1(j_afu_image, + &img, + ifc_id), + FPGA_EXCEPTION); + + EXPECT_EQ(img.interface_uuid, nullptr); + EXPECT_EQ(img.accelerator_clusters, nullptr); +} + +/** + * @test parse_v1_err1 + * @brief Test: opae_bitstream_parse_metadata_v1 + * @details If the given json_object has no
    + * "platform-name" key,
    + * the fn returns a valid v1 metadata object.
    + */ +TEST_P(metadatav1_c_p, parse_v1_err1) { + const char *mdata = + R"mdata({ + "version": 1, + "afu-image": { + "clock-frequency-high": 312, + "clock-frequency-low": 156, + "power": 50, + "interface-uuid": "01234567-89AB-CDEF-0123-456789ABCDEF", + "magic-no": 488605312, + + "accelerator-clusters": [ + { + "total-contexts": 1, + "name": "nlb_400", + "accelerator-type-uuid": "d8424dc4-a4a3-c413-f89e-433683f9040b" + } + ] + } +})mdata"; + + json_object *root; + + root = parse(mdata); + ASSERT_NE(root, nullptr); + + fpga_guid ifc_id; + + opae_bitstream_metadata_v1 *md = + opae_bitstream_parse_metadata_v1(root, ifc_id); + + ASSERT_NE(md, nullptr); + opae_bitstream_release_metadata_v1(md); +} + +/** + * @test parse_v1_err2 + * @brief Test: opae_bitstream_parse_metadata_v1 + * @details If the given json_object has no
    + * "afu-image" key,
    + * the fn returns NULL.
    + */ +TEST_P(metadatav1_c_p, parse_v1_err2) { + const char *mdata = + R"mdata({ + "version": 1, + "platform-name": "DCP" +})mdata"; + + json_object *root; + + root = parse(mdata); + ASSERT_NE(root, nullptr); + + fpga_guid ifc_id; + + EXPECT_EQ(opae_bitstream_parse_metadata_v1(root, + ifc_id), + nullptr); +} + +/** + * @test parse_v1_err3 + * @brief Test: opae_bitstream_parse_metadata_v1 + * @details If the call to opae_bitstream_parse_afu_image_v1 fails,
    + * the fn returns NULL.
    + */ +TEST_P(metadatav1_c_p, parse_v1_err3) { + const char *mdata = + R"mdata({ + "version": 1, + "afu-image": { + "clock-frequency-high": 312, + "clock-frequency-low": 156, + "power": 50, + "interface-uuid": "not a valid guid", + "magic-no": 488605312, + + "accelerator-clusters": [ + { + "total-contexts": 1, + "name": "nlb_400", + "accelerator-type-uuid": "d8424dc4-a4a3-c413-f89e-433683f9040b" + } + ] + }, + "platform-name": "DCP" +})mdata"; + + json_object *root; + + root = parse(mdata); + ASSERT_NE(root, nullptr); + + fpga_guid ifc_id; + + EXPECT_EQ(opae_bitstream_parse_metadata_v1(root, + ifc_id), + nullptr); +} + +/** + * @test parse_v1_ok + * @brief Test: opae_bitstream_parse_metadata_v1 + * @details When successful,
    + * the fn returns places the parsed "interface-uuid" key
    + * into the pr_interface_id parameter,
    + * and returns FPGA_OK.
    + */ +TEST_P(metadatav1_c_p, parse_v1_ok) { + const char *mdata = + R"mdata({ + "version": 1, + "afu-image": { + "clock-frequency-high": 312.0, + "clock-frequency-low": 156, + "power": 50.2, + "interface-uuid": "01234567-89AB-CDEF-0123-456789ABCDEF", + "magic-no": 488605312, + + "accelerator-clusters": [ + { + "total-contexts": 1, + "name": "nlb_400", + "accelerator-type-uuid": "d8424dc4-a4a3-c413-f89e-433683f9040b" + }, + { + "total-contexts": 2, + "name": "nlb_400", + "accelerator-type-uuid": "d8424dc4-a4a3-c413-f89e-433683f9040b" + }, + { + "total-contexts": 3, + "name": "nlb_400", + "accelerator-type-uuid": "d8424dc4-a4a3-c413-f89e-433683f9040b" + } + ] + }, + "platform-name": "DCP" +})mdata"; + + fpga_guid expected_id = { + 0x01, 0x23, 0x45, 0x67, + 0x89, 0xab, + 0xcd, 0xef, + 0x01, 0x23, + 0x45, 0x67, 0x89, 0xab, 0xcd, 0xef + }; + + json_object *root; + + root = parse(mdata); + ASSERT_NE(root, nullptr); + + fpga_guid ifc_id = { 0, }; + + opae_bitstream_metadata_v1 *md = + opae_bitstream_parse_metadata_v1(root, ifc_id); + + EXPECT_EQ(memcmp(ifc_id, expected_id, sizeof(fpga_guid)), 0); + + ASSERT_NE(md, nullptr); + EXPECT_EQ(md->version, 1); + + ASSERT_NE(md->platform_name, nullptr); + EXPECT_STREQ(md->platform_name, "DCP"); + + EXPECT_EQ(md->afu_image.clock_frequency_high, 312.0); + EXPECT_EQ(md->afu_image.clock_frequency_low, 156.0); + EXPECT_EQ(md->afu_image.power, 50.2); + + ASSERT_NE(md->afu_image.interface_uuid, nullptr); + EXPECT_STREQ(md->afu_image.interface_uuid, "01234567-89AB-CDEF-0123-456789ABCDEF"); + + EXPECT_EQ(md->afu_image.magic_no, 0x1d1f8680); + + EXPECT_EQ(md->afu_image.num_clusters, 3); + + ASSERT_NE(md->afu_image.accelerator_clusters, nullptr); + + int i; + for (i = 0 ; i < md->afu_image.num_clusters ; ++i) { + opae_metadata_accelerator_cluster_v1 *c = + &md->afu_image.accelerator_clusters[i]; + + EXPECT_EQ(c->total_contexts, i + 1); + ASSERT_NE(c->name, nullptr); + EXPECT_STREQ(c->name, "nlb_400"); + ASSERT_NE(c->accelerator_type_uuid, nullptr); + EXPECT_STREQ(c->accelerator_type_uuid, "d8424dc4-a4a3-c413-f89e-433683f9040b"); + } + + opae_bitstream_release_metadata_v1(md); +} + +INSTANTIATE_TEST_CASE_P(metadatav1_c, metadatav1_c_p, + ::testing::ValuesIn(test_platform::platforms({}))); + + +class mock_metadatav1_c_p : public metadatav1_c_p {}; + +/** + * @test image_err9 + * @brief Test: opae_bitstream_parse_afu_image_v1 + * @details If calloc fails,
    + * the fn returns FPGA_NO_MEMORY.
    + */ +TEST_P(mock_metadatav1_c_p, image_err9) { + const char *mdata = + R"mdata({ +"clock-frequency-high": 312, +"clock-frequency-low": 156, +"power": 50, +"interface-uuid": "01234567-89AB-CDEF-0123-456789ABCDEF", +"magic-no": 488605312, + +"accelerator-clusters": [ + { + "total-contexts": 1, + "name": "nlb_400", + "accelerator-type-uuid": "d8424dc4-a4a3-c413-f89e-433683f9040b" + } +] +})mdata"; + json_object *j_afu_image; + + j_afu_image = parse(mdata); + ASSERT_NE(j_afu_image, nullptr); + + opae_metadata_afu_image_v1 img; + memset(&img, 0, sizeof(img)); + + fpga_guid ifc_id; + + system_->invalidate_calloc(0, "opae_bitstream_parse_afu_image_v1"); + EXPECT_EQ(opae_bitstream_parse_afu_image_v1(j_afu_image, + &img, + ifc_id), + FPGA_NO_MEMORY); + + EXPECT_EQ(img.interface_uuid, nullptr); + EXPECT_EQ(img.accelerator_clusters, nullptr); +} + +/** + * @test parse_v1_err0 + * @brief Test: opae_bitstream_parse_metadata_v1 + * @details If calloc fails,
    + * the fn returns NULL.
    + */ +TEST_P(mock_metadatav1_c_p, parse_v1_err0) { + const char *mdata = + R"mdata({ + "version": 1, + "afu-image": { + "clock-frequency-high": 312, + "clock-frequency-low": 156, + "power": 50, + "interface-uuid": "01234567-89AB-CDEF-0123-456789ABCDEF", + "magic-no": 488605312, + + "accelerator-clusters": [ + { + "total-contexts": 1, + "name": "nlb_400", + "accelerator-type-uuid": "d8424dc4-a4a3-c413-f89e-433683f9040b" + } + ] + }, + "platform-name": "DCP" +})mdata"; + + json_object *root; + + root = parse(mdata); + ASSERT_NE(root, nullptr); + + fpga_guid ifc_id; + + system_->invalidate_calloc(0, "opae_bitstream_parse_metadata_v1"); + EXPECT_EQ(opae_bitstream_parse_metadata_v1(root, + ifc_id), + nullptr); +} + +INSTANTIATE_TEST_CASE_P(metadatav1_c, mock_metadatav1_c_p, + ::testing::ValuesIn(test_platform::mock_platforms({}))); diff --git a/opae-libs/tests/opae-c/CMakeLists.txt b/opae-libs/tests/opae-c/CMakeLists.txt new file mode 100644 index 0000000..0fe056b --- /dev/null +++ b/opae-libs/tests/opae-c/CMakeLists.txt @@ -0,0 +1,138 @@ +## Copyright(c) 2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +opae_test_add_static_lib(TARGET opae-c-static + SOURCE + ${OPAE_LIBS_ROOT}/libopae-c/api-shell.c + ${OPAE_LIBS_ROOT}/libopae-c/init.c + ${OPAE_LIBS_ROOT}/libopae-c/pluginmgr.c + ${OPAE_LIBS_ROOT}/libopae-c/props.c + LIBS + ${CMAKE_THREAD_LIBS_INIT} + ${libjson-c_LIBRARIES} +) + +opae_test_add(TARGET test_opae_enum_c + SOURCE test_enum_c.cpp + LIBS opae-c-static +) + +opae_test_add(TARGET test_opae_open_c + SOURCE test_open_c.cpp + LIBS opae-c-static +) + +opae_test_add(TARGET test_opae_props_c + SOURCE test_props_c.cpp + LIBS opae-c-static +) + +opae_test_add(TARGET test_opae_reset_c + SOURCE test_reset_c.cpp + LIBS opae-c-static +) + +opae_test_add(TARGET test_opae_mmio_c + SOURCE test_mmio_c.cpp + LIBS opae-c-static +) + +opae_test_add(TARGET test_opae_umsg_c + SOURCE test_umsg_c.cpp + LIBS opae-c-static +) + +opae_test_add(TARGET test_opae_buffer_c + SOURCE test_buffer_c.cpp + LIBS opae-c-static +) + +opae_test_add(TARGET test_opae_version_c + SOURCE test_version_c.cpp + LIBS opae-c-static +) + +opae_test_add(TARGET test_opae_error_c + SOURCE test_error_c.cpp + LIBS opae-c-static +) + +opae_test_add(TARGET test_opae_event_c + SOURCE test_event_c.cpp + LIBS + opae-c-static + test-fpgad-static + TEST_FPGAD +) + +target_include_directories(test_opae_event_c + PRIVATE + ${OPAE_LIBS_ROOT}/libbitstream +) + +opae_test_add(TARGET test_opae_hostif_c + SOURCE test_hostif_c.cpp + LIBS opae-c-static +) + +opae_test_add(TARGET test_opae_reconf_c + SOURCE test_reconf_c.cpp + LIBS opae-c-static +) + +opae_test_add(TARGET test_opae_object_c + SOURCE test_object_c.cpp + LIBS opae-c-static +) + +opae_test_add(TARGET test_opae_usrclk_c + SOURCE test_usrclk_c.cpp + LIBS opae-c-static +) + +opae_test_add(TARGET test_opae_init_c + SOURCE test_init_c.cpp + LIBS opae-c-static +) + +opae_test_add(TARGET test_opae_pluginmgr_c + SOURCE test_pluginmgr_c.cpp + LIBS + opae-c-static +) + +set_tests_properties(test_opae_pluginmgr_c + PROPERTIES + ENVIRONMENT "LD_LIBRARY_PATH=${LIBRARY_OUTPUT_PATH}") +add_library(dummy_plugin MODULE dummy_plugin.c) + +target_include_directories(dummy_plugin + PRIVATE + ${OPAE_INCLUDE_PATH} + ${OPAE_LIBS_ROOT}/libopae-c) + +target_link_libraries(dummy_plugin ${libjson-c_LIBRARIES}) +add_dependencies(test_opae_pluginmgr_c dummy_plugin) diff --git a/opae-libs/tests/opae-c/dummy_plugin.c b/opae-libs/tests/opae-c/dummy_plugin.c new file mode 100644 index 0000000..0f37a58 --- /dev/null +++ b/opae-libs/tests/opae-c/dummy_plugin.c @@ -0,0 +1,213 @@ +// Copyright(c) 2018-2019, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#include +#include +#include "adapter.h" +#include "opae_int.h" + +#define DUMMY_HIDDEN __attribute__((visibility("hidden"))) + +static uint32_t _fake_tokens; + +int DUMMY_HIDDEN dummy_plugin_initialize(void) +{ + return 0; +} + +int DUMMY_HIDDEN dummy_plugin_finalize(void) +{ + return 0; +} + +bool DUMMY_HIDDEN dummy_plugin_supports_device(const char *device_type) +{ + UNUSED_PARAM(device_type); + return true; +} + +bool DUMMY_HIDDEN dummy_plugin_supports_host(const char *hostname) +{ + UNUSED_PARAM(hostname); + return true; +} + +typedef struct _dummy_token { + int number; +} dummy_token; + +typedef struct _dummy_handle { + int number; + dummy_token *token; +} dummy_handle; + +#define MIN(x,y) (x < y) ? x : y +fpga_result DUMMY_HIDDEN dummy_plugin_fpgaEnumerate(const fpga_properties *filters, + uint32_t num_filters, fpga_token *tokens, + uint32_t max_tokens, uint32_t *num_matches) +{ + uint32_t i = 0; + uint32_t min = MIN(_fake_tokens, max_tokens); + UNUSED_PARAM(filters); + UNUSED_PARAM(num_filters); + *num_matches = _fake_tokens; + + for ( ; i < min; ++i) { + dummy_token *t = (dummy_token*)malloc(sizeof(dummy_token)); + if (!t) { + goto err_enum; + } + t->number = i; + tokens[i] = t; + } + return FPGA_OK; +err_enum: + while (--i) { + free(tokens[i]); + } + free(tokens[0]); + return FPGA_NO_MEMORY; +} + +fpga_result DUMMY_HIDDEN dummy_plugin_fpgaDestroyToken(fpga_token *t) +{ + dummy_token *dt = (dummy_token *)*t; + free(dt); + *t = NULL; + return FPGA_OK; +} + +fpga_result DUMMY_HIDDEN dummy_plugin_fpgaOpen(fpga_token t, fpga_handle *h, int flags) +{ + UNUSED_PARAM(h); + dummy_token *dt = (dummy_token*)t; + dummy_handle *dh = (dummy_handle*)malloc(sizeof(dummy_handle)); + //printf("dummy/fpgaOpen %d %d\n", dh->number, flags); + dh->number = dt->number*flags*2; + dh->token = dt; + *h = (fpga_handle)dh; + return FPGA_OK; +} + +fpga_result DUMMY_HIDDEN dummy_plugin_fpgaClose(fpga_handle h) +{ + dummy_handle *dh = (dummy_handle *)h; + //printf("dummy/fpgaClose %d\n", dh->number); + free(dh); + return FPGA_OK; +} + +#define DUMMY_JSON_GET(_jobj, _key, _jval) \ + do { \ + if (!json_object_object_get_ex(_jobj, _key, _jval)) { \ + fprintf(stderr, "error getting value for key: %s", _key); \ + return 1; \ + } \ + } while (0) +int __attribute__((visibility("default"))) opae_plugin_configure(opae_api_adapter_table *adapter, + const char *jsonConfig) +{ + json_object *root = NULL; + json_object *j_hello = NULL; + json_object *j_plugin = NULL; + json_object *j_fake_tokens = NULL; + enum json_tokener_error j_err = json_tokener_success; + //printf("%s\n", jsonConfig); + root = json_tokener_parse_verbose(jsonConfig, &j_err); + if (j_err != json_tokener_success) { + fprintf(stderr, "error parsing plugin config: %s\n", + json_tokener_error_desc(j_err)); + return 1; + } + DUMMY_JSON_GET(root, "key1", &j_hello); + DUMMY_JSON_GET(root, "key2", &j_plugin); + DUMMY_JSON_GET(root, "fake_tokens", &j_fake_tokens); + printf("%s %s!\n", json_object_get_string(j_hello), + json_object_get_string(j_plugin)); + _fake_tokens = json_object_get_int(j_fake_tokens); + + adapter->initialize = dummy_plugin_initialize; + adapter->finalize = NULL; + adapter->supports_device = dummy_plugin_supports_device; + adapter->supports_host = NULL; + adapter->fpgaEnumerate = dummy_plugin_fpgaEnumerate; + adapter->fpgaDestroyToken = dummy_plugin_fpgaDestroyToken; + adapter->fpgaOpen = dummy_plugin_fpgaOpen; + adapter->fpgaClose = dummy_plugin_fpgaClose; + + adapter->fpgaReset = NULL; + adapter->fpgaGetPropertiesFromHandle = NULL; + adapter->fpgaGetProperties = NULL; + adapter->fpgaUpdateProperties = NULL; + adapter->fpgaWriteMMIO64 = NULL; + adapter->fpgaReadMMIO64 = NULL; + adapter->fpgaWriteMMIO32 = NULL; + adapter->fpgaReadMMIO32 = NULL; + adapter->fpgaWriteMMIO512 = NULL; + adapter->fpgaMapMMIO = NULL; + adapter->fpgaUnmapMMIO = NULL; + adapter->fpgaCloneToken = NULL; + adapter->fpgaGetNumUmsg = NULL; + adapter->fpgaSetUmsgAttributes = NULL; + adapter->fpgaTriggerUmsg = NULL; + adapter->fpgaGetUmsgPtr = NULL; + adapter->fpgaPrepareBuffer = NULL; + adapter->fpgaReleaseBuffer = NULL; + adapter->fpgaGetIOAddress = NULL; + /* + ** adapter->fpgaGetOPAECVersion = NULL; + ** adapter->fpgaGetOPAECVersionString = NULL; + *adapter->fpgaGetOPAECBuildString = NULL; + */ + adapter->fpgaReadError = NULL; + adapter->fpgaClearError = NULL; + adapter->fpgaClearAllErrors = NULL; + adapter->fpgaGetErrorInfo = NULL; + adapter->fpgaCreateEventHandle = NULL; + adapter->fpgaDestroyEventHandle = NULL; + adapter->fpgaGetOSObjectFromEventHandle = NULL; + adapter->fpgaRegisterEvent = NULL; + adapter->fpgaUnregisterEvent = NULL; + adapter->fpgaAssignPortToInterface = NULL; + adapter->fpgaAssignToInterface = NULL; + adapter->fpgaReleaseFromInterface = NULL; + adapter->fpgaReconfigureSlot = NULL; + adapter->fpgaTokenGetObject = NULL; + adapter->fpgaHandleGetObject = NULL; + adapter->fpgaObjectGetObject = NULL; + adapter->fpgaDestroyObject = NULL; + adapter->fpgaObjectRead = NULL; + adapter->fpgaObjectRead64 = NULL; + adapter->fpgaObjectGetSize = NULL; + adapter->fpgaObjectWrite64 = NULL; + adapter->fpgaSetUserClock = NULL; + adapter->fpgaGetUserClock = NULL; + adapter->fpgaGetNumMetrics = NULL; + adapter->fpgaGetMetricsInfo = NULL; + adapter->fpgaGetMetricsByIndex = NULL; + adapter->fpgaGetMetricsByName = NULL; + json_object_put(root); + return 0; +} diff --git a/opae-libs/tests/opae-c/test_buffer_c.cpp b/opae-libs/tests/opae-c/test_buffer_c.cpp new file mode 100644 index 0000000..2344fd5 --- /dev/null +++ b/opae-libs/tests/opae-c/test_buffer_c.cpp @@ -0,0 +1,122 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +extern "C" { + +#include +#include +#include "opae_int.h" + +} + +#include + +#include +#include +#include +#include +#include +#include +#include +#include +#include "mock/mock_opae.h" + +using namespace opae::testing; + +class buffer_c_p : public mock_opae_p<2> { + protected: + buffer_c_p() {} + + virtual void test_setup() override { + ASSERT_EQ(fpgaInitialize(NULL), FPGA_OK); + ASSERT_EQ(fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), FPGA_OK); + auto device_id = platform_.devices[0].device_id; + if (platform_.devices[0].num_vfs) { + device_id++; + } + + ASSERT_EQ(fpgaPropertiesSetDeviceID(filter_, device_id), FPGA_OK); + num_matches_ = 0; + ASSERT_EQ(fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), FPGA_OK); + + accel_ = nullptr; + ASSERT_EQ(fpgaOpen(tokens_[0], &accel_, 0), FPGA_OK); + pg_size_ = (size_t) sysconf(_SC_PAGE_SIZE); + } + + virtual void test_teardown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + if (accel_) { + EXPECT_EQ(fpgaClose(accel_), FPGA_OK); + accel_ = nullptr; + } + fpgaFinalize(); + } + + fpga_properties filter_; + fpga_handle accel_; + size_t pg_size_; + uint32_t num_matches_; +}; + +/** + * @test prep_rel + * @brief Test: fpgaPrepareBuffer, fpgaReleaseBuffer + * @details When fpgaPrepareBuffer retrieves a valid buffer pointer and wsid,
    + * then a subsequent call to fpgaReleaseBuffer with the wsid,
    + * also returns FPGA_OK.
    + */ +TEST_P(buffer_c_p, prep_rel) { + void *buf_addr = nullptr; + uint64_t wsid = 0; + ASSERT_EQ(fpgaPrepareBuffer(accel_, (uint64_t) pg_size_, + &buf_addr, &wsid, 0), FPGA_OK); + EXPECT_NE(buf_addr, nullptr); + EXPECT_NE(wsid, 0); + EXPECT_EQ(fpgaReleaseBuffer(accel_, wsid), FPGA_OK); +} + +/** + * @test ioaddr + * @brief Test: fpgaGetIOAddress + * @details When called with a valid wsid,
    + * fpgaGetIOAddress retrieves the IO address for the wsid
    + * and returns FPGA_OK.
    + */ +TEST_P(buffer_c_p, ioaddr) { + void *buf_addr = nullptr; + uint64_t wsid = 0; + uint64_t io = 0xdecafbadbeefdead; + ASSERT_EQ(fpgaPrepareBuffer(accel_, (uint64_t) pg_size_, + &buf_addr, &wsid, 0), FPGA_OK); + EXPECT_EQ(fpgaGetIOAddress(accel_, wsid, &io), FPGA_OK); + EXPECT_NE(io, 0xdecafbadbeefdead); + EXPECT_EQ(fpgaReleaseBuffer(accel_, wsid), FPGA_OK); +} + +INSTANTIATE_TEST_CASE_P(buffer_c, buffer_c_p, ::testing::ValuesIn(test_platform::platforms({}))); diff --git a/opae-libs/tests/opae-c/test_enum_c.cpp b/opae-libs/tests/opae-c/test_enum_c.cpp new file mode 100644 index 0000000..b476bd9 --- /dev/null +++ b/opae-libs/tests/opae-c/test_enum_c.cpp @@ -0,0 +1,721 @@ +// Copyright(c) 2017-2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef __cplusplus + +extern "C" { +#endif + +#include +#include +#include +#include "opae_int.h" + +#ifdef __cplusplus +} +#endif + +#include +#include +#include +#include +#include +#include +#include +#include +#include "mock/mock_opae.h" +#include +using namespace opae::testing; + +class enum_c_p : public mock_opae_p<2, none_> { + protected: + enum_c_p() {} + + virtual void test_setup() override { + + ASSERT_EQ(fpgaInitialize(NULL), FPGA_OK); + filter_ = nullptr; + ASSERT_EQ(fpgaGetProperties(nullptr, &filter_), FPGA_OK); + num_matches_ = 0; + } + + + virtual void test_teardown() override { + num_matches_ = 0; + if (filter_ != nullptr) { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + } + fpgaFinalize(); + } + + // Need a concrete way to determine the number of fpgas on the system + // without relying on fpgaEnumerate() since that is the function that + // is under test. + virtual int GetNumFpgas() { + if (platform_.mock_sysfs != nullptr) { + return platform_.devices.size(); + } + + int value; + std::string cmd = + "(ls -l /sys/class/fpga*/region*/*fme*/dev || " + "ls -l /sys/class/fpga*/*intel*) | (wc -l)"; + + ExecuteCmd(cmd, value); + return value; + } + + virtual int GetNumMatchedFpga () { + if (platform_.mock_sysfs != nullptr) { + return 1; + } + + int matches = 0; + int socket_id; + int i; + for (i = 0; i < GetNumFpgas(); i++) { + std::string cmd = "cat /sys/class/fpga*/*" + std::to_string(i) + + "/*fme." + std::to_string(i) + "/socket_id"; + + ExecuteCmd(cmd, socket_id); + if (socket_id == (int)platform_.devices[0].socket_id) { + matches++; + } + } + + return matches; + } + + int GetMatchedGuidFpgas() { + if (platform_.mock_sysfs != nullptr) { + return platform_.devices.size(); + } + + int matches = 0; + std::string afu_id; + std::string afu_id_expected = platform_.devices[0].afu_guid; + + afu_id_expected.erase(std::remove(afu_id_expected.begin(), + afu_id_expected.end(), '-'), + afu_id_expected.end()); + transform(afu_id_expected.begin(), afu_id_expected.end(), + afu_id_expected.begin(), ::tolower); + + int i; + for (i = 0; i < GetNumFpgas(); i++) { + std::string cmd = "cat /sys/class/fpga*/*" + std::to_string(i) + + "/*port." + std::to_string(i) + "/afu_id > output.txt"; + EXPECT_EQ(std::system(cmd.c_str()), 0); + std::ifstream file("output.txt"); + EXPECT_TRUE(file.is_open()); + EXPECT_TRUE(std::getline(file, afu_id)); + file.close(); + EXPECT_EQ(unlink("output.txt"), 0); + + if (afu_id == afu_id_expected) { + matches++; + } + } + + return matches; + } + + virtual int GetNumDeviceID() { + if (platform_.mock_sysfs != nullptr) { + return 1; + } + + std::stringstream stream; + stream << std::hex << platform_.devices[0].device_id; + std::string device_id(stream.str()); + + int value; + std::string cmd = "lspci | " + "grep \'Processing accelerators: " + "Intel Corporation\' | " + "grep -oE \'[^ ]+$\' | " + "grep " + device_id + " | " + "wc -l"; + + ExecuteCmd(cmd, value); + return value; + } + + virtual void ExecuteCmd(std::string cmd, int &value) { + std::string line; + std::string command = cmd + " > output.txt"; + + EXPECT_EQ(std::system(command.c_str()), 0); + + std::ifstream file("output.txt"); + + ASSERT_TRUE(file.is_open()); + EXPECT_TRUE(std::getline(file, line)); + file.close(); + + EXPECT_EQ(std::system("rm output.txt"), 0); + + value = std::stoi(line); + } + + fpga_properties filter_; + uint32_t num_matches_; +}; + +TEST_P(enum_c_p, nullfilter) { + EXPECT_EQ( + fpgaEnumerate(nullptr, 0, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetNumFpgas() * 2); + + uint32_t matches = 0; + EXPECT_EQ(fpgaEnumerate(nullptr, 1, tokens_.data(), tokens_.size(), &matches), + FPGA_INVALID_PARAM); +} + +TEST_P(enum_c_p, nullmatches) { + EXPECT_EQ(fpgaEnumerate(&filter_, 0, tokens_.data(), tokens_.size(), NULL), + FPGA_INVALID_PARAM); + EXPECT_EQ( + fpgaEnumerate(&filter_, 0, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_INVALID_PARAM); +} + +TEST_P(enum_c_p, nulltokens) { + EXPECT_EQ(fpgaEnumerate(&filter_, 0, NULL, tokens_.size(), &num_matches_), + FPGA_INVALID_PARAM); +} + +TEST_P(enum_c_p, object_type) { + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetNumFpgas()); + + DestroyTokens(); + + EXPECT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetNumFpgas()); +} + +TEST_P(enum_c_p, parent) { + EXPECT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetNumFpgas()); + + fpga_token tok = nullptr; + ASSERT_EQ(fpgaCloneToken(tokens_[0], &tok), FPGA_OK); + + DestroyTokens(); + + ASSERT_EQ(fpgaClearProperties(filter_), FPGA_OK); + EXPECT_EQ(fpgaPropertiesSetParent(filter_, tok), FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 1); + EXPECT_EQ(fpgaDestroyToken(&tok), FPGA_OK); +} + +TEST_P(enum_c_p, segment) { + auto device = platform_.devices[0]; + + ASSERT_EQ(fpgaPropertiesSetSegment(filter_, device.segment), FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + // multiply by two to account for port/fme devices + EXPECT_EQ(num_matches_, GetNumFpgas() * 2); + + DestroyTokens(); + + ASSERT_EQ(fpgaPropertiesSetSegment(filter_, invalid_device_.segment), + FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + + +TEST_P(enum_c_p, bus) { + auto device = platform_.devices[0]; + + ASSERT_EQ(fpgaPropertiesSetBus(filter_, device.bus), FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + // multiply by two to account for port/fme devices + EXPECT_EQ(num_matches_, 2); + + DestroyTokens(); + + ASSERT_EQ(fpgaPropertiesSetBus(filter_, invalid_device_.bus), FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + +TEST_P(enum_c_p, device) { + auto device = platform_.devices[0]; + + ASSERT_EQ(fpgaPropertiesSetDevice(filter_, device.device), FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetNumFpgas() * 2); + + DestroyTokens(); + + ASSERT_EQ(fpgaPropertiesSetDevice(filter_, invalid_device_.device), FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + +TEST_P(enum_c_p, function) { + auto device = platform_.devices[0]; + + ASSERT_EQ(fpgaPropertiesSetFunction(filter_, device.function), FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetNumFpgas() * (device.num_vfs == 0 ? 2 : 1)); + num_matches_ = 0; + DestroyTokens(); + for (int i = 1; i < device.num_vfs+1; ++i) { + ASSERT_EQ(fpgaPropertiesSetFunction(filter_, i), FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 1); + DestroyTokens(); + } +} + + +TEST_P(enum_c_p, invalid_function) { + + ASSERT_EQ(fpgaPropertiesSetFunction(filter_, invalid_device_.function), + FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + + +TEST_P(enum_c_p, vendor_id) { + auto device = platform_.devices[0]; + + ASSERT_EQ(fpgaPropertiesSetVendorID(filter_, device.vendor_id), FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetNumFpgas() * 2); +} + + +TEST_P(enum_c_p, invalid_vendor_id) { + + + ASSERT_EQ(fpgaPropertiesSetVendorID(filter_, invalid_device_.vendor_id), + FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + +TEST_P(enum_c_p, device_id) { + auto device = platform_.devices[0]; + + ASSERT_EQ(fpgaPropertiesSetDeviceID(filter_, device.device_id), FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetNumDeviceID() * (device.num_vfs == 0 ? 2 : 1)); + DestroyTokens(); + num_matches_ = 0; + for (int i = 1; i < device.num_vfs+1; ++i) { + ASSERT_EQ(fpgaPropertiesSetDeviceID(filter_, device.device_id+i), FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 1); + DestroyTokens(); + } +} + +TEST_P(enum_c_p, invalid_device_id) { + + ASSERT_EQ(fpgaPropertiesSetDeviceID(filter_, invalid_device_.device_id), + FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + +TEST_P(enum_c_p, object_id_fme) { + fpga_properties prop = nullptr; + uint64_t object_id; + + ASSERT_EQ(fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), + FPGA_OK); + ASSERT_GT(num_matches_, 0); + + EXPECT_EQ(fpgaGetProperties(tokens_[0], &prop), FPGA_OK); + EXPECT_EQ(fpgaPropertiesGetObjectID(prop, &object_id), FPGA_OK); + + DestroyTokens(); + + ASSERT_EQ(fpgaPropertiesSetObjectID(filter_, object_id), FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 1); + EXPECT_EQ(FPGA_OK, fpgaDestroyProperties(&prop)); +} + +TEST_P(enum_c_p, object_id_fme_neg) { + ASSERT_EQ(fpgaPropertiesSetObjectID(filter_, invalid_device_.fme_object_id), + FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + +TEST_P(enum_c_p, object_id_port) { + fpga_properties prop = nullptr; + uint64_t object_id; + + ASSERT_EQ(fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), + FPGA_OK); + ASSERT_GT(num_matches_, 0); + + EXPECT_EQ(fpgaGetProperties(tokens_[0], &prop), FPGA_OK); + EXPECT_EQ(fpgaPropertiesGetObjectID(prop, &object_id), FPGA_OK); + + DestroyTokens(); + + ASSERT_EQ(fpgaPropertiesSetObjectID(filter_, object_id), FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 1); + EXPECT_EQ(FPGA_OK, fpgaDestroyProperties(&prop)); +} + +TEST_P(enum_c_p, object_id_port_neg) { + ASSERT_EQ(fpgaPropertiesSetObjectID(filter_, invalid_device_.port_object_id), + FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + +TEST_P(enum_c_p, guid) { + auto device = platform_.devices[0]; + // fme guid + fpga_guid fme_guid, afu_guid, random_guid; + ASSERT_EQ(uuid_parse(device.fme_guid, fme_guid), 0); + ASSERT_EQ(uuid_parse(device.afu_guid, afu_guid), 0); + ASSERT_EQ(uuid_parse(invalid_device_.afu_guid, random_guid), 0); + ASSERT_EQ(fpgaPropertiesSetGUID(filter_, fme_guid), FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, platform_.devices.size()); + + DestroyTokens(); + + // afu guid + ASSERT_EQ(fpgaPropertiesSetGUID(filter_, afu_guid), FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetMatchedGuidFpgas()); + + DestroyTokens(); + + // random guid + ASSERT_EQ(fpgaPropertiesSetGUID(filter_, random_guid), FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + +TEST_P(enum_c_p, clone_token01) { + EXPECT_EQ( + fpgaEnumerate(nullptr, 0, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + ASSERT_EQ(num_matches_, GetNumFpgas() * 2); + fpga_token src = tokens_[0]; + fpga_token dst = nullptr; + EXPECT_EQ(fpgaCloneToken(src, &dst), FPGA_OK); + EXPECT_EQ(fpgaDestroyToken(&dst), FPGA_OK); +} + +TEST_P(enum_c_p, clone_wo_src_dst) { + EXPECT_EQ( + fpgaEnumerate(nullptr, 0, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetNumFpgas() * 2); + fpga_token src = tokens_[0]; + fpga_token dst; + EXPECT_EQ(fpgaCloneToken(NULL, &dst), FPGA_INVALID_PARAM); + EXPECT_EQ(fpgaCloneToken(&src, NULL), FPGA_INVALID_PARAM); +} + +TEST_P(enum_c_p, no_token_magic) { + fpga_token src = nullptr, dst = nullptr; + EXPECT_NE(fpgaCloneToken(&src, &dst), FPGA_OK); +} + +TEST_P(enum_c_p, destroy_token) { + opae_wrapped_token *dummy = new opae_wrapped_token; + memset(dummy, 0, sizeof(opae_wrapped_token)); + EXPECT_EQ(fpgaDestroyToken((fpga_token *)&dummy), FPGA_INVALID_PARAM); + delete dummy; + EXPECT_EQ(fpgaDestroyToken(nullptr), FPGA_INVALID_PARAM); +} + +TEST_P(enum_c_p, num_slots) { + auto device = platform_.devices[0]; + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetNumSlots(filter_, device.num_slots), FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetNumFpgas()); + + DestroyTokens(); + + ASSERT_EQ(fpgaPropertiesSetNumSlots(filter_, invalid_device_.num_slots), + FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + +TEST_P(enum_c_p, bbs_id) { + auto device = platform_.devices[0]; + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetBBSID(filter_, device.bbs_id), FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, platform_.devices.size()); + + DestroyTokens(); + + ASSERT_EQ(fpgaPropertiesSetBBSID(filter_, invalid_device_.bbs_id), FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + +TEST_P(enum_c_p, bbs_version) { + auto device = platform_.devices[0]; + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetBBSVersion(filter_, device.bbs_version), FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, platform_.devices.size()); + + DestroyTokens(); + + ASSERT_EQ(fpgaPropertiesSetBBSVersion(filter_, invalid_device_.bbs_version), + FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + +TEST_P(enum_c_p, state) { + auto device = platform_.devices[0]; + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetAcceleratorState(filter_, device.state), FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetNumFpgas()); + + DestroyTokens(); + + ASSERT_EQ(fpgaPropertiesSetAcceleratorState(filter_, invalid_device_.state), + FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + +TEST_P(enum_c_p, num_mmio) { + auto device = platform_.devices[0]; + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetNumMMIO(filter_, device.num_mmio), FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetNumFpgas()); + + DestroyTokens(); + + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetNumMMIO(filter_, invalid_device_.num_mmio), + FPGA_INVALID_PARAM); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + +TEST_P(enum_c_p, num_interrupts) { + auto device = platform_.devices[0]; + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetNumInterrupts(filter_, device.num_interrupts), + FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetNumFpgas()); + + DestroyTokens(); + + ASSERT_EQ( + fpgaPropertiesSetNumInterrupts(filter_, invalid_device_.num_interrupts), + FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + +TEST(wrapper, validate) { + EXPECT_EQ(NULL, opae_validate_wrapped_token(NULL)); + EXPECT_EQ(NULL, opae_validate_wrapped_handle(NULL)); + EXPECT_EQ(NULL, opae_validate_wrapped_event_handle(NULL)); + EXPECT_EQ(NULL, opae_validate_wrapped_object(NULL)); +} + +INSTANTIATE_TEST_CASE_P(enum_c, enum_c_p, + ::testing::ValuesIn(test_platform::platforms({}))); + +class enum_c_mock_p : public enum_c_p {}; + +TEST_P(enum_c_mock_p, clone_token02) { + EXPECT_EQ( + fpgaEnumerate(nullptr, 0, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + ASSERT_EQ(num_matches_, GetNumFpgas() * 2); + fpga_token src = tokens_[0]; + fpga_token dst = nullptr; + // Invalidate the allocation of the wrapped token. + system_->invalidate_malloc(0, "opae_allocate_wrapped_token"); + EXPECT_EQ(fpgaCloneToken(src, &dst), FPGA_NO_MEMORY); +} + +INSTANTIATE_TEST_CASE_P(enum_c, enum_c_mock_p, + ::testing::ValuesIn(test_platform::mock_platforms())); + +class enum_c_err_p : public enum_c_p {}; + +TEST_P(enum_c_err_p, num_errors) { + auto device = platform_.devices[0]; + + // fme num_errors + ASSERT_EQ(fpgaPropertiesSetNumErrors(filter_, device.fme_num_errors), FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetNumFpgas()); + + DestroyTokens(); + + // afu num_errors + ASSERT_EQ(fpgaPropertiesSetNumErrors(filter_, device.port_num_errors), + FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetNumFpgas()); + + DestroyTokens(); + + // invalid + ASSERT_EQ( + fpgaPropertiesSetNumErrors(filter_, invalid_device_.port_num_errors), + FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + +INSTANTIATE_TEST_CASE_P(enum_c, enum_c_err_p, + ::testing::ValuesIn(test_platform::platforms({ "skx-p","dcp-rc" }))); + +class enum_c_socket_p : public enum_c_p {}; + +TEST_P(enum_c_socket_p, socket_id) { + auto device = platform_.devices[0]; + ASSERT_EQ(fpgaPropertiesSetSocketID(filter_, device.socket_id), FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetNumMatchedFpga() * 2); + + DestroyTokens(); + + ASSERT_EQ(fpgaPropertiesSetSocketID(filter_, invalid_device_.socket_id), + FPGA_OK); + EXPECT_EQ( + fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + +INSTANTIATE_TEST_CASE_P(enum_c, enum_c_socket_p, + ::testing::ValuesIn(test_platform::platforms({ "skx-p","dcp-rc","dcp-vc" }))); diff --git a/opae-libs/tests/opae-c/test_error_c.cpp b/opae-libs/tests/opae-c/test_error_c.cpp new file mode 100644 index 0000000..16a69ad --- /dev/null +++ b/opae-libs/tests/opae-c/test_error_c.cpp @@ -0,0 +1,163 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +extern "C" { + +#include +#include +#include "opae_int.h" +} + +#include + +#include +#include +#include +#include +#include +#include +#include "gtest/gtest.h" +#include "mock/test_system.h" + +using namespace opae::testing; + +class error_c_p : public ::testing::TestWithParam { + protected: + error_c_p() : tokens_{{nullptr, nullptr}} {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + filter_ = nullptr; + ASSERT_EQ(fpgaInitialize(NULL), FPGA_OK); + ASSERT_EQ(fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), FPGA_OK); + num_matches_ = 0; + ASSERT_EQ(fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), FPGA_OK); + EXPECT_GT(num_matches_, 0); + } + + virtual void TearDown() override { + if (filter_) { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + } + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + fpgaFinalize(); + system_->finalize(); + } + + fpga_properties filter_; + std::array tokens_; + test_platform platform_; + uint32_t num_matches_; + test_system *system_; +}; + +/** + * @test read + * @brief Test: fpgaReadError + * @details When fpgaReadError is called with valid params,
    + * it retrieves the value of the requested error,
    + * and the fn returns FPGA_OK.
    + */ +TEST_P(error_c_p, read) { + uint64_t val = 0xdeadbeefdecafbad; + EXPECT_EQ(fpgaReadError(tokens_[0], 0, &val), FPGA_OK); + EXPECT_EQ(val, 0); +} + +/** + * @test get_info + * @brief Test: fpgaGetErrorInfo + * @details When fpgaGetErrorInfo is called with valid params,
    + * it retrieves the info of the requested error,
    + * and the fn returns FPGA_OK.
    + */ +TEST_P(error_c_p, get_info) { + fpga_properties props = nullptr; + uint32_t num_errors = 0; + ASSERT_EQ(fpgaGetProperties(tokens_[0], &props), FPGA_OK); + ASSERT_EQ(fpgaPropertiesGetNumErrors(props, &num_errors), FPGA_OK); + // this is a port, which only has three error registers + ASSERT_EQ(num_errors, platform_.devices[0].port_num_errors); + std::map knows_errors = {{"errors", true}, + {"first_error", false}, + {"first_malformed_req", false}}; + std::vector info_list(num_errors); + for (int i = 0; i < num_errors; ++i) { + fpga_error_info & info = info_list[i]; + EXPECT_EQ(fpgaGetErrorInfo(tokens_[0], i, &info), FPGA_OK); + EXPECT_EQ(info.can_clear, knows_errors[info.name]); + } + + EXPECT_EQ(FPGA_OK, fpgaDestroyProperties(&props)); +} + +/** + * @test clear + * @brief Test: fpgaClearError + * @details When fpgaClearError is called with valid params,
    + * it clears the requested error,
    + * and the fn returns FPGA_OK.
    + */ +TEST_P(error_c_p, clear) { + fpga_error_info info; + uint32_t e = 0; + bool cleared = false; + while (fpgaGetErrorInfo(tokens_[0], e, &info) == FPGA_OK) { + if (info.can_clear) { + EXPECT_EQ(fpgaClearError(tokens_[0], e), FPGA_OK); + cleared = true; + break; + } + ++e; + } + EXPECT_EQ(cleared, true); +} + +/** + * @test clear + * @brief Test: fpgaClearAllErrors + * @details When fpgaClearAllErrors is called with valid params,
    + * it clears the requested errors,
    + * and the fn returns FPGA_OK.
    + */ +TEST_P(error_c_p, clear_all) { + EXPECT_EQ(fpgaClearAllErrors(tokens_[0]), FPGA_OK); +} + +INSTANTIATE_TEST_CASE_P(error_c, error_c_p, + ::testing::ValuesIn(test_platform::platforms({ "skx-p","dcp-rc","dcp-vc" }))); diff --git a/opae-libs/tests/opae-c/test_event_c.cpp b/opae-libs/tests/opae-c/test_event_c.cpp new file mode 100644 index 0000000..a9197db --- /dev/null +++ b/opae-libs/tests/opae-c/test_event_c.cpp @@ -0,0 +1,352 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +extern "C" { +#include +#include +} + +#include +#include "intel-fpga.h" +#include + +#include +#include +#include +#include +#include +#include +#include +#include +#include "gtest/gtest.h" +#include "mock/test_system.h" +#include "mock/fpgad_control.h" + +using namespace opae::testing; + +class event_c_p : public ::testing::TestWithParam, + public fpgad_control { + protected: + event_c_p() + : tokens_{{nullptr, nullptr}} {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + ASSERT_EQ(fpgaInitialize(NULL), FPGA_OK); + ASSERT_EQ(fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), FPGA_OK); + num_matches_ = 0; + ASSERT_EQ(fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), + FPGA_OK); + EXPECT_GT(num_matches_, 0); + accel_ = nullptr; + ASSERT_EQ(fpgaOpen(tokens_[0], &accel_, 0), FPGA_OK); + + event_handle_ = nullptr; + EXPECT_EQ(fpgaCreateEventHandle(&event_handle_), FPGA_OK); + + fpgad_start(); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyEventHandle(&event_handle_), FPGA_OK); + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + if (accel_) { + EXPECT_EQ(fpgaClose(accel_), FPGA_OK); + accel_ = nullptr; + } + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + fpgad_stop(); + fpgaFinalize(); + system_->finalize(); + } + + std::array tokens_; + fpga_properties filter_; + fpga_handle accel_; + fpga_event_handle event_handle_; + test_platform platform_; + uint32_t num_matches_; + test_system *system_; +}; + +/** + * @test get_obj_err01 + * @brief Test: fpgaGetOSObjectFromEventHandle + * @details When fpgaGetOSObjectFromEventHandle is called prior
    + * to registering an event type,
    + * the fn returns FPGA_INVALID_PARAM.
    + */ +TEST_P(event_c_p, get_obj_err01) { + int fd = -1; + EXPECT_EQ(fpgaGetOSObjectFromEventHandle(event_handle_, &fd), FPGA_INVALID_PARAM); +} + +/** + * @test get_obj_err02 + * @brief Test: fpgaGetOSObjectFromEventHandle + * @details When fpgaGetOSObjectFromEventHandle is called with a wrapped
    + * event handle that has a NULL opae_event_handle,
    + * the fn returns FPGA_INVALID_PARAM.
    + */ +TEST_P(event_c_p, get_obj_err02) { + EXPECT_EQ(fpgaRegisterEvent(accel_, FPGA_EVENT_ERROR, + event_handle_, 0), FPGA_OK); + + opae_wrapped_event_handle *wrapped_evt_handle = + opae_validate_wrapped_event_handle(event_handle_); + ASSERT_NE(wrapped_evt_handle, nullptr); + + fpga_event_handle eh = wrapped_evt_handle->opae_event_handle; + wrapped_evt_handle->opae_event_handle = nullptr; + + int fd = -1; + EXPECT_EQ(fpgaGetOSObjectFromEventHandle(event_handle_, &fd), FPGA_INVALID_PARAM); + + wrapped_evt_handle->opae_event_handle = eh; + + EXPECT_EQ(fpgaUnregisterEvent(accel_, FPGA_EVENT_ERROR, + event_handle_), FPGA_OK); +} + +/** + * @test get_obj_success + * @brief Test: fpgaRegisterEvent, fpgaUnregisterEvent, fpgaGetOSObjectFromEventHandle + * @details When fpgaGetOSObjectFromEventHandle is called after
    + * registering an event type,
    + * the fn returns FPGA_OK.
    + */ +TEST_P(event_c_p, get_obj_success) { + int fd = -1; + EXPECT_EQ(fpgaRegisterEvent(accel_, FPGA_EVENT_ERROR, + event_handle_, 0), FPGA_OK); + EXPECT_EQ(fpgaGetOSObjectFromEventHandle(event_handle_, &fd), FPGA_OK); + + EXPECT_EQ(fpgaUnregisterEvent(accel_, FPGA_EVENT_ERROR, + event_handle_), FPGA_OK); +} + +/** + * @test unreg_err01 + * @brief Test: fpgaUnregisterEvent + * @details When fpgaUnregisterEvent is called before
    + * registering an event type,
    + * the fn returns FPGA_INVALID_PARAM.
    + */ +TEST_P(event_c_p, unreg_err01) { + EXPECT_EQ(fpgaUnregisterEvent(accel_, FPGA_EVENT_ERROR, + event_handle_), FPGA_INVALID_PARAM); +} + +/** + * @test unreg_err02 + * @brief Test: fpgaUnregisterEvent + * @details When fpgaUnregisterEvent is called on a wrapped
    + * event handle object with a NULL opae_event_handle,
    + * the fn returns FPGA_INVALID_PARAM.
    + */ +TEST_P(event_c_p, unreg_err02) { + EXPECT_EQ(fpgaRegisterEvent(accel_, FPGA_EVENT_ERROR, + event_handle_, 0), FPGA_OK); + + opae_wrapped_event_handle *wrapped_evt_handle = + opae_validate_wrapped_event_handle(event_handle_); + ASSERT_NE(wrapped_evt_handle, nullptr); + + fpga_event_handle eh = wrapped_evt_handle->opae_event_handle; + wrapped_evt_handle->opae_event_handle = nullptr; + + EXPECT_EQ(fpgaUnregisterEvent(accel_, FPGA_EVENT_ERROR, + event_handle_), FPGA_INVALID_PARAM); + + wrapped_evt_handle->opae_event_handle = eh; + + EXPECT_EQ(fpgaUnregisterEvent(accel_, FPGA_EVENT_ERROR, + event_handle_), FPGA_OK); +} + +/** + * @test destroy_err + * @brief Test: fpgaDestroyEventHandle + * @details When fpgaDestroyEventHandle is called on a wrapped
    + * event handle object with a NULL opae_event_handle,
    + * the fn returns FPGA_INVALID_PARAM.
    + */ +TEST_P(event_c_p, destroy_err) { + EXPECT_EQ(fpgaRegisterEvent(accel_, FPGA_EVENT_ERROR, + event_handle_, 0), FPGA_OK); + + opae_wrapped_event_handle *wrapped_evt_handle = + opae_validate_wrapped_event_handle(event_handle_); + ASSERT_NE(wrapped_evt_handle, nullptr); + + fpga_event_handle eh = wrapped_evt_handle->opae_event_handle; + wrapped_evt_handle->opae_event_handle = nullptr; + + EXPECT_EQ(fpgaDestroyEventHandle(&event_handle_), FPGA_INVALID_PARAM); + + wrapped_evt_handle->opae_event_handle = eh; + + EXPECT_EQ(fpgaUnregisterEvent(accel_, FPGA_EVENT_ERROR, + event_handle_), FPGA_OK); +} + +INSTANTIATE_TEST_CASE_P(event_c, event_c_p, + ::testing::ValuesIn(test_platform::platforms({}))); + + +class events_handle_p : public ::testing::TestWithParam, + public fpgad_control { + protected: + events_handle_p() + : filter_accel_(nullptr), + tokens_accel_{{nullptr, nullptr}}, + handle_accel_(nullptr) {} + + virtual void SetUp() override { + std::string platform_key = GetParam(); + ASSERT_TRUE(test_platform::exists(platform_key)); + platform_ = test_platform::get(platform_key); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + ASSERT_EQ(FPGA_OK, fpgaInitialize(NULL)); + + ASSERT_EQ(fpgaGetProperties(nullptr, &filter_accel_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_accel_, FPGA_ACCELERATOR), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetDeviceID(filter_accel_, + platform_.devices[0].device_id), FPGA_OK); + ASSERT_EQ(fpgaEnumerate(&filter_accel_, 1, tokens_accel_.data(), + tokens_accel_.size(), &num_matches_), FPGA_OK); + + ASSERT_EQ(fpgaOpen(tokens_accel_[0], &handle_accel_, 0), FPGA_OK); + + ASSERT_EQ(fpgaCreateEventHandle(&eh_), FPGA_OK); + + fpgad_start(); + uint32_t i; + for (i = 0 ; i < num_matches_ ; ++i) { + fpgad_watch(tokens_accel_[i]); + } + } + + virtual void TearDown() override { + fpgad_stop(); + + EXPECT_EQ(fpgaDestroyEventHandle(&eh_), FPGA_OK); + EXPECT_EQ(fpgaDestroyProperties(&filter_accel_), FPGA_OK); + + if (handle_accel_) { EXPECT_EQ(fpgaClose(handle_accel_), FPGA_OK); } + +/* Don't destroy the tokens, because fpgad's monitor_thread() will + destroy them by calling mon_destroy() when it is exiting. + for (auto &t : tokens_accel_) { + if (t) { + EXPECT_EQ(FPGA_OK, fpgaDestroyToken(&t)); + t = nullptr; + } + } +*/ + fpgaFinalize(); + system_->finalize(); + } + + fpga_properties filter_accel_; + std::array tokens_accel_; + fpga_handle handle_accel_; + uint32_t num_matches_; + test_platform platform_; + test_system *system_; + fpga_event_handle eh_; +}; + +/** + * @test manual_ap6 + * + * @brief Given valid event handle and event type, this tests for + * triggering the FPGA_EVENT_POWER_THERMAL event by manually + * writing Ap6Event to errors. + * + */ +TEST_P(events_handle_p, manual_ap6) { + std::string error_csr("0x0004000000000000"); //Ap6Event + std::string zero_csr("0x0000000000000000"); + int res; + int fd = -1; + struct pollfd poll_fd; + int maxpolls = 20; + + ASSERT_EQ(FPGA_OK, fpgaRegisterEvent(handle_accel_, FPGA_EVENT_POWER_THERMAL, eh_, 0)); + EXPECT_EQ(FPGA_OK, fpgaGetOSObjectFromEventHandle(eh_, &fd)); + EXPECT_GE(fd, 0); + + // Write to error file + std::string tmpsysfs = system_->get_root(); + std::string sysfs_port = "/sys/class/fpga/intel-fpga-dev.0/intel-fpga-port.0"; + std::string path = tmpsysfs + sysfs_port + "/errors/errors"; + + // Write to the mock sysfs node to generate the event. + std::ofstream f; + f.open(path.c_str(), std::ios::out); + f << error_csr; + f.close(); + + poll_fd.fd = fd; + poll_fd.events = POLLIN | POLLPRI; + poll_fd.revents = 0; + + do + { + res = poll(&poll_fd, 1, 1000); + ASSERT_GE(res, 0); + --maxpolls; + ASSERT_GT(maxpolls, 0); + } while(res == 0); + + EXPECT_EQ(res, 1); + EXPECT_NE(poll_fd.revents, 0); + + f.open(path.c_str(), std::ios::out); + f << zero_csr; + f.close(); + + EXPECT_EQ(FPGA_OK, fpgaUnregisterEvent(handle_accel_, FPGA_EVENT_POWER_THERMAL, eh_)); +} + +INSTANTIATE_TEST_CASE_P(events, events_handle_p, + ::testing::ValuesIn(test_platform::mock_platforms({"skx-p"}))); diff --git a/opae-libs/tests/opae-c/test_hostif_c.cpp b/opae-libs/tests/opae-c/test_hostif_c.cpp new file mode 100644 index 0000000..9d6e89e --- /dev/null +++ b/opae-libs/tests/opae-c/test_hostif_c.cpp @@ -0,0 +1,141 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +extern "C" { + +#include +#include +#include "opae_int.h" + +} + +#include +#include "intel-fpga.h" +#include + +#include +#include +#include +#include +#include +#include +#include +#include "gtest/gtest.h" +#include "mock/test_system.h" + +using namespace opae::testing; + +class hostif_c_p : public ::testing::TestWithParam { + protected: + hostif_c_p() : tokens_{{nullptr, nullptr}} {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + filter_ = nullptr; + ASSERT_EQ(fpgaInitialize(NULL), FPGA_OK); + ASSERT_EQ(fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), FPGA_OK); + num_matches_ = 0; + ASSERT_EQ(fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), FPGA_OK); + EXPECT_GT(num_matches_, 0); + accel_ = nullptr; + ASSERT_EQ(fpgaOpen(tokens_[0], &accel_, 0), FPGA_OK); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + if (accel_) { + EXPECT_EQ(fpgaClose(accel_), FPGA_OK); + accel_ = nullptr; + } + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + fpgaFinalize(); + system_->finalize(); + } + + std::array tokens_; + fpga_properties filter_; + fpga_handle accel_; + test_platform platform_; + uint32_t num_matches_; + test_system *system_; +}; + +/** + * @test assign_to_ifc + * @brief Test: fpgaAssignToInterface + * @details fpgaAssignToInterface is currently unsupported,
    + * and returns FPGA_NOT_SUPPORTED.
    + */ +TEST_P(hostif_c_p, assign_to_ifc) { + EXPECT_EQ(fpgaAssignToInterface(accel_, tokens_[0], + 0, 0), FPGA_NOT_SUPPORTED); +} + +/** + * @test release_from_ifc + * @brief Test: fpgaReleaseFromInterface + * @details fpgaReleaseFromInterface is currently unsupported,
    + * and returns FPGA_NOT_SUPPORTED.
    + */ +TEST_P(hostif_c_p, release_from_ifc) { + EXPECT_EQ(fpgaReleaseFromInterface(accel_, tokens_[0]), + FPGA_NOT_SUPPORTED); +} + +INSTANTIATE_TEST_CASE_P(hostif_c, hostif_c_p, + ::testing::ValuesIn(test_platform::platforms({}))); + +class hostif_c_mock_p : public hostif_c_p{ + protected: + hostif_c_mock_p() {}; +}; + +/** + * @test assign_port + * @brief Test: fpgaAssignPortToInterface + * @details When fpgaAssignPortToInterface is called with valid params,
    + * then the fn returns FPGA_OK.
    + */ +TEST_P(hostif_c_mock_p, assign_port) { + EXPECT_EQ(fpgaAssignPortToInterface(accel_, 0, + 0, 0), FPGA_OK); +} + +INSTANTIATE_TEST_CASE_P(hostif_c, hostif_c_mock_p, + ::testing::ValuesIn(test_platform::mock_platforms({}))); + diff --git a/opae-libs/tests/opae-c/test_init_c.cpp b/opae-libs/tests/opae-c/test_init_c.cpp new file mode 100644 index 0000000..db86f3d --- /dev/null +++ b/opae-libs/tests/opae-c/test_init_c.cpp @@ -0,0 +1,530 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +extern "C" { +#include +#include +#include "opae_int.h" +#include + +char *find_ase_cfg(); +void opae_init(void); +void opae_release(void); + +#define HOME_CFG_PATHS 3 +const char *_ase_home_configs[HOME_CFG_PATHS] = { + "/.local/opae_ase.cfg", + "/.local/opae/opae_ase.cfg", + "/.config/opae/opae_ase.cfg", +}; +} + +#include +#include + +#include +#include +#include +#include +#include +#include +#include +#include +#include "gtest/gtest.h" +#include "mock/test_system.h" + +using namespace opae::testing; + +/** + * @test opae_init + * @brief Test: opae_init, opae_release, opae_print + */ +TEST(init, opae_init_rel) { + opae_init(); + opae_print(OPAE_LOG_ERROR, "OPAE_LOG_ERROR from test opae_init_rel\n"); + opae_print(OPAE_LOG_MESSAGE, "OPAE_LOG_MESSAGE from test opae_init_rel\n"); + opae_print(OPAE_LOG_DEBUG, "OPAE_LOG_DEBUG from test opae_init_rel\n"); + opae_release(); +} + +#ifdef LIBOPAE_DEBUG + +/** + * @test log_debug + * + * @brief When the log level is set to debug, then all errors, + * messages, and debug info are logged. + */ +TEST(init, log_debug) { + ASSERT_EQ(0, putenv((char*)"LIBOPAE_LOG=2")); + opae_init(); + testing::internal::CaptureStdout(); + testing::internal::CaptureStderr(); + + OPAE_ERR("Error log."); + OPAE_MSG("Message log."); + OPAE_DBG("Debug log."); + + std::string log_stdout = testing::internal::GetCapturedStdout(); + std::string log_stderr = testing::internal::GetCapturedStderr(); + + EXPECT_TRUE(log_stderr.find("Error log.") != std::string::npos); + EXPECT_TRUE(log_stdout.find("Message log.") != std::string::npos); + EXPECT_TRUE(log_stdout.find("Debug log.") != std::string::npos); + + opae_release(); + EXPECT_EQ(0, unsetenv("LIBOPAE_LOG")); +} + +#endif + +/** + * @test log_message + * + * @brief When the log level is set to message, then all errors + * and messages are logged. + */ +TEST(init, log_message) { + ASSERT_EQ(0, putenv((char*)"LIBOPAE_LOG=1")); + opae_init(); + testing::internal::CaptureStdout(); + testing::internal::CaptureStderr(); + + OPAE_ERR("Error log."); + OPAE_MSG("Message log."); + OPAE_DBG("Debug log."); + + std::string log_stdout = testing::internal::GetCapturedStdout(); + std::string log_stderr = testing::internal::GetCapturedStderr(); + + EXPECT_TRUE(log_stderr.find("Error log.") != std::string::npos); + EXPECT_TRUE(log_stdout.find("Message log.") != std::string::npos); + EXPECT_FALSE(log_stdout.find("Debug log.") != std::string::npos); + + opae_release(); + EXPECT_EQ(0, unsetenv("LIBOPAE_LOG")); +} + +/** + * @test log_error + * + * @brief When the log level is set to error, then only errors + * are logged. + */ +TEST(init, log_error) { + ASSERT_EQ(0, putenv((char*)"LIBOPAE_LOG=0")); + opae_init(); + testing::internal::CaptureStdout(); + testing::internal::CaptureStderr(); + + OPAE_ERR("Error log."); + OPAE_MSG("Message log."); + OPAE_DBG("Debug log."); + + std::string log_stdout = testing::internal::GetCapturedStdout(); + std::string log_stderr = testing::internal::GetCapturedStderr(); + + EXPECT_TRUE(log_stderr.find("Error log.") != std::string::npos); + EXPECT_FALSE(log_stdout.find("Message log.") != std::string::npos); + EXPECT_FALSE(log_stdout.find("Debug log.") != std::string::npos); + + opae_release(); + EXPECT_EQ(0, unsetenv("LIBOPAE_LOG")); +} + +/** + * @test log_file + * + * @brief When LIBOPAE_LOGFILE is specified, then the logger + * will log to the specified file. + */ +TEST(init, log_file) { + struct stat buf; + + EXPECT_NE(0, stat("opae_log.log", &buf)); + + ASSERT_EQ(0, putenv((char*)"LIBOPAE_LOGFILE=opae_log.log")); + opae_init(); + + EXPECT_EQ(0, stat("opae_log.log", &buf)); + + opae_release(); + EXPECT_EQ(0, unsetenv("LIBOPAE_LOGFILE")); + unlink("opae_log.log"); +} + +/** + * @test find_ase_cfg + * + * @brief When WITH_ASE is specified, opae_ase.cfg will + * be searched from OPAE source directory, OPAE + * installation directory or home/system config directory. + * + */ +TEST(init, DISABLED_find_ase_cfg) { + char *cfg_path = nullptr; + + ASSERT_EQ(0, putenv((char*)"WITH_ASE=1")); + cfg_path = find_ase_cfg(); + EXPECT_NE(cfg_path, nullptr); + + EXPECT_EQ(0, unsetenv("WITH_ASE")); + if (cfg_path) + free(cfg_path); +} + +const char *ase_cfg = R"plug( +{ + "configurations": { + "ase": { + "configuration": { + "key1a": 10, + "key1b": "hello" + }, + "enabled": true, + "plugin": "libase.so" + }, + }, + "plugins": [ + "ase" + ] +} +)plug"; + +class init_ase_cfg_p : public ::testing::TestWithParam { + protected: + init_ase_cfg_p() : buffer_ {0}, rename_f(0) {} + + virtual void SetUp() override { + // let's rename the opae_ase.cfg in OPAE_ASE_CFG_SRC_PATH and OPAE_ASE_CFG_INST_PATH + + // copy it to a temporary buffer that we can use dirname with + std::string src_cfg_path = (OPAE_ASE_CFG_SRC_PATH? OPAE_ASE_CFG_SRC_PATH : ""); + std::copy(src_cfg_path.begin(), src_cfg_path.end(), &buffer_[0]); + char *src_cfg_dir = dirname(buffer_); + std::string cfg_dir = (src_cfg_dir? src_cfg_dir : ""); + + // rename opae_ase.cfg under installation directory + strcpy(tmpfile_, "opae_ase.cfg.XXXXXX"); + close(mkstemp(tmpfile_)); + src_cfg_file_ = cfg_dir + std::string("/") + std::string(tmpfile_); + struct stat st; + // check if the file exists or not + if (!stat(OPAE_ASE_CFG_SRC_PATH, &st)) { + rename_f = rename(OPAE_ASE_CFG_SRC_PATH, src_cfg_file_.c_str()); + EXPECT_EQ(rename_f, 0); + } + else + rename_f = 1; + + // This parameterized test iterates over the possible config file paths + // relative to a user's home directory + + // let's build the full path by prepending the parameter with $HOME + char *home_cstr = getenv("HOME"); + ASSERT_NE(home_cstr, nullptr) << "No home environment found"; + std::string home = home_cstr; + // the parameter paths start with a '/' + cfg_file_ = home + std::string(GetParam()); + memset(buffer_, 0, sizeof(buffer_)); + // copy it to a temporary buffer that we can use dirname with + std::copy(cfg_file_.begin(), cfg_file_.end(), &buffer_[0]); + // get the directory name of the file + cfg_dir_ = dirname(buffer_); + // if the directory doesn't exist, create the entire path + if (stat(cfg_dir_, &st)) { + std::string dir = cfg_dir_; + // find the first '/' after $HOME + size_t pos = dir.find('/', home.size()); + while (pos != std::string::npos) { + std::string sub = dir.substr(0, pos); + // sub is $HOME/, then $HOME//, ... + // if this directory doesn't exist, create it + if (stat(sub.c_str(), &st) && sub != "") { + ASSERT_EQ(mkdir(sub.c_str(), S_IRWXU | S_IRWXG | S_IROTH | S_IXOTH), + 0) + << "Error creating subdirectory (" << sub + << "}: " << strerror(errno); + // keep track of directories created + dirs_.push(sub); + } + pos = pos < dir.size() ? dir.find('/', pos + 1) : std::string::npos; + } + // finally, we know the entire path didn't exist, create the last + // directory + ASSERT_EQ(mkdir(cfg_dir_, S_IRWXU | S_IRWXG | S_IROTH | S_IXOTH), 0) + << "Error creating subdirectory (" << cfg_dir_ + << "}: " << strerror(errno); + dirs_.push(cfg_dir_); + } + + if (stat(cfg_file_.c_str(), &st) == 0) { + EXPECT_EQ(unlink(cfg_file_.c_str()), 0); + } + + std::ofstream cfg_stream(cfg_file_); + cfg_stream.write(ase_cfg, strlen(ase_cfg)); + cfg_stream.close(); + + setenv("WITH_ASE", "1", 0); + } + + virtual void TearDown() override { + unsetenv("WITH_ASE"); + EXPECT_EQ(unlink(cfg_file_.c_str()), 0); + // remove any directories we created in SetUp + while (!dirs_.empty()) { + EXPECT_EQ(rmdir(dirs_.top().c_str()), 0); + dirs_.pop(); + } + // restore the opae_ase.cfg file at OPAE_ASE_CFG_SRC_PATH + if (!rename_f) { + int ret = rename(src_cfg_file_.c_str(), (char *)OPAE_ASE_CFG_SRC_PATH); + EXPECT_EQ(ret, 0); + } + struct stat st; + if (stat(tmpfile_, &st) == 0) { + EXPECT_EQ(unlink(tmpfile_), 0); + } + } + + char buffer_[PATH_MAX]; + std::string cfg_file_; + char *cfg_dir_; + std::stack dirs_; + std::string src_cfg_file_; + char tmpfile_[32]; + int rename_f; +}; + + +/** + * @test find_ase_cfg_2 + * @brief Test: find_ase_cfg at OPAE_ASE_CFG_INST_PATH and OPAE_PLATFORM_ROOT + * release location
    + * @details After renaming a configuration file located in the OPAE_ASE_CFG_SRC_PATH + * and OPAE_ASE_CFG_INST_PATH
    + * When I call find_ase_cfg + * Then the call is successful
    + */ +TEST_P(init_ase_cfg_p, find_ase_cfg_2) { + char *cfg_file = nullptr; + std::string inst_cfg_file_; + char tmpfile2_[32]; + int rename_fail = 0; + + // find_ase_cfg at OPAE_ASE_CFG_INST_PATH + cfg_file = find_ase_cfg(); + EXPECT_NE(cfg_file, nullptr); + if (cfg_file) + free(cfg_file); + + // copy it to a temporary buffer that we can use dirname with + std::string inst_cfg_path = (OPAE_ASE_CFG_INST_PATH? OPAE_ASE_CFG_INST_PATH : ""); + std::copy(inst_cfg_path.begin(), inst_cfg_path.end(), &buffer_[0]); + char *inst_cfg_dir = dirname(buffer_); + std::string cfg_dir = (inst_cfg_dir? inst_cfg_dir : ""); + + // rename opae_ase.cfg under installation directory + strcpy(tmpfile2_, "opae_ase.cfg.XXXXXX"); + close(mkstemp(tmpfile2_)); + inst_cfg_file_ = cfg_dir + std::string("/") + std::string(tmpfile2_); + struct stat st; + // check if the file exists or not + if (!stat(OPAE_ASE_CFG_INST_PATH, &st)) { + rename_fail = rename(OPAE_ASE_CFG_INST_PATH, inst_cfg_file_.c_str()); + EXPECT_EQ(rename_fail, 0); + } + else + rename_fail = 1; + + // find_ase_cfg at release directory + cfg_file = find_ase_cfg(); + EXPECT_NE(cfg_file, nullptr); + if (cfg_file) + free(cfg_file); + if (!rename_fail) { + int ret = rename(inst_cfg_file_.c_str(), OPAE_ASE_CFG_INST_PATH); + EXPECT_EQ(ret, 0); + } + if (stat(tmpfile2_, &st) == 0) { + EXPECT_EQ(unlink(tmpfile2_), 0); + } +} + +/** + * @test find_ase_cfg_3 + * @brief Test: find_ase_cfg at HOME location + * @details After renaming a configuration file located in the OPAE_ASE_CFG_SRC_PATH, + * OPAE_ASE_CFG_INST_PATH and OPAE release directory
    + * When I call find_ase_cfg + * Then the call is successful
    + */ +TEST_P(init_ase_cfg_p, find_ase_cfg_3) { + char *cfg_file = nullptr; + char *opae_path; + std::string inst_cfg_file_; + std::string rel_cfg_file_, rel_cfg_file2_; + char tmpfile2_[32]; + char tmpfile3_[32]; + int rename_fail = 0; + int rename_fail2 = 0; + int ret; + + // copy it to a temporary buffer that we can use dirname with + std::string inst_cfg_path = (OPAE_ASE_CFG_INST_PATH? OPAE_ASE_CFG_INST_PATH : ""); + std::copy(inst_cfg_path.begin(), inst_cfg_path.end(), &buffer_[0]); + char *inst_cfg_dir = dirname(buffer_); + std::string cfg_dir = (inst_cfg_dir? inst_cfg_dir : ""); + + // rename opae_ase.cfg under installation directory + strcpy(tmpfile2_, "opae_ase.cfg.XXXXXX"); + close(mkstemp(tmpfile2_)); + inst_cfg_file_ = cfg_dir + std::string("/") + std::string(tmpfile2_); + struct stat st; + // check if the file exists or not + if (!stat(OPAE_ASE_CFG_INST_PATH, &st)) { + rename_fail = rename(OPAE_ASE_CFG_INST_PATH, inst_cfg_file_.c_str()); + EXPECT_EQ(rename_fail, 0); + } + else + rename_fail = 1; + + // rename opae_ase.cfg under releae directory + opae_path = getenv("OPAE_PLATFORM_ROOT"); + if (opae_path) { + std::string rel_cfg_path = (opae_path? opae_path: ""); + strcpy(tmpfile3_, "opae_ase.cfg.XXXXXX"); + close(mkstemp(tmpfile3_)); + rel_cfg_file_ = rel_cfg_path + std::string("/share/opae/ase/opae_ase.cfg"); + rel_cfg_file2_ = rel_cfg_path + std::string("/share/opae/ase/") + std::string(tmpfile3_); + // check if the file exists or not + if (!stat(rel_cfg_file_.c_str(), &st)) { + rename_fail2 = rename(rel_cfg_file_.c_str(), rel_cfg_file2_.c_str()); + EXPECT_EQ(rename_fail2, 0); + } + else + rename_fail2 = 1; + } + // find_ase_cfg at HOME directory + cfg_file = find_ase_cfg(); + EXPECT_NE(cfg_file, nullptr); + if (cfg_file) + free(cfg_file); + + if (opae_path && !rename_fail2) { + ret = rename(rel_cfg_file2_.c_str(), rel_cfg_file_.c_str()); + EXPECT_EQ(ret, 0); + } + if (!rename_fail) { + ret = rename(inst_cfg_file_.c_str(), OPAE_ASE_CFG_INST_PATH); + EXPECT_EQ(ret, 0); + } + if (stat(tmpfile2_, &st) == 0) + unlink(tmpfile2_); + if (opae_path && stat(tmpfile3_, &st) == 0) + unlink(tmpfile3_); +} + +/** + * @test find_ase_cfg_4 + * @brief Test: find_ase_cfg at OPAE_PLATFORM_ROOT release location + * @details After renaming a configuration file located in the OPAE_ASE_CFG_SRC_PATH, + * OPAE_ASE_CFG_INST_PATH, OPAE release and HOME directories
    + * When I call find_ase_cfg + * Then the call is successful
    + */ +TEST_P(init_ase_cfg_p, find_ase_cfg_4) { + char *cfg_file = nullptr; + char *opae_path; + std::string inst_cfg_file_; + std::string rel_cfg_file_, rel_cfg_file2_; + char tmpfile2_[32]; + char tmpfile3_[32]; + int rename_fail = 0; + int rename_fail2 = 0; + int ret; + + // copy it to a temporary buffer that we can use dirname with + std::string inst_cfg_path = (OPAE_ASE_CFG_INST_PATH? OPAE_ASE_CFG_INST_PATH : ""); + std::copy(inst_cfg_path.begin(), inst_cfg_path.end(), &buffer_[0]); + char *inst_cfg_dir = dirname(buffer_); + std::string cfg_dir = (inst_cfg_dir? inst_cfg_dir : ""); + + // rename opae_ase.cfg under installation directory + strcpy(tmpfile2_, "opae_ase.cfg.XXXXXX"); + close(mkstemp(tmpfile2_)); + inst_cfg_file_ = cfg_dir + std::string("/") + std::string(tmpfile2_); + struct stat st; + // check if the file exists or not + if (!stat(OPAE_ASE_CFG_INST_PATH, &st)) { + rename_fail = rename(OPAE_ASE_CFG_INST_PATH, inst_cfg_file_.c_str()); + EXPECT_EQ(rename_fail, 0); + } + else + rename_fail = 1; + + // rename the opae_ase.cfg under release directory + opae_path = getenv("OPAE_PLATFORM_ROOT"); + if (opae_path) { + std::string rel_cfg_path = (opae_path? opae_path: ""); + strcpy(tmpfile3_, "opae_ase.cfg.XXXXXX"); + close(mkstemp(tmpfile3_)); + rel_cfg_file_ = rel_cfg_path + std::string("/share/opae/ase/opae_ase.cfg"); + rel_cfg_file2_ = rel_cfg_path + std::string("/share/opae/ase/") + std::string(tmpfile3_); + // check if the file exists or not + if (!stat(rel_cfg_file_.c_str(), &st)) { + rename_fail2 = rename(rel_cfg_file_.c_str(), rel_cfg_file2_.c_str()); + EXPECT_EQ(rename_fail2, 0); + } + else + rename_fail2 = 1; + } + + // find_ase_cfg at HOME directory + cfg_file = find_ase_cfg(); + EXPECT_NE(cfg_file, nullptr); + if (cfg_file) + free(cfg_file); + + opae_init(); + + if (opae_path && !rename_fail2) { + ret = rename(rel_cfg_file2_.c_str(), rel_cfg_file_.c_str()); + EXPECT_EQ(ret, 0); + } + if (!rename_fail) { + ret = rename(inst_cfg_file_.c_str(), OPAE_ASE_CFG_INST_PATH); + EXPECT_EQ(ret, 0); + } + if (stat(tmpfile2_, &st) == 0) + unlink(tmpfile2_); + if (opae_path && stat(tmpfile3_, &st) == 0) + unlink(tmpfile3_); +} + +INSTANTIATE_TEST_CASE_P(init_ase_cfg, init_ase_cfg_p, + ::testing::ValuesIn(_ase_home_configs)); diff --git a/opae-libs/tests/opae-c/test_mmio_c.cpp b/opae-libs/tests/opae-c/test_mmio_c.cpp new file mode 100644 index 0000000..854a4e0 --- /dev/null +++ b/opae-libs/tests/opae-c/test_mmio_c.cpp @@ -0,0 +1,206 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +extern "C" { + +#include +#include +#include "opae_int.h" + +} + +#include +#include "fpga-dfl.h" +#include "intel-fpga.h" +#include + +#include +#include +#include +#include +#include +#include +#include +#include "gtest/gtest.h" +#include "mock/test_system.h" + +using namespace opae::testing; + +static int mmio_ioctl(mock_object * m, int request, va_list argp){ + int retval = -1; + errno = EINVAL; + UNUSED_PARAM(m); + UNUSED_PARAM(request); + struct fpga_port_region_info *rinfo = va_arg(argp, struct fpga_port_region_info *); + if (!rinfo) { + FPGA_MSG("rinfo is NULL"); + goto out_EINVAL; + } + if (rinfo->argsz != sizeof(*rinfo)) { + FPGA_MSG("wrong structure size"); + goto out_EINVAL; + } + if (rinfo->index > 1 ) { + FPGA_MSG("unsupported MMIO index"); + goto out_EINVAL; + } + if (rinfo->padding != 0) { + FPGA_MSG("unsupported padding"); + goto out_EINVAL; + } + rinfo->flags = FPGA_REGION_READ | FPGA_REGION_WRITE | FPGA_REGION_MMAP; + rinfo->size = 0x40000; + rinfo->offset = 0; + retval = 0; + errno = 0; +out: + return retval; + +out_EINVAL: + retval = -1; + errno = EINVAL; + goto out; +} + +class mmio_c_p : public ::testing::TestWithParam { + protected: + mmio_c_p() : tokens_{{nullptr, nullptr}} {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + filter_ = nullptr; + ASSERT_EQ(fpgaInitialize(NULL), FPGA_OK); + ASSERT_EQ(fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), FPGA_OK); + num_matches_ = 0; + ASSERT_EQ(fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), FPGA_OK); + + EXPECT_GT(num_matches_, 0); + accel_ = nullptr; + ASSERT_EQ(fpgaOpen(tokens_[0], &accel_, 0), FPGA_OK); + system_->register_ioctl_handler(FPGA_PORT_GET_REGION_INFO, mmio_ioctl); + system_->register_ioctl_handler(DFL_FPGA_PORT_GET_REGION_INFO, mmio_ioctl); + + which_mmio_ = 0; + uint64_t *mmio_ptr = nullptr; + EXPECT_EQ(fpgaMapMMIO(accel_, which_mmio_, &mmio_ptr), FPGA_OK); + EXPECT_NE(mmio_ptr, nullptr); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaUnmapMMIO(accel_, which_mmio_), FPGA_OK); + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + if (accel_) { + EXPECT_EQ(fpgaClose(accel_), FPGA_OK); + accel_ = nullptr; + } + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + fpgaFinalize(); + system_->finalize(); + } + + std::array tokens_; + fpga_properties filter_; + fpga_handle accel_; + uint32_t which_mmio_; + const uint64_t CSR_SCRATCHPAD0 = 0x100; + test_platform platform_; + uint32_t num_matches_; + test_system *system_; +}; + +/** + * @test mmio64 + * @brief Test: fpgaWriteMMIO64, fpgaReadMMIO64 + * @details Write the scratchpad register with fpgaWriteMMIO64,
    + * read the register back with fpgaReadMMIO64.
    + * Value written should equal value read.
    + */ +TEST_P(mmio_c_p, mmio64) { + const uint64_t val_written = 0xdeadbeefdecafbad; + EXPECT_EQ(fpgaWriteMMIO64(accel_, which_mmio_, + CSR_SCRATCHPAD0, val_written), FPGA_OK); + uint64_t val_read = 0; + EXPECT_EQ(fpgaReadMMIO64(accel_, which_mmio_, + CSR_SCRATCHPAD0, &val_read), FPGA_OK); + EXPECT_EQ(val_written, val_read); +} + +/** + * @test mmio32 + * @brief Test: fpgaWriteMMIO32, fpgaReadMMIO32 + * @details Write the scratchpad register with fpgaWriteMMIO32,
    + * read the register back with fpgaReadMMIO32.
    + * Value written should equal value read.
    + */ +TEST_P(mmio_c_p, mmio32) { + const uint32_t val_written = 0xc0cac01a; + EXPECT_EQ(fpgaWriteMMIO32(accel_, which_mmio_, + CSR_SCRATCHPAD0, val_written), FPGA_OK); + uint32_t val_read = 0; + EXPECT_EQ(fpgaReadMMIO32(accel_, which_mmio_, + CSR_SCRATCHPAD0, &val_read), FPGA_OK); + EXPECT_EQ(val_written, val_read); +} + +/** + * @test mmio512 + * @brief Test: fpgaWriteMMIO512 + * @details Write the scratchpad register with fpgaWriteMMIO512,
    + * read the register back with fpgaReadMMIO64.
    + * Value written should equal value read.
    + */ +#ifdef TEST_SUPPORTS_AVX512 +TEST_P(mmio_c_p, mmio512) { + uint64_t val_written[8]; + int i; + for (i = 0; i < 8; i++) { + val_written[i] = 0xdeadbeefdecafbad << (i + 1); + } + EXPECT_EQ(fpgaWriteMMIO512(accel_, which_mmio_, + CSR_SCRATCHPAD0, val_written), FPGA_OK); + for (i = 0; i < 8; i++) { + uint64_t val_read = 0; + EXPECT_EQ(fpgaReadMMIO64(accel_, which_mmio_, + CSR_SCRATCHPAD0, &val_read), FPGA_OK); + EXPECT_EQ(val_written[i], val_read); + } +} +#endif // TEST_SUPPORTS_AVX512 + +INSTANTIATE_TEST_CASE_P(mmio_c, mmio_c_p, + ::testing::ValuesIn(test_platform::platforms({}))); diff --git a/opae-libs/tests/opae-c/test_object_c.cpp b/opae-libs/tests/opae-c/test_object_c.cpp new file mode 100644 index 0000000..0b31093 --- /dev/null +++ b/opae-libs/tests/opae-c/test_object_c.cpp @@ -0,0 +1,321 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +extern "C" { + +#include +#include +#include "opae_int.h" + +} + +#include +#include "intel-fpga.h" +#include + +#include +#include +#include +#include +#include +#include +#include +#include "gtest/gtest.h" +#include "mock/test_system.h" + +using namespace opae::testing; + +class object_c_p : public ::testing::TestWithParam { + protected: + object_c_p() + : tokens_accel_{{nullptr, nullptr}}, + tokens_device_{{nullptr, nullptr}} {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + filter_ = nullptr; + ASSERT_EQ(fpgaInitialize(NULL), FPGA_OK); + ASSERT_EQ(fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetDeviceID(filter_, platform_.devices[0].device_id), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), FPGA_OK); + num_matches_accel_ = 0; + ASSERT_EQ(fpgaEnumerate(&filter_, 1, tokens_accel_.data(), tokens_accel_.size(), + &num_matches_accel_), FPGA_OK); + EXPECT_GT(num_matches_accel_, 0); + + accel_ = nullptr; + ASSERT_EQ(fpgaOpen(tokens_accel_[0], &accel_, 0), FPGA_OK); + + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + num_matches_device_ = 0; + ASSERT_EQ(fpgaEnumerate(&filter_, 1, tokens_device_.data(), tokens_device_.size(), + &num_matches_device_), FPGA_OK); + EXPECT_GT(num_matches_device_, 0); + + EXPECT_EQ(fpgaTokenGetObject(tokens_device_[0], "ports_num", &token_obj_, 0), + FPGA_OK); + EXPECT_EQ(fpgaHandleGetObject(accel_, "afu_id", &handle_obj_, 0), + FPGA_OK); + afu_guid_ = platform_.devices[0].afu_guid; + system_->normalize_guid(afu_guid_, false); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyObject(&handle_obj_), FPGA_OK); + EXPECT_EQ(fpgaDestroyObject(&token_obj_), FPGA_OK); + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + if (accel_) { + EXPECT_EQ(fpgaClose(accel_), FPGA_OK); + accel_ = nullptr; + } + for (auto &t : tokens_accel_) { + if (t) { + EXPECT_EQ(fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + for (auto &t : tokens_device_) { + if (t) { + EXPECT_EQ(fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + fpgaFinalize(); + system_->finalize(); + } + + std::array tokens_accel_; + std::array tokens_device_; + fpga_object token_obj_; + fpga_object handle_obj_; + fpga_properties filter_; + fpga_handle accel_; + uint32_t num_matches_accel_; + uint32_t num_matches_device_; + test_platform platform_; + test_system *system_; + std::string afu_guid_; +}; + +/** + * @test obj_read + * @brief Test: fpgaObjectRead + * @details When fpgaObjectRead is called with valid params,
    + * the fn retrieves the value of the targeted object
    + * and returns FPGA_OK.
    + */ +TEST_P(object_c_p, obj_read) { + char afu_id[33]; + EXPECT_EQ(fpgaObjectRead(handle_obj_, (uint8_t *) afu_id, 0, + 32, 0), FPGA_OK); + afu_id[32] = 0; + EXPECT_STREQ(afu_id, afu_guid_.c_str()); +} + +/** + * @test obj_read64 + * @brief Test: fpgaObjectRead64 + * @details When fpgaObjectRead64 is called with valid params,
    + * the fn retrieves the value of the targeted object
    + * and returns FPGA_OK.
    + */ +TEST_P(object_c_p, obj_read64) { + uint64_t val = 0; + EXPECT_EQ(fpgaObjectRead64(token_obj_, &val, 0), FPGA_OK); + EXPECT_EQ(val, 1ul); +} + +/** + * @test obj_write64 + * @brief Test: fpgaObjectWrite64 + * @details When fpgaObjectWrite64 is called with valid params,
    + * the fn sets the value of the targeted object
    + * and returns FPGA_OK.
    + */ +TEST_P(object_c_p, obj_write64) { + uint64_t errors = 0xbaddecaf; + fpga_object obj = nullptr; + + // read the port errors + ASSERT_EQ(fpgaHandleGetObject(accel_, "errors/errors", &obj, 0), + FPGA_OK); + ASSERT_EQ(fpgaObjectRead64(obj, &errors, 0), FPGA_OK); + EXPECT_EQ(fpgaDestroyObject(&obj), FPGA_OK); + + // clear the port errors + ASSERT_EQ(fpgaHandleGetObject(accel_, "errors/clear", &obj, 0), + FPGA_OK); + ASSERT_EQ(fpgaObjectWrite64(obj, errors, 0), FPGA_OK); + EXPECT_EQ(fpgaDestroyObject(&obj), FPGA_OK); +} + +/** + * @test obj_get_obj0 + * @brief Test: fpgaObjectGetObject + * @details When fpgaObjectGetObject is called with valid parameters,
    + * the fn opens the underlying object
    + * and returns FPGA_OK.
    + */ +TEST_P(object_c_p, obj_get_obj0) { + fpga_object errors_obj = nullptr; + fpga_object clear_obj = nullptr; + + ASSERT_EQ(fpgaHandleGetObject(accel_, "errors", &errors_obj, 0), + FPGA_OK); + ASSERT_EQ(fpgaObjectGetObject(errors_obj, "clear", + &clear_obj, 0), FPGA_OK); + ASSERT_EQ(fpgaObjectWrite64(clear_obj, 0, 0), FPGA_OK); + EXPECT_EQ(fpgaDestroyObject(&clear_obj), FPGA_OK); + EXPECT_EQ(fpgaDestroyObject(&errors_obj), FPGA_OK); +} + +/** + * @test obj_get_obj1 + * @brief Test: fpgaObjectGetObject + * @details When fpgaObjectGetObject is called with a name that has a null + * byte, the function returns FPGA_NOT_FOUND.
    + * and returns FPGA_OK.
    + */ +TEST_P(object_c_p, obj_get_obj1) { + fpga_object errors_obj = nullptr; + fpga_object obj = nullptr; + const char *bad_name = "err\0rs"; + + ASSERT_EQ(fpgaHandleGetObject(accel_, "errors", &errors_obj, 0), FPGA_OK); + EXPECT_EQ(fpgaObjectGetObject(errors_obj, bad_name, &obj, 0),FPGA_NOT_FOUND); + + ASSERT_NE(fpgaDestroyObject(&obj), FPGA_OK); + ASSERT_EQ(fpgaDestroyObject(&errors_obj), FPGA_OK); +} + +/** + * @test handle_get_obj + * @brief Test: fpgaHandleGetObject + * @details When fpgaHandleGetObject is called with a name that has a null + * byte, the function returns FPGA_NOT_FOUND.
    + */ +TEST_P(object_c_p, handle_get_obj) { + fpga_object obj = nullptr; + const char *bad_name = "err\0rs"; + + EXPECT_EQ(fpgaHandleGetObject(accel_, bad_name, &obj, 0), FPGA_NOT_FOUND); + ASSERT_NE(fpgaDestroyObject(&obj), FPGA_OK); +} + +/** + * @test token_get_obj + * @brief Test: fpgaTokenGetObject + * @details When fpgaTokenGetObject is called with a name that has a null + * byte, the function returns FPGA_NOT_FOUND.
    + */ +TEST_P(object_c_p, token_get_obj) { + fpga_object obj = nullptr; + const char *bad_name = "err\0rs"; + + EXPECT_EQ(fpgaTokenGetObject(tokens_device_[0], bad_name, &obj, 0), + FPGA_NOT_FOUND); + ASSERT_NE(fpgaDestroyObject(&obj), FPGA_OK); +} + +/** + * @test obj_get_size + * @brief Test: fpgaObjectGetSize + * @details Given an object created using name afu_id
    + * When fpgaObjectGetSize is called with that object
    + * Then the size retrieved equals the length of the afu_id + * string + one for the new line character
    + */ +TEST_P(object_c_p, obj_get_size) { + uint32_t value = 0; + EXPECT_EQ(fpgaObjectGetSize(handle_obj_, &value, FPGA_OBJECT_SYNC), FPGA_OK); + EXPECT_EQ(value, afu_guid_.size() + 1); +} + +INSTANTIATE_TEST_CASE_P(object_c, object_c_p, + ::testing::ValuesIn(test_platform::platforms({ "skx-p","dcp-rc","dcp-vc" }))); + +class object_c_mock_p : public object_c_p { + protected: + object_c_mock_p() {}; +}; + +/** + * @test tok_get_err + * @brief Test: fpgaTokenGetObject + * @details When the call to opae_allocate_wrapped_object fails,
    + * fpgaTokenGetObject destroys the underlying object
    + * and returns FPGA_NO_MEMORY.
    + */ +TEST_P(object_c_mock_p, tok_get_err) { + fpga_object obj = nullptr; + system_->invalidate_malloc(0, "opae_allocate_wrapped_object"); + EXPECT_EQ(fpgaTokenGetObject(tokens_device_[0], "ports_num", + &obj, 0), FPGA_NO_MEMORY); +} + +/** + * @test handle_get_err + * @brief Test: fpgaHandleGetObject + * @details When the call to opae_allocate_wrapped_object fails,
    + * fpgaHandleGetObject destroys the underlying object
    + * and returns FPGA_NO_MEMORY.
    + */ +TEST_P(object_c_mock_p, handle_get_err) { + fpga_object obj = nullptr; + system_->invalidate_malloc(0, "opae_allocate_wrapped_object"); + EXPECT_EQ(fpgaHandleGetObject(accel_, "id", + &obj, 0), FPGA_NO_MEMORY); +} + +/** + * @test obj_get_obj_err + * @brief Test: fpgaObjectGetObject + * @details When opae_allocate_wrapped_object fails,
    + * fpgaObjectGetObject frees the underlying object
    + * and returns FPGA_NO_MEMORY.
    + */ +TEST_P(object_c_mock_p, obj_get_obj_err) { + fpga_object errors_obj = nullptr; + fpga_object clear_obj = nullptr; + + ASSERT_EQ(fpgaHandleGetObject(accel_, "errors", &errors_obj, 0), + FPGA_OK); + + system_->invalidate_malloc(0, "opae_allocate_wrapped_object"); + ASSERT_EQ(fpgaObjectGetObject(errors_obj, "clear", + &clear_obj, 0), FPGA_NO_MEMORY); + + EXPECT_EQ(fpgaDestroyObject(&errors_obj), FPGA_OK); +} + +INSTANTIATE_TEST_CASE_P(object_c, object_c_mock_p, + ::testing::ValuesIn(test_platform::mock_platforms({ "skx-p","dcp-rc","dcp-vc" }))); + diff --git a/opae-libs/tests/opae-c/test_open_c.cpp b/opae-libs/tests/opae-c/test_open_c.cpp new file mode 100644 index 0000000..a2178fd --- /dev/null +++ b/opae-libs/tests/opae-c/test_open_c.cpp @@ -0,0 +1,99 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +extern "C" { + +#include +#include +#include "opae_int.h" + +} + +#include + +#include +#include +#include +#include +#include +#include +#include "gtest/gtest.h" +#include "mock/test_system.h" + +using namespace opae::testing; + +class open_c_p : public ::testing::TestWithParam { + protected: + open_c_p() : tokens_{{nullptr, nullptr}} {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + filter_ = nullptr; + ASSERT_EQ(fpgaInitialize(NULL), FPGA_OK); + ASSERT_EQ(fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), FPGA_OK); + num_matches_ = 0; + ASSERT_EQ(fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, platform_.devices.size()); + accel_ = nullptr; + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + fpgaFinalize(); + system_->finalize(); + } + + std::array tokens_; + fpga_properties filter_; + fpga_handle accel_; + test_platform platform_; + uint32_t num_matches_; + test_system *system_; +}; + +TEST_P(open_c_p, mallocfails) { + // Invalidate the allocation of the wrapped handle. + system_->invalidate_malloc(0, "opae_allocate_wrapped_handle"); + ASSERT_EQ(fpgaOpen(tokens_[0], &accel_, 0), FPGA_NO_MEMORY); + EXPECT_EQ(accel_, nullptr); +} + +INSTANTIATE_TEST_CASE_P(open_c, open_c_p, + ::testing::ValuesIn(test_platform::mock_platforms({}))); diff --git a/opae-libs/tests/opae-c/test_pluginmgr_c.cpp b/opae-libs/tests/opae-c/test_pluginmgr_c.cpp new file mode 100644 index 0000000..e3104cc --- /dev/null +++ b/opae-libs/tests/opae-c/test_pluginmgr_c.cpp @@ -0,0 +1,598 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +extern "C" { + +#include +#include +#include +#include "opae_int.h" +#include "pluginmgr.h" + +int opae_plugin_mgr_initialize_all(void); +opae_api_adapter_table *opae_plugin_mgr_alloc_adapter(const char *lib_path); +int opae_plugin_mgr_free_adapter(opae_api_adapter_table *adapter); +int opae_plugin_mgr_register_adapter(opae_api_adapter_table *adapter); +int opae_plugin_mgr_for_each_adapter + (int (*callback)(const opae_api_adapter_table *, void *), void *context); +int opae_plugin_mgr_configure_plugin(opae_api_adapter_table *adapter, + const char *config); +int process_cfg_buffer(const char *buffer, const char *filename); +extern opae_api_adapter_table *adapter_list; +int opae_plugin_mgr_finalize_all(void); +} + +#include +#include + +#include +#include +#include +#include +#include +#include +#include +#include +#include "gtest/gtest.h" +#include "mock/test_system.h" + +using namespace opae::testing; + +/** + * @test alloc_adapter01 + * @brief Test: opae_plugin_mgr_alloc_adapter + * @details When the given library name is not found,
    + * opae_plugin_mgr_alloc_adapter returns NULL.
    + */ +TEST(pluginmgr, alloc_adapter01) { + EXPECT_EQ(NULL, opae_plugin_mgr_alloc_adapter("libthatdoesntexist.so")); +} + +/** + * @test alloc_adapter02 + * @brief Test: opae_plugin_mgr_alloc_adapter + * @details When calloc fails,
    + * opae_plugin_mgr_alloc_adapter returns NULL.
    + */ +TEST(pluginmgr, alloc_adapter02) { + test_system::instance()->invalidate_calloc(0, "opae_plugin_mgr_alloc_adapter"); + EXPECT_EQ(NULL, opae_plugin_mgr_alloc_adapter("libxfpga.so")); +} + +/** + * @test free_adapter01 + * @brief Test: opae_plugin_mgr_free_adapter + * @details opae_plugin_mgr_free_adapter frees the given adapter table
    + * and returns 0 on success.
    + */ +TEST(pluginmgr, free_adapter) { + opae_api_adapter_table *at; + at = opae_plugin_mgr_alloc_adapter("libxfpga.so"); + ASSERT_NE(nullptr, at); + EXPECT_EQ(0, opae_plugin_mgr_free_adapter(at)); +} + +/** + * @test config_err + * @brief Test: opae_plugin_mgr_configure_plugin + * @details When opae_plugin_mgr_configure_plugin is called on a load library
    + * that has no opae_plugin_configure symbol,
    + * then the fn returns non-zero.
    + */ +TEST(pluginmgr, config_err) { + opae_api_adapter_table *at; + at = opae_plugin_mgr_alloc_adapter("libopae-c.so"); + ASSERT_NE(nullptr, at); + EXPECT_NE(0, opae_plugin_mgr_configure_plugin(at, "")); + EXPECT_EQ(0, opae_plugin_mgr_free_adapter(at)); +} + +extern "C" { + +static int test_plugin_initialize_called; +static int test_plugin_initialize(void) +{ + ++test_plugin_initialize_called; + return 0; +} + +static int test_plugin_bad_initialize(void) +{ + ++test_plugin_initialize_called; + return 1; +} + +static int test_plugin_finalize_called; +static int test_plugin_finalize(void) +{ + ++test_plugin_finalize_called; + return 0; +} + +static int test_plugin_bad_finalize(void) +{ + ++test_plugin_finalize_called; + return 1; +} + +} + +class pluginmgr_c_p : public ::testing::TestWithParam { + protected: + pluginmgr_c_p() {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + invalid_device_ = test_device::unknown(); + + ASSERT_EQ(fpgaInitialize(NULL), FPGA_OK); + // save the global adapter list. + adapter_list_ = adapter_list; + adapter_list = nullptr; + + test_plugin_initialize_called = 0; + test_plugin_finalize_called = 0; + + faux_adapter0_ = opae_plugin_mgr_alloc_adapter("libxfpga.so"); + ASSERT_NE(nullptr, faux_adapter0_); + + faux_adapter0_->initialize = test_plugin_initialize; + faux_adapter0_->finalize = test_plugin_finalize; + EXPECT_EQ(0, opae_plugin_mgr_register_adapter(faux_adapter0_)); + + faux_adapter1_ = opae_plugin_mgr_alloc_adapter("libxfpga.so"); + ASSERT_NE(nullptr, faux_adapter1_); + + faux_adapter1_->initialize = test_plugin_initialize; + faux_adapter1_->finalize = test_plugin_finalize; + EXPECT_EQ(0, opae_plugin_mgr_register_adapter(faux_adapter1_)); + } + + virtual void TearDown() override { + // restore the global adapter list. + adapter_list = adapter_list_; + fpgaFinalize(); + system_->finalize(); + } + + opae_api_adapter_table *adapter_list_; + opae_api_adapter_table *faux_adapter0_; + opae_api_adapter_table *faux_adapter1_; + test_platform platform_; + test_device invalid_device_; + test_system *system_; +}; + +/** + * @test foreach_err + * @brief Test: opae_plugin_mgr_for_each_adapter + * @details When opae_plugin_mgr_for_each_adapter is passed a NULL callback,
    + * then the fn returns OPAE_ENUM_STOP.
    + */ +TEST_P(pluginmgr_c_p, foreach_err) { + EXPECT_EQ(OPAE_ENUM_STOP, opae_plugin_mgr_for_each_adapter(nullptr, nullptr)); + + EXPECT_EQ(0, opae_plugin_mgr_finalize_all()); + EXPECT_EQ(nullptr, adapter_list); + EXPECT_EQ(2, test_plugin_finalize_called); +} + +/** + * @test bad_init_all + * @brief Test: opae_plugin_mgr_initialize_all + * @details When any of the registered adapters' initialize fn returns non-zero,
    + * then opae_plugin_mgr_initialize_all returns non-zero.
    + */ +TEST_P(pluginmgr_c_p, bad_init_all) { + faux_adapter1_->initialize = test_plugin_bad_initialize; + EXPECT_NE(0, opae_plugin_mgr_initialize_all()); + EXPECT_EQ(2, test_plugin_initialize_called); + + EXPECT_EQ(0, opae_plugin_mgr_finalize_all()); + EXPECT_EQ(nullptr, adapter_list); + EXPECT_EQ(2, test_plugin_finalize_called); +} + +/** + * @test bad_final_all + * @brief Test: opae_plugin_mgr_finalize_all + * @details When any of the registered adapters' finalize fn returns non-zero,
    + * then opae_plugin_mgr_finalize_all returns non-zero.
    + */ +TEST_P(pluginmgr_c_p, bad_final_all) { + faux_adapter1_->finalize = test_plugin_bad_finalize; + + EXPECT_NE(0, opae_plugin_mgr_finalize_all()); + EXPECT_EQ(nullptr, adapter_list); + EXPECT_EQ(2, test_plugin_finalize_called); +} + +INSTANTIATE_TEST_CASE_P(pluginmgr_c, pluginmgr_c_p, ::testing::ValuesIn(test_platform::keys(true))); + +const char *plugin_cfg_1 = R"plug( +{ + "configurations": { + "plugin1": { + "configuration": { + "key1a": 10, + "key1b": "hello" + }, + "enabled": true, + "plugin": "libplugin1.so" + }, + "plugin2": { + "configuration": { + "key1a": 20, + "key1b": "goodbye" + }, + "enabled": false, + "plugin": "libplugin2.so" + } + }, + "plugins": [ + "plugin1", + "plugin2" + ] +} +)plug"; + +// missing comma (,) on line 272 +const char *plugin_cfg_2 = R"plug( +{ + "configurations": { + "plugin1": { + "configuration": { + "key1a": 10, + "key1b": "hello" + }, + "enabled": true, + "plugin": "libplugin1.so" + } + "plugin2": { + "configuration": { + "key1a": 20, + "key1b": "goodbye" + }, + "enabled": false, + "plugin": "libplugin2.so" + } + }, + "plugins": [ + "plugin1", + "plugin2 + ] +} +)plug"; + +// keyword enabled misspelled on line 298 +const char *plugin_cfg_3 = R"plug( +{ + "configurations": { + "plugin1": { + "configuration": { + "key1a": 10, + "key1b": "hello" + }, + "enable": true, + "plugin": "libplugin1.so" + }, + "plugin2": { + "configuration": { + "key1a": 20, + "key1b": "goodbye" + }, + "enabled": false, + "plugin": "libplugin2.so" + } + }, + "plugins": [ + "plugin1", + "plugin2" + ] +} +)plug"; + +// plugin name different on line 321 +const char *plugin_cfg_4 = R"plug( +{ + "configurations": { + "plugin10": { + "configuration": { + "key1a": 10, + "key1b": "hello" + }, + "enabled": true, + "plugin": "libplugin1.so" + }, + "plugin2": { + "configuration": { + "key1a": 20, + "key1b": "goodbye" + }, + "enabled": false, + "plugin": "libplugin2.so" + } + }, + "plugins": [ + "plugin1", + "plugin2" + ] +} +)plug"; + +// plugins not array type +const char *plugin_cfg_5 = R"plug( +{ + "configurations": { + "plugin1": { + "configuration": { + "key1a": 10, + "key1b": "hello" + }, + "enabled": true, + "plugin": "libplugin1.so" + }, + "plugin2": { + "configuration": { + "key1a": 20, + "key1b": "goodbye" + }, + "enabled": false, + "plugin": "libplugin2.so" + } + }, + "plugins": 0 +} +)plug"; + +#define HOME_CFG_PATHS 3 +extern "C" { + void opae_plugin_mgr_reset_cfg(void); + int opae_plugin_mgr_load_cfg_plugins(void); + int opae_plugin_mgr_finalize_all(void); + extern plugin_cfg *opae_plugin_mgr_config_list; + extern int opae_plugin_mgr_plugin_count; + const char *_opae_home_configs[HOME_CFG_PATHS] = { + "/.local/opae.cfg", + "/.local/opae/opae.cfg", + "/.config/opae/opae.cfg", + }; +} + +TEST(pluginmgr_c_p, process_cfg_buffer) { + opae_plugin_mgr_reset_cfg(); + EXPECT_EQ(opae_plugin_mgr_plugin_count, 0); + ASSERT_EQ(process_cfg_buffer(plugin_cfg_1, "plugin1.json"), 0); + EXPECT_EQ(opae_plugin_mgr_plugin_count, 2); + auto p1 = opae_plugin_mgr_config_list; + ASSERT_NE(p1, nullptr); + auto p2 = p1->next; + ASSERT_NE(p2, nullptr); + EXPECT_TRUE(p1->enabled); + EXPECT_FALSE(p2->enabled); + ASSERT_EQ(p2->next, nullptr); +} + +TEST(pluginmgr_c_p, process_cfg_buffer_err) { + opae_plugin_mgr_reset_cfg(); + EXPECT_EQ(opae_plugin_mgr_plugin_count, 0); + ASSERT_NE(process_cfg_buffer(plugin_cfg_2, "plugin2.json"), 0); + + opae_plugin_mgr_reset_cfg(); + EXPECT_EQ(opae_plugin_mgr_plugin_count, 0); + ASSERT_NE(process_cfg_buffer(plugin_cfg_3, "plugin3.json"), 0); + EXPECT_EQ(opae_plugin_mgr_plugin_count, 1); + + opae_plugin_mgr_reset_cfg(); + EXPECT_EQ(opae_plugin_mgr_plugin_count, 0); + ASSERT_NE(process_cfg_buffer(plugin_cfg_4, "plugin4.json"), 0); + EXPECT_EQ(opae_plugin_mgr_plugin_count, 1); + + opae_plugin_mgr_reset_cfg(); + EXPECT_EQ(opae_plugin_mgr_plugin_count, 0); + ASSERT_NE(process_cfg_buffer(plugin_cfg_5, "plugin5.json"), 0); + EXPECT_EQ(opae_plugin_mgr_plugin_count, 0); +} + +const char *dummy_cfg = R"plug( +{ + "configurations": { + "dummy": { + "configuration": { + "key1": "hello", + "key2": "plugin", + "fake_tokens": 99 + }, + "enabled": true, + "plugin": "libdummy_plugin.so" + } + }, + "plugins": [ + "dummy" + ] +} +)plug"; + +const char *err_contains = "wrapped_handle->adapter_table->fpgaReset is NULL"; + + +TEST_P(pluginmgr_c_p, dummy_plugin) { + auto ldl_path = getenv("LD_LIBRARY_PATH"); + opae_plugin_mgr_reset_cfg(); + EXPECT_EQ(opae_plugin_mgr_plugin_count, 0); + ASSERT_EQ(process_cfg_buffer(dummy_cfg, "dummy.json"), 0); + EXPECT_EQ(opae_plugin_mgr_plugin_count, 1); + auto p1 = opae_plugin_mgr_config_list; + ASSERT_NE(p1, nullptr); + auto p2 = p1->next; + ASSERT_EQ(p2, nullptr); + EXPECT_TRUE(p1->enabled); + testing::internal::CaptureStdout(); + ASSERT_EQ(opae_plugin_mgr_load_cfg_plugins(), 0) << "LD_LIBRARY_PATH: '" + << ldl_path << "'"; + std::string output = testing::internal::GetCapturedStdout(); + EXPECT_STREQ(output.c_str(), "hello plugin!\n"); + + uint32_t matches = 0; + fpga_properties filter = NULL; + uint16_t device_id = 49178; + EXPECT_EQ(fpgaGetProperties(nullptr, &filter), FPGA_OK); + EXPECT_EQ(fpgaPropertiesSetDeviceID(filter, device_id), FPGA_OK); + EXPECT_EQ(fpgaEnumerate(&filter, 1, nullptr, 0, &matches), FPGA_OK); + EXPECT_EQ(matches, 99); + std::array tokens = {0}; + std::array handles = {0}; + EXPECT_EQ(fpgaEnumerate(&filter, 1, tokens.data(), tokens.size(), &matches), + FPGA_OK); + int i = 0; + for (auto t : tokens) { + EXPECT_EQ(fpgaOpen(t, &handles[i], i), FPGA_OK); + testing::internal::CaptureStderr(); + EXPECT_EQ(fpgaReset(handles[i]), FPGA_NOT_SUPPORTED); + std::string err = testing::internal::GetCapturedStderr(); + EXPECT_NE(err.find(err_contains), std::string::npos); + EXPECT_EQ(fpgaClose(handles[i++]), FPGA_OK); + EXPECT_EQ(fpgaDestroyToken(&t), FPGA_OK); + } + + EXPECT_EQ(fpgaDestroyProperties(&filter), FPGA_OK); + unlink("opae_log.log"); + opae_plugin_mgr_finalize_all(); + opae_plugin_mgr_reset_cfg(); +} + +TEST_P(pluginmgr_c_p, no_cfg) { + opae_plugin_mgr_reset_cfg(); + EXPECT_EQ(opae_plugin_mgr_plugin_count, 0); + ASSERT_EQ(opae_plugin_mgr_initialize(nullptr), 0); + EXPECT_EQ(opae_plugin_mgr_plugin_count, 0); + auto p1 = opae_plugin_mgr_config_list; + ASSERT_EQ(p1, nullptr); + opae_plugin_mgr_finalize_all(); + opae_plugin_mgr_reset_cfg(); +} + +class pluginmgr_cfg_p : public ::testing::TestWithParam { + protected: + pluginmgr_cfg_p() : buffer_ {0} {} + + virtual void SetUp() override { + // This parameterized test iterates over the possible config file paths + // relative to a user's home directory + + // let's build the full path by prepending the parameter with $HOME + char *home_cstr = getenv("HOME"); + ASSERT_NE(home_cstr, nullptr) << "No home environment found"; + std::string home = home_cstr; + // the parameter paths start with a '/' + cfg_file_ = home + std::string(GetParam()); + // copy it to a temporary buffer that we can use dirname with + std::copy(cfg_file_.begin(), cfg_file_.end(), &buffer_[0]); + // get the directory name of the file + cfg_dir_ = dirname(buffer_); + struct stat st; + // if the directory doesn't exist, create the entire path + if (stat(cfg_dir_, &st)) { + std::string dir = cfg_dir_; + // find the first '/' after $HOME + size_t pos = dir.find('/', home.size()); + while (pos != std::string::npos) { + std::string sub = dir.substr(0, pos); + // sub is $HOME/, then $HOME//, ... + // if this directory doesn't exist, create it + if (stat(sub.c_str(), &st) && sub != "") { + ASSERT_EQ(mkdir(sub.c_str(), S_IRWXU | S_IRWXG | S_IROTH | S_IXOTH), + 0) + << "Error creating subdirectory (" << sub + << "}: " << strerror(errno); + // keep track of directories created + dirs_.push(sub); + } + pos = pos < dir.size() ? dir.find('/', pos + 1) : std::string::npos; + } + // finally, we know the entire path didn't exist, create the last + // directory + ASSERT_EQ(mkdir(cfg_dir_, S_IRWXU | S_IRWXG | S_IROTH | S_IXOTH), 0) + << "Error creating subdirectory (" << cfg_dir_ + << "}: " << strerror(errno); + dirs_.push(cfg_dir_); + } + + if (stat(cfg_file_.c_str(), &st) == 0) { + unlink(cfg_file_.c_str()); + } + + std::ofstream cfg_stream(cfg_file_); + cfg_stream.write(dummy_cfg, strlen(dummy_cfg)); + cfg_stream.close(); + } + + virtual void TearDown() override { + opae_plugin_mgr_finalize_all(); + unlink(cfg_file_.c_str()); + // remove any directories we created in SetUp + while (!dirs_.empty()) { + unlink(dirs_.top().c_str()); + dirs_.pop(); + } + } + + char buffer_[PATH_MAX]; + std::string cfg_file_; + char *cfg_dir_; + std::stack dirs_; +}; + + +/** + * @test find_and_parse_cfg + * @brief Test: find_and_parse_cfg + * @details Given a valid configuration with one plugin
    + * And a configuration file located in one of three possible paths + * in the user's home directory
    + * When I call opae_plugin_mgr_initialize + * Then the call is successful
    + * And the number of plugins in the global plugin list is 1 + */ +TEST_P(pluginmgr_cfg_p, find_and_parse_cfg) { + opae_plugin_mgr_reset_cfg(); + EXPECT_EQ(opae_plugin_mgr_plugin_count, 0); + ASSERT_EQ(opae_plugin_mgr_initialize(nullptr), 0); + EXPECT_EQ(opae_plugin_mgr_plugin_count, 1); + auto p1 = opae_plugin_mgr_config_list; + ASSERT_NE(p1, nullptr); + ASSERT_EQ(p1->next, nullptr); + EXPECT_TRUE(p1->enabled); + opae_plugin_mgr_finalize_all(); + opae_plugin_mgr_reset_cfg(); +} + +INSTANTIATE_TEST_CASE_P(pluginmgr_cfg, pluginmgr_cfg_p, + ::testing::ValuesIn(_opae_home_configs)); diff --git a/opae-libs/tests/opae-c/test_props_c.cpp b/opae-libs/tests/opae-c/test_props_c.cpp new file mode 100644 index 0000000..46cb3b0 --- /dev/null +++ b/opae-libs/tests/opae-c/test_props_c.cpp @@ -0,0 +1,3428 @@ +// Copyright(c) 2017-2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include + +#include "gtest/gtest.h" +#include "mock/test_system.h" + +#include +#include "opae_int.h" +#include "props.h" + +fpga_guid known_guid = {0xc5, 0x14, 0x92, 0x82, 0xe3, 0x4f, 0x11, 0xe6, + 0x8e, 0x3a, 0x13, 0xcc, 0x9d, 0x38, 0xca, 0x28}; + +using namespace opae::testing; + +class properties_c_p : public ::testing::TestWithParam { + protected: + properties_c_p() + : tokens_device_{{nullptr, nullptr}}, + tokens_accel_{{nullptr, nullptr}} {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + filter_ = nullptr; + accel_ = nullptr; + ASSERT_EQ(fpgaInitialize(NULL), FPGA_OK); + ASSERT_EQ(fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(fpgaEnumerate(&filter_, 1, tokens_device_.data(), tokens_device_.size(), + &num_matches_device_), FPGA_OK); + + ASSERT_EQ(fpgaClearProperties(filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), FPGA_OK); + ASSERT_EQ(fpgaEnumerate(&filter_, 1, tokens_accel_.data(), tokens_accel_.size(), + &num_matches_accel_), FPGA_OK); + + ASSERT_EQ(fpgaOpen(tokens_accel_[0], &accel_, 0), FPGA_OK); + ASSERT_EQ(fpgaClearProperties(filter_), FPGA_OK); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + EXPECT_EQ(fpgaClose(accel_), FPGA_OK); + for (auto &t : tokens_accel_) { + if (t) { + EXPECT_EQ(fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + for (auto &t : tokens_device_) { + if (t) { + EXPECT_EQ(fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + fpgaFinalize(); + system_->finalize(); + } + + std::array tokens_device_; + std::array tokens_accel_; + fpga_properties filter_; + fpga_handle accel_; + uint32_t num_matches_device_; + uint32_t num_matches_accel_; + test_platform platform_; + test_system* system_; +}; + +/** + * @test get_parent01 + * @brief Tests: fpgaPropertiesGetParent + * @details Given a non-null fpga_properties* object
    + * And it has the parent field set
    + * And a field in its parent object is a known value
    + * When I call fpgaPropertiesGetParent with a pointer to a token + * object
    + * Then the return value is FPGA_OK
    + * And the field in the token object is set to the known value
    + * */ +TEST_P(properties_c_p, get_parent01) { + fpga_properties prop = nullptr; + std::array toks = {{nullptr, nullptr}}; + fpga_token parent = nullptr; + uint32_t matches = 0; + + ASSERT_EQ(fpgaGetProperties(NULL, &prop), FPGA_OK); + EXPECT_EQ(fpgaPropertiesSetObjectType(prop, FPGA_DEVICE), FPGA_OK); + ASSERT_EQ( + fpgaEnumerate(&prop, 1, toks.data(), toks.size(), &matches), + FPGA_OK); + + EXPECT_EQ(fpgaClearProperties(prop), FPGA_OK); + + // set the token to a known value + auto _prop = (_fpga_properties*)prop; + SET_FIELD_VALID(_prop, FPGA_PROPERTY_PARENT); + _prop->parent = toks[0]; + + // now get the parent token from the prop structure + EXPECT_EQ(fpgaPropertiesGetParent(prop, &parent), FPGA_OK); + // GetParent clones the token so compare object_id of the two + fpga_properties p1 = nullptr, p2 = nullptr; + ASSERT_EQ(fpgaGetProperties(toks[0], &p1), FPGA_OK); + ASSERT_EQ(fpgaGetProperties(parent, &p2), FPGA_OK); + EXPECT_EQ(((_fpga_properties*)p1)->object_id, + ((_fpga_properties*)p2)->object_id); + + EXPECT_EQ(fpgaDestroyProperties(&p1), FPGA_OK); + EXPECT_EQ(fpgaDestroyProperties(&p2), FPGA_OK); + EXPECT_EQ(fpgaDestroyProperties(&prop), FPGA_OK); + + EXPECT_EQ(fpgaDestroyToken(&parent), FPGA_OK); + for (auto &t : toks) { + if (t) { + EXPECT_EQ(fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } +} + +/** + * @test get_parent02 + * @brief Tests: fpgaPropertiesGetParent + * @details Given a non-null fpga_properties* object
    + * And it does NOT have the parent field set
    + * When I call fpgaPropertiesGetParent with a pointer to a token + * object
    + * Then the return value is FPGA_NOT_FOUND
    + * */ +TEST_P(properties_c_p, get_parent02) { + fpga_properties prop = nullptr; + + ASSERT_EQ(fpgaGetProperties(NULL, &prop), FPGA_OK); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + fpga_token tok = nullptr; + + // make sure the FPGA_PROPERTY_PARENT bit is zero + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_PARENT) & 1, 0); + + EXPECT_EQ(fpgaPropertiesGetParent(_prop, &tok), FPGA_NOT_FOUND); + EXPECT_EQ(tok, nullptr); + + EXPECT_EQ(fpgaDestroyProperties(&prop), FPGA_OK); +} + +/** + * @test set_parent01 + * @brief Tests: fpgaPropertiesSetParent + * @details Given a non-null fpga_properties* object
    + * And a fpga_token* object with a known value
    + * When I call fpgaPropertiesSetParent with the property and the + * token
    + * Then the parent object in the properties object is the token
    + */ +TEST_P(properties_c_p, set_parent01) { + fpga_properties prop = nullptr; + std::array toks = {{nullptr, nullptr}}; + uint32_t matches = 0; + fpga_token parent = nullptr; + + ASSERT_EQ(fpgaGetProperties(NULL, &prop), FPGA_OK); + EXPECT_EQ(fpgaPropertiesSetObjectType(prop, FPGA_DEVICE), FPGA_OK); + ASSERT_EQ( + fpgaEnumerate(&prop, 1, toks.data(), toks.size(), &matches), + FPGA_OK); + EXPECT_GT(matches, 0); + + EXPECT_EQ(fpgaClearProperties(prop), FPGA_OK); + + // now get the parent token from the prop structure + EXPECT_EQ(fpgaPropertiesSetParent(prop, toks[0]), FPGA_OK); + // now get the parent token from the prop structure + EXPECT_EQ(fpgaPropertiesGetParent(prop, &parent), FPGA_OK); + // GetParent clones the token so compare object_id of the two + fpga_properties p1 = nullptr, p2 = nullptr; + ASSERT_EQ(fpgaGetProperties(toks[0], &p1), FPGA_OK); + ASSERT_EQ(fpgaGetProperties(parent, &p2), FPGA_OK); + EXPECT_EQ(((_fpga_properties*)p1)->object_id, + ((_fpga_properties*)p2)->object_id); + + EXPECT_EQ(fpgaDestroyProperties(&p1), FPGA_OK); + EXPECT_EQ(fpgaDestroyProperties(&p2), FPGA_OK); + EXPECT_EQ(fpgaDestroyProperties(&prop), FPGA_OK); + + EXPECT_EQ(fpgaDestroyToken(&parent), FPGA_OK); + for (auto &t : toks) { + if (t) { + EXPECT_EQ(fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } +} + +/** + * @test set_parent02 + * @brief Tests: fpgaPropertiesSetParent + * @details When setting the parent token in a properties object
    + * that has a wrapped parent token resulting from fpgaGetProperties[FromParent]
    + * or fpgaUpdateProperties,
    + * fpgaPropertiesSetParent will free the token wrapper.
    + */ +TEST_P(properties_c_p, set_parent02) { + fpga_properties prop = nullptr; + // The accelerator token will have a parent token set. + ASSERT_EQ(fpgaGetProperties(tokens_accel_[0], &prop), FPGA_OK); + // When this parent is set explicitly, the parent token wrapper is freed. + EXPECT_EQ(fpgaPropertiesSetParent(prop, tokens_device_[0]), FPGA_OK); + EXPECT_EQ(fpgaDestroyProperties(&prop), FPGA_OK); +} + +TEST_P(properties_c_p, from_handle01) { + fpga_properties props = nullptr; + EXPECT_EQ(fpgaGetPropertiesFromHandle(accel_, &props), FPGA_OK); + EXPECT_EQ(fpgaDestroyProperties(&props), FPGA_OK); +} + +/** + * @test from_token03 + * @brief Tests: fpgaGetProperties + * @details When the input token is valid
    + * and the call is successful,
    + * fpgaGetProperties returns FPGA_OK.
    + */ +TEST_P(properties_c_p, from_token03) { + fpga_properties props = nullptr; + EXPECT_EQ(fpgaGetProperties(tokens_accel_[0], &props), FPGA_OK); + EXPECT_EQ(fpgaDestroyProperties(&props), FPGA_OK); +} + +/** + * @test update01 + * @brief Tests: fpgaUpdateProperties + * @details When the input properties object has a parent token set,
    + * fpgaUpdateProperties re-uses the wrapper object.
    + * If a subsequent call to fpgaUpdateProperties results in a properites
    + * object without a parent token,
    + * then the wrapper object is freed.
    + */ +TEST_P(properties_c_p, update01) { + fpga_properties props = nullptr; + ASSERT_EQ(fpgaGetProperties(NULL, &props), FPGA_OK); + EXPECT_EQ(fpgaUpdateProperties(tokens_accel_[0], props), FPGA_OK); + // The output properties for the accelerator will have a parent token. + + // Updating the properties again (accelerator) will re-use the existing token wrapper. + EXPECT_EQ(fpgaUpdateProperties(tokens_accel_[0], props), FPGA_OK); + + // Updating the properties for a device token will not result in + // a parent token. The token wrapper will be destroyed. + EXPECT_EQ(fpgaUpdateProperties(tokens_device_[0], props), FPGA_OK); + + EXPECT_EQ(fpgaDestroyProperties(&props), FPGA_OK); +} + +/** + * @test get_parent_null_props + * @brief Tests: fpgaPropertiesGetParent + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesGetParent with the null object
    + * Then the return value is FPGA_INVALID_PARAM
    + **/ +TEST(properties, get_parent_null_props) { + fpga_properties prop = NULL; + + fpga_token token; + fpga_result result = fpgaPropertiesGetParent(prop, &token); + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +/** + * @test set_parent_null_token + * @brief Tests: fpgaPropertiesSetParent + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesSetParent with the null object
    + * Then the result is FPGA_INVALID_PARAM
    + */ +TEST(properties, set_parent_null_token) { + fpga_properties prop = NULL; + + // Call the API to set the token on the property + fpga_token token = nullptr; + fpga_result result = fpgaPropertiesSetParent(prop, &token); + + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +/** + * @test create + * @brief Tests: fpgaGetProperties + * @details Given a null fpga_properties object
    + * When I call fpgaGetProperties with the object
    + * Then the return value is FPGA_OK
    + * And the fpga_properties object is non-null
    + */ +TEST_P(properties_c_p, create) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + ASSERT_EQ(result, FPGA_OK); + ASSERT_EQ(NULL, ((struct _fpga_properties*)prop)->parent); + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(result, FPGA_OK); +} + +/** + * @test destroy01 + * @brief Tests: fpgaDestroyProperties + * @details Given a non-null fpga_properties object
    + * When I call fpgaDestroyProperties with that object
    + * Then the result is FPGA_OK
    + * And that object is null
    + */ +TEST_P(properties_c_p, destroy01) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(FPGA_OK, result); + ASSERT_EQ(NULL, prop); +} + +/** + * @test destroy02 + * @brief Tests: fpgaDestroyProperties + * @details Given a null fpga_properties object
    + * When I call fpgaDestroyProperties with that object
    + * Then the result is FPGA_INVALID_PARAM
    + */ +TEST(properties, destroy02) { + fpga_properties prop = NULL; + + fpga_result result = fpgaDestroyProperties(&prop); + ASSERT_EQ(FPGA_INVALID_PARAM, result); + ASSERT_EQ(NULL, prop); +} + +/** + * @test clear01 + * @brief Tests: fpgaClearProperties + * @details Given a non-null fpga_properties object
    + * When I call fpgaClearProperties with the object
    + * Then the result is FPGA_OK
    + * And the properties object is cleared
    + */ +TEST_P(properties_c_p, clear01) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the bus field + SET_FIELD_VALID(_prop, FPGA_PROPERTY_BUS); + _prop->bus = 0xAB; + + result = fpgaClearProperties(prop); + EXPECT_EQ(FPGA_OK, result); + EXPECT_EQ(_prop, prop); + EXPECT_EQ(0, _prop->valid_fields); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(FPGA_OK, result); + ASSERT_EQ(NULL, prop); +} + +/** + * @test clear02 + * @brief Tests: fpgaClearProperties + * @details Given a null fpga_properties object
    + * When I call fpgaClearProperties with the object
    + * Then the result is FPGA_INVALID_PARAM
    + */ +TEST(properties, clear02) { + fpga_properties prop = NULL; + + fpga_result result = fpgaClearProperties(prop); + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +// * ObjectType *// +/** + * @test get_object_type01 + * @brief Tests: fpgaPropertiesGetObjectType + * @details Given a non-null fpga_properties* object
    + * And it has the objtype field set
    + * And its objtype field is a known value
    + * When I call fpgaPropertiesGetObjectType with a pointer to an + * fpga_objtype
    + * Then the return value is FPGA_OK
    + * And the output value is the known value
    + * */ +TEST_P(properties_c_p, get_object_type01) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type field + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + _prop->objtype = FPGA_DEVICE; + + // now get the parent token from the prop structure + fpga_objtype objtype; + result = fpgaPropertiesGetObjectType(prop, &objtype); + EXPECT_EQ(result, FPGA_OK); + // Assert it is set to what we set it to above + EXPECT_EQ(FPGA_DEVICE, objtype); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_object_type02 + * @brief Tests: fpgaPropertiesGetObjectType + * @details Given a non-null fpga_properties* object
    + * And it does NOT have the objtype field set
    + * When I call fpgaPropertiesGetObjectType with a pointer to an + * fpga_objtype
    + * Then the return value is FPGA_NOT_FOUND
    + * */ +TEST_P(properties_c_p, get_object_type02) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_TRUE(NULL != prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // make sure the FPGA_PROPERTY_OBJTYPE bit is zero + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_OBJTYPE) & 1, 0); + + fpga_objtype objtype; + result = fpgaPropertiesGetObjectType(prop, &objtype); + EXPECT_EQ(FPGA_NOT_FOUND, result); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test set_object_type01 + * @brief Tests: fpgaPropertiesSetObjectType + * @details Given a non-null fpga_properties* object
    + * And a fpga_objtype object set to a known value
    + * When I call fpgaPropertiesSetObjectType with the properties + object + * and the objtype
    + * Then the objtype in the properties object is the known value
    + */ +TEST_P(properties_c_p, set_object_type01) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + fpga_objtype objtype = FPGA_DEVICE; + result = fpgaPropertiesSetObjectType(prop, objtype); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + EXPECT_EQ(result, FPGA_OK); + // Assert it is set to what we set it to above + EXPECT_EQ(FPGA_DEVICE, _prop->objtype); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_object_type03 + * @brief Tests: fpgaPropertiesGetObjectType + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesGetObjectType with the null object
    + * Then the return value is FPGA_INVALID_PARAM
    + * */ +TEST(properties, get_object_type03) { + fpga_properties prop = NULL; + + fpga_objtype objtype; + fpga_result result = fpgaPropertiesGetObjectType(prop, &objtype); + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +/** + * @test set_object_type02 + * @brief Tests: fpgaPropertiesSetObjectType + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesSetObjectType with the null object
    + * Then the result is FPGA_INVALID_PARAM
    + */ +TEST(properties, set_object_type02) { + fpga_properties prop = NULL; + + // Call the API to set the objtype on the property + fpga_objtype objtype = FPGA_DEVICE; + fpga_result result = fpgaPropertiesSetObjectType(prop, objtype); + + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +// * Segment field tests *// +/** + * @test get_segment01 + * @brief Tests: fpgaPropertiesGetSegment + * @details Given a non-null fpga_properties* object
    + * And it has the bus field set
    + * And it is set to a known value
    + * When I call fpgaPropertiesGetSegment with a pointer to an + integer
    + * Then the return value is FPGA_OK
    + * And the output value is the known value
    + */ +TEST_P(properties_c_p, get_segment01) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the segment field + SET_FIELD_VALID(_prop, FPGA_PROPERTY_SEGMENT); + _prop->segment = 0xc001; + + // now get the segment number using the API + uint16_t segment = 0; + result = fpgaPropertiesGetSegment(prop, &segment); + EXPECT_EQ(result, FPGA_OK); + // Assert it is set to what we set it to above + // (Get the subfield manually) + EXPECT_EQ(0xc001, segment); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_segment02 + * @brief Tests: fpgaPropertiesGetSegment + * @details Given a non-null fpga_properties* object
    + * And it does NOT have the bus field set
    + * When I call fpgaPropertiesGetSegment with a pointer to an + integer
    + * Then the return value is FPGA_NOT_FOUND
    + * */ +TEST_P(properties_c_p, get_segment02) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_TRUE(NULL != prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // make sure the FPGA_PROPERTY_SEGMENT bit is zero + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_SEGMENT) & 1, 0); + + uint16_t segment; + result = fpgaPropertiesGetSegment(prop, &segment); + EXPECT_EQ(FPGA_NOT_FOUND, result); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test set_segment01 + * @brief Tests: fpgaPropertiesSetSegment + * @details Given a non-null fpga_properties* object
    + * And segment variable set to a known value
    + * When I call fpgaPropertiesSetSegment with the properties object + and + * the segment variable
    + * Then the segment field in the properties object is the known + value
    + */ +TEST_P(properties_c_p, set_segment01) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + uint16_t segment = 0xc001; + // make sure the FPGA_PROPERTY_SEGMENT bit is zero + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_SEGMENT) & 1, 0); + // Call the API to set the segment on the property + result = fpgaPropertiesSetSegment(prop, segment); + + EXPECT_EQ(result, FPGA_OK); + + // make sure the FPGA_PROPERTY_SEGMENT bit is one + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_SEGMENT) & 1, 1); + + // Assert it is set to what we set it to above + EXPECT_EQ(0xc001, _prop->segment); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_segment03 + * @brief Tests: fpgaPropertiesGetSegment + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesGetSegment with the null object
    + * Then the return value is FPGA_INVALID_PARAM
    + * */ +TEST(properties, get_segment03) { + fpga_properties prop = NULL; + + uint16_t segment; + fpga_result result = fpgaPropertiesGetSegment(prop, &segment); + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +/** + * @test set_segment02 + * @brief Tests: fpgaPropertiesSetSegment + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesSetSegment with the null object
    + * Then the result is FPGA_INVALID_PARAM
    + */ +TEST(properties, set_segment02) { + fpga_properties prop = NULL; + + // Call the API to set the segment on the property + fpga_result result = fpgaPropertiesSetSegment(prop, 0xc001); + + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +// * Bus field tests *// +/** + * @test get_bus01 + * @brief Tests: fpgaPropertiesGetBus + * @details Given a non-null fpga_properties* object
    + * And it has the bus field set
    + * And it is set to a known value
    + * When I call fpgaPropertiesGetBus with a pointer to an integer
    + * Then the return value is FPGA_OK
    + * And the output value is the known value
    + * */ +TEST_P(properties_c_p, get_bus01) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type field + SET_FIELD_VALID(_prop, FPGA_PROPERTY_BUS); + _prop->bus = 0xAE; + + // now get the bus number using the API + uint8_t bus; + result = fpgaPropertiesGetBus(prop, &bus); + EXPECT_EQ(result, FPGA_OK); + // Assert it is set to what we set it to above + // (Get the subfield manually) + EXPECT_EQ(0xAE, bus); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_bus02 + * @brief Tests: fpgaPropertiesGetBus + * @details Given a non-null fpga_properties* object
    + * And it does NOT have the bus field set
    + * When I call fpgaPropertiesGetBus with a pointer to an integer
    + * Then the return value is FPGA_NOT_FOUND
    + * */ +TEST_P(properties_c_p, get_bus02) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_TRUE(NULL != prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // make sure the FPGA_PROPERTY_BUS bit is zero + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_BUS) & 1, 0); + + uint8_t bus; + result = fpgaPropertiesGetBus(prop, &bus); + EXPECT_EQ(FPGA_NOT_FOUND, result); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test set_bus01 + * @brief Tests: fpgaPropertiesSetBus + * @details Given a non-null fpga_properties* object
    + * And bus variable set to a known value
    + * When I call fpgaPropertiesSetBus with the properties object and + * the bus variable
    + * Then the bus field in the properties object is the known + value
    + */ +TEST_P(properties_c_p, set_bus01) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + uint8_t bus = 0xAE; + // make sure the FPGA_PROPERTY_BUS bit is zero + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_BUS) & 1, 0); + // Call the API to set the bus on the property + result = fpgaPropertiesSetBus(prop, bus); + + EXPECT_EQ(result, FPGA_OK); + + // make sure the FPGA_PROPERTY_BUS bit is one + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_BUS) & 1, 1); + + // Assert it is set to what we set it to above + EXPECT_EQ(0xAE, _prop->bus); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_bus03 + * @brief Tests: fpgaPropertiesGetBus + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesGetBus with the null object
    + * Then the return value is FPGA_INVALID_PARAM
    + * */ +TEST(properties, get_bus03) { + fpga_properties prop = NULL; + + uint8_t bus; + fpga_result result = fpgaPropertiesGetBus(prop, &bus); + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +/** + * @test set_bus02 + * @brief Tests: fpgaPropertiesSetBus + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesSetBus with the null object
    + * Then the result is FPGA_INVALID_PARAM
    + */ +TEST(properties, set_bus02) { + fpga_properties prop = NULL; + + // Call the API to set the objtype on the property + fpga_result result = fpgaPropertiesSetBus(prop, 0); + + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +// * Device field tests *// +/** + * @test get_device01 + * @brief Tests: fpgaPropertiesGetDevice + * @details Given a non-null fpga_properties* object
    + * And it has the device field set
    + * And it is set to a known value
    + * When I call fpgaPropertiesGetDevice with a pointer to an + * integer
    + * Then the return value is FPGA_OK
    + * And the output value is the known value
    + * */ +TEST_P(properties_c_p, get_device01) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type field + SET_FIELD_VALID(_prop, FPGA_PROPERTY_DEVICE); + _prop->device = 0xAE; + + // now get the device number using the API + uint8_t device; + result = fpgaPropertiesGetDevice(prop, &device); + EXPECT_EQ(result, FPGA_OK); + // Assert it is set to what we set it to above + // (Get the subfield manually) + EXPECT_EQ(0xAE, device); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_device02 + * @brief Tests: fpgaPropertiesGetDevice + * @details Given a non-null fpga_properties* object
    + * And it does NOT have the device field set
    + * When I call fpgaPropertiesGetDevice with a pointer to an + * integer
    + * Then the return value is FPGA_NOT_FOUND
    + * */ +TEST_P(properties_c_p, get_device02) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_TRUE(NULL != prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // make sure the FPGA_PROPERTY_DEVICE bit is zero + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_DEVICE) & 1, 0); + + uint8_t device; + result = fpgaPropertiesGetDevice(prop, &device); + EXPECT_EQ(FPGA_NOT_FOUND, result); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test set_device01 + * @brief Tests: fpgaPropertiesSetDevice + * @details Given a non-null fpga_properties* object
    + * And device variable set to a known value
    + * When I call fpgaPropertiesSetDevice with the properties object + * and the device variable
    + * Then the device field in the properties object is the known + * value
    + */ +TEST_P(properties_c_p, set_device01) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + uint8_t device = 0x1f; // max of 32 devices + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // make sure the FPGA_PROPERTY_DEVICE bit is zero + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_DEVICE) & 1, 0); + + // Call the API to set the device on the property + result = fpgaPropertiesSetDevice(prop, device); + + EXPECT_EQ(result, FPGA_OK); + + // make sure the FPGA_PROPERTY_DEVICE bit is one + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_DEVICE) & 1, 1); + + // Assert it is set to what we set it to above + EXPECT_EQ(0x1f, _prop->device); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_device03 + * @brief Tests: fpgaPropertiesGetDevice + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesGetDevice with the null object
    + * Then the return value is FPGA_INVALID_PARAM
    + * */ +TEST(properties, get_device03) { + fpga_properties prop = NULL; + + uint8_t device; + fpga_result result = fpgaPropertiesGetDevice(prop, &device); + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +/** + * @test set_device02 + * @brief Tests: fpgaPropertiesSetDevice + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesSetDevice with the null object
    + * Then the result is FPGA_INVALID_PARAM
    + */ +TEST(properties, set_device02) { + fpga_properties prop = NULL; + + // Call the API to set the objtype on the property + fpga_result result = fpgaPropertiesSetDevice(prop, 0); + + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +// * Function field tests *// +/** + * @test get_function01 + * @brief Tests: fpgaPropertiesGetFunction + * @details Given a non-null fpga_properties* object
    + * And it has the function field set
    + * And it is set to a known value
    + * When I call fpgaPropertiesGetFunction with a pointer to an + * integer
    + * Then the return value is FPGA_OK
    + * And the output value is the known value
    + * */ +TEST_P(properties_c_p, get_function01) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type field + SET_FIELD_VALID(_prop, FPGA_PROPERTY_FUNCTION); + _prop->function = 0xAE; + + // now get the function number using the API + uint8_t function; + result = fpgaPropertiesGetFunction(prop, &function); + EXPECT_EQ(result, FPGA_OK); + // Assert it is set to what we set it to above + // (Get the subfield manually) + EXPECT_EQ(0xAE, function); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_function02 + * @brief Tests: fpgaPropertiesGetFunction + * @details Given a non-null fpga_properties* object
    + * And it does NOT have the function field set
    + * When I call fpgaPropertiesGetFunction with a pointer to an + * integer
    + * Then the return value is FPGA_NOT_FOUND
    + * */ +TEST_P(properties_c_p, get_function02) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_TRUE(NULL != prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // make sure the FPGA_PROPERTY_FUNCTION bit is zero + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_FUNCTION) & 1, 0); + + uint8_t function; + result = fpgaPropertiesGetFunction(prop, &function); + EXPECT_EQ(FPGA_NOT_FOUND, result); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test set_function01 + * @brief Tests: fpgaPropertiesSetFunction + * @details Given a non-null fpga_properties* object
    + * And function variable set to a known value
    + * When I call fpgaPropertiesSetFunction with the properties object + * and the function variable
    + * Then the function field in the properties object is the known + * value
    + */ +TEST_P(properties_c_p, set_function01) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + uint8_t function = 7; // max of 8 functions + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // make sure the FPGA_PROPERTY_FUNCTION bit is zero + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_FUNCTION) & 1, 0); + + // Call the API to set the function on the property + result = fpgaPropertiesSetFunction(prop, function); + + EXPECT_EQ(result, FPGA_OK); + + // make sure the FPGA_PROPERTY_FUNCTION bit is one + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_FUNCTION) & 1, 1); + + // Assert it is set to what we set it to above + EXPECT_EQ(7, _prop->function); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_function03 + * @brief Tests: fpgaPropertiesGetFunction + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesGetFunction with the null object
    + * Then the return value is FPGA_INVALID_PARAM
    + * */ +TEST(properties, get_function03) { + fpga_properties prop = NULL; + + uint8_t function; + fpga_result result = fpgaPropertiesGetFunction(prop, &function); + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +/** + * @test set_function02 + * @brief Tests: fpgaPropertiesSetFunction + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesSetFunction with the null object
    + * Then the result is FPGA_INVALID_PARAM
    + */ +TEST(properties, set_function02) { + fpga_properties prop = NULL; + + // Call the API to set the objtype on the property + fpga_result result = fpgaPropertiesSetFunction(prop, 0); + + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +/** + * @test set_function03 + * @brief Tests: fpgaPropertiesSetFunction + * @details When fpgaPropertiesSetFunction is called with an invalid
    + * PCIe function number,
    + * Then the result is FPGA_INVALID_PARAM.
    + */ +TEST_P(properties_c_p, set_function03) { + // Call the API to set the objtype on the property + EXPECT_EQ(fpgaPropertiesSetFunction(filter_, 8), FPGA_INVALID_PARAM); +} + +// * SocketID field tests *// +/** + * @test get_socket_id01 + * @brief Tests: fpgaPropertiesGetSocketID + * @details Given a non-null fpga_properties* object
    + * And it has the socket_id field set
    + * And it is set to a known value
    + * When I call fpgaPropertiesGetSocketID with a pointer to an + * integer
    + * Then the return value is FPGA_OK
    + * And the output value is the known value
    + * */ +TEST_P(properties_c_p, get_socket_id01) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type field + SET_FIELD_VALID(_prop, FPGA_PROPERTY_SOCKETID); + _prop->socket_id = 0xAE; + + // now get the socket_id number using the API + uint8_t socket_id; + result = fpgaPropertiesGetSocketID(prop, &socket_id); + EXPECT_EQ(result, FPGA_OK); + // Assert it is set to what we set it to above + // (Get the subfield manually) + EXPECT_EQ(0xAE, socket_id); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_socket_id02 + * @brief Tests: fpgaPropertiesGetSocketID + * @details Given a non-null fpga_properties* object
    + * And it does NOT have the socket_id field set
    + * When I call fpgaPropertiesGetSocketID with a pointer to an + * integer
    + * Then the return value is FPGA_NOT_FOUND
    + * */ +TEST_P(properties_c_p, get_socket_id02) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_TRUE(NULL != prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // make sure the FPGA_PROPERTY_SOCKETID bit is zero + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_SOCKETID) & 1, 0); + + uint8_t socket_id; + result = fpgaPropertiesGetSocketID(prop, &socket_id); + EXPECT_EQ(FPGA_NOT_FOUND, result); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test set_socket_id01 + * @brief Tests: fpgaPropertiesSetSocketID + * @details Given a non-null fpga_properties* object
    + * And socket_id variable set to a known value
    + * When I call fpgaPropertiesSetSocketID with the properties object + * and the socket_id variable
    + * Then the socket_id field in the properties object is the known + * value
    + */ +TEST_P(properties_c_p, set_socket_id01) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + uint8_t socket_id = 0xAE; + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // make sure the FPGA_PROPERTY_SOCKETID bit is zero + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_SOCKETID) & 1, 0); + + // Call the API to set the socket_id on the property + result = fpgaPropertiesSetSocketID(prop, socket_id); + + EXPECT_EQ(result, FPGA_OK); + + // make sure the FPGA_PROPERTY_SOCKETID bit is one + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_SOCKETID) & 1, 1); + + // Assert it is set to what we set it to above + EXPECT_EQ(0xAE, _prop->socket_id); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_socket_id03 + * @brief Tests: fpgaPropertiesGetSocketID + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesGetSocketID with the null object
    + * Then the return value is FPGA_INVALID_PARAM
    + * */ +TEST(properties, get_socket_id03) { + fpga_properties prop = NULL; + + uint8_t socket_id; + fpga_result result = fpgaPropertiesGetSocketID(prop, &socket_id); + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +/** + * @test set_socket_id02 + * @brief Tests: fpgaPropertiesSetSocketID + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesSetSocketID with the null object
    + * Then the result is FPGA_INVALID_PARAM
    + */ +TEST(properties, set_socket_id02) { + fpga_properties prop = NULL; + + // Call the API to set the objtype on the property + fpga_result result = fpgaPropertiesSetSocketID(prop, 0); + + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +/** fpga.num_slots field tests **/ +/** + * @test get_num_slots01 + * @brief Tests: fpgaPropertiesGetNumSlots + * @details Given a non-null fpga_properties* object
    + * And its object type is FPGA + * And it has the num_slots field set to a known value
    + * When I call fpgaPropertiesGetNumSlots with a pointer to an + integer + * variable
    + * Then the return value is FPGA_OK
    + * And the output value is the known value
    + * */ +TEST_P(properties_c_p, get_num_slots01) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type and number of slots fields as valid + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + SET_FIELD_VALID(_prop, FPGA_PROPERTY_NUM_SLOTS); + + // set the object type field + _prop->objtype = FPGA_DEVICE; + + // set the num_slots to a known value + _prop->u.fpga.num_slots = 0xCAFE; + + // now get the num_slots from the prop structure + uint32_t num_slots; + result = fpgaPropertiesGetNumSlots(prop, &num_slots); + + // assert the result was ok + EXPECT_EQ(FPGA_OK, result); + + // assert it is set to what we set it to above + EXPECT_EQ(0xCAFE, num_slots); + + // now delete the properties object + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_num_slots02 + * @brief Tests: fpgaPropertiesGetNumSlots + * @details Given a non-null fpga_properties* object
    + * And its object type is NOT of type FPGA + * When I call fpgaPropertiesGetNumSlots with a pointer to an + integer + * variable
    + * Then the return value is FPGA_INVALID_PARAM
    + * */ +TEST_P(properties_c_p, get_num_slots02) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type field to a different type + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + _prop->objtype = FPGA_ACCELERATOR; + + // now get the num_slots from the prop structure + uint32_t num_slots; + result = fpgaPropertiesGetNumSlots(prop, &num_slots); + EXPECT_EQ(FPGA_INVALID_PARAM, result); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_num_slots03 + * @brief Tests: fpgaPropertiesGetNumSlots + * @details Given a non-null fpga_properties* object
    + * And its type is FPGA_DEVICE + * And it does NOT have the num_slots field set
    + * When I call fpgaPropertiesGetNumSlots with the property object + * and a pointer to bool variable
    + * Then the return value is FPGA_NOT_FOUND
    + * */ +TEST_P(properties_c_p, get_num_slots03) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_TRUE(NULL != prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type and number of slots fields as valid + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + + // make sure the FPGA_PROPERTY_NUM_SLOTS bit is zero + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_NUM_SLOTS) & 1, 0); + + uint32_t num_slots; + result = fpgaPropertiesGetNumSlots(prop, &num_slots); + EXPECT_EQ(FPGA_NOT_FOUND, result); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test set_num_slots01 + * @brief Tests: fpgaPropertiesSetNumSlots + * @details Given a non-null fpga_properties* object
    + * And its object type is of type FPGA
    + * And an integer variable set to a known value
    + * When I call fpgaPropertiesSetNumSlots with the properties object + * and the integer variable
    + * Then the return value is FPGA_OK + * And the num_slots in the properties object is the known value
    + */ +TEST_P(properties_c_p, set_num_slots01) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type and number of slots fields as valid + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + // set the object type field + _prop->objtype = FPGA_DEVICE; + + // make sure the FPGA_PROPERTY_NUM_SLOTS bit is zero + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_NUM_SLOTS) & 1, 0); + + uint32_t num_slots = 0xCAFE; + // Call the API to set the token on the property + result = fpgaPropertiesSetNumSlots(prop, num_slots); + + EXPECT_EQ(result, FPGA_OK); + + // make sure the FPGA_PROPERTY_NUM_SLOTS bit is one + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_NUM_SLOTS) & 1, 1); + + // Assert it is set to what we set it to above + EXPECT_EQ(0xCAFE, _prop->u.fpga.num_slots); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test set_num_slots02 + * @brief Tests: fpgaPropertiesSetNumSlots + * @details Given a non-null fpga_properties* object
    + * And its object type is NOT of type FPGA_DEVICE
    + * When I call fpgaPropertiesSetNumSlots with the properties object + * and a num_slots variable
    + * Then the return value is FPGA_INVALID_PARAM + */ +TEST_P(properties_c_p, set_num_slots02) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type field + _prop->objtype = FPGA_ACCELERATOR; + + // Call the API to set the token on the property + result = fpgaPropertiesSetNumSlots(prop, 0); + + EXPECT_EQ(result, FPGA_INVALID_PARAM); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_num_slots04 + * @brief Tests: fpgaPropertiesGetNumSlots + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesGetNumSlots with the null object
    + * Then the return value is FPGA_INVALID_PARAM
    + * */ +TEST(properties, get_num_slots04) { + fpga_properties prop = NULL; + + uint32_t num_slots; + fpga_result result = fpgaPropertiesGetNumSlots(prop, &num_slots); + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +/** + * @test set_num_slots03 + * @brief Tests: fpgaPropertiesSetNumSlots + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesSetNumSlots with the null object
    + * Then the result is FPGA_INVALID_PARAM
    + */ +TEST(properties, set_num_slots03) { + fpga_properties prop = NULL; + + // Call the API to set the num_slots on the property + fpga_result result = fpgaPropertiesSetNumSlots(prop, 0); + + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +/** fpga.bbs_id field tests **/ +/** + * @test get_bbs_id01 + * @brief Tests: fpgaPropertiesGetBBSID + * @details Given a non-null fpga_properties* object
    + * And its object type is FPGA + * And it has the bbs_id field set to a known value
    + * When I call fpgaPropertiesGetBBSID with a pointer to an integer + * variable
    + * Then the return value is FPGA_OK
    + * And the output value is the known value
    + * */ +TEST_P(properties_c_p, get_bbs_id01) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type and number of slots fields as valid + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + SET_FIELD_VALID(_prop, FPGA_PROPERTY_BBSID); + + // set the object type field + _prop->objtype = FPGA_DEVICE; + + // set the bbs_id to a known value + _prop->u.fpga.bbs_id = 0xCAFE; + + // now get the bbs_id from the prop structure + uint64_t bbs_id; + result = fpgaPropertiesGetBBSID(prop, &bbs_id); + + // assert the result was ok + EXPECT_EQ(FPGA_OK, result); + + // assert it is set to what we set it to above + EXPECT_EQ(0xCAFE, bbs_id); + + // now delete the properties object + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_bbs_id02 + * @brief Tests: fpgaPropertiesGetBBSID + * @details Given a non-null fpga_properties* object
    + * And its object type is NOT of type FPGA + * When I call fpgaPropertiesGetBBSID with a pointer to an integer + * variable
    + * Then the return value is FPGA_INVALID_PARAM
    + * */ +TEST_P(properties_c_p, get_bbs_id02) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type field to a different type + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + _prop->objtype = FPGA_ACCELERATOR; + + // now get the bbs_id from the prop structure + uint64_t bbs_id; + result = fpgaPropertiesGetBBSID(prop, &bbs_id); + EXPECT_EQ(FPGA_INVALID_PARAM, result); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_bbs_id03 + * @brief Tests: fpgaPropertiesGetBBSID + * @details Given a non-null fpga_properties* object
    + * And its type is FPGA_DEVICE + * And it does NOT have the bbs_id field set
    + * When I call fpgaPropertiesGetBBSID with the property object + * and a pointer to bool variable
    + * Then the return value is FPGA_NOT_FOUND
    + * */ +TEST_P(properties_c_p, get_bbs_id03) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_TRUE(NULL != prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type and number of slots fields as valid + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + + // make sure the FPGA_PROPERTY_BBSID bit is zero + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_BBSID) & 1, 0); + + uint64_t bbs_id; + result = fpgaPropertiesGetBBSID(prop, &bbs_id); + EXPECT_EQ(FPGA_NOT_FOUND, result); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test set_bbs_id01 + * @brief Tests: fpgaPropertiesSetBBSID + * @details Given a non-null fpga_properties* object
    + * And its object type is of type FPGA
    + * And an integer variable set to a known value
    + * When I call fpgaPropertiesSetBBSID with the properties object + * and the integer variable
    + * Then the return value is FPGA_OK + * And the bbs_id in the properties object is the known value
    + */ +TEST_P(properties_c_p, set_bbs_id01) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type and number of slots fields as valid + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + // set the object type field + _prop->objtype = FPGA_DEVICE; + + // make sure the FPGA_PROPERTY_BBSID bit is zero + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_BBSID) & 1, 0); + + uint64_t bbs_id = 0xCAFE; + // Call the API to set the token on the property + result = fpgaPropertiesSetBBSID(prop, bbs_id); + EXPECT_EQ(result, FPGA_OK); + +#ifndef BUILD_ASE + // make sure the FPGA_PROPERTY_BBSID bit is one + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_BBSID) & 1, 1); + + // Assert it is set to what we set it to above + EXPECT_EQ(0xCAFE, _prop->u.fpga.bbs_id); +#endif + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test set_bbs_id02 + * @brief Tests: fpgaPropertiesSetBBSID + * @details Given a non-null fpga_properties* object
    + * And its object type is NOT of type FPGA_DEVICE
    + * When I call fpgaPropertiesSetBBSID with the properties object + * and a bbs_id variable
    + * Then the return value is FPGA_INVALID_PARAM
    + */ +TEST_P(properties_c_p, set_bbs_id02) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type field + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + _prop->objtype = FPGA_ACCELERATOR; + + // Call the API to set the token on the property + result = fpgaPropertiesSetBBSID(prop, 0); + + EXPECT_EQ(result, FPGA_INVALID_PARAM); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_bbs_id04 + * @brief Tests: fpgaPropertiesGetBBSID + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesGetBBSID with the null object
    + * Then the return value is FPGA_INVALID_PARAM
    + * */ +TEST(properties, get_bbs_id04) { + fpga_properties prop = NULL; + + uint64_t bbs_id; + fpga_result result = fpgaPropertiesGetBBSID(prop, &bbs_id); + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +/** + * @test set_bbs_id03 + * @brief Tests: fpgaPropertiesSetBBSID + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesSetBBSID with the null object
    + * Then the result is FPGA_INVALID_PARAM
    + */ +TEST(properties, set_bbs_id03) { + fpga_properties prop = NULL; + + // Call the API to set the bbs_id on the property + fpga_result result = fpgaPropertiesSetBBSID(prop, 0); + + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +/** fpga.bbs_version field tests **/ +/** + * @test get_bbs_version01 + * @brief Tests: fpgaPropertiesGetBBSVersion + * @details Given a non-null fpga_properties* object
    + * And its object type is FPGA + * And it has the bbs_version field set to a known value
    + * When I call fpgaPropertiesGetBBSVersion with a pointer to an + * fpga_version variable
    + * Then the return value is FPGA_OK
    + * And the output value is the known value
    + * */ +TEST_P(properties_c_p, get_bbs_version01) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type and number of slots fields as valid + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + SET_FIELD_VALID(_prop, FPGA_PROPERTY_BBSVERSION); + + // set the object type field + _prop->objtype = FPGA_DEVICE; + + // set the bbs_version to a known value + fpga_version v = {1, 2, 3}; + _prop->u.fpga.bbs_version = v; + + // now get the bbs_version from the prop structure + fpga_version bbs_version; + result = fpgaPropertiesGetBBSVersion(prop, &bbs_version); + + // assert the result was ok + EXPECT_EQ(FPGA_OK, result); + +// assert it is set to what we set it to above +#ifndef BUILD_ASE + EXPECT_EQ(0, memcmp(&v, &bbs_version, sizeof(fpga_version))); +#endif + + // now delete the properties object + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_bbs_version02 + * @brief Tests: fpgaPropertiesGetBBSVersion + * @details Given a non-null fpga_properties* object
    + * And its object type is NOT of type FPGA + * When I call fpgaPropertiesGetBBSVersion with a pointer to an + * fpga_version variable
    + * Then the return value is FPGA_INVALID_PARAM
    + * */ +TEST_P(properties_c_p, get_bbs_version02) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type field to a different type + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + _prop->objtype = FPGA_ACCELERATOR; + + // now get the bbs_version from the prop structure + fpga_version bbs_version; + result = fpgaPropertiesGetBBSVersion(prop, &bbs_version); + EXPECT_EQ(FPGA_INVALID_PARAM, result); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_bbs_version03 + * @brief Tests: fpgaPropertiesGetBBSVersion + * @details Given a non-null fpga_properties* object
    + * And its type is FPGA_DEVICE + * And it does NOT have the bbs_version field set
    + * When I call fpgaPropertiesGetBBSVersion with the property object + * and a pointer to an fpga_version variable
    + * Then the return value is FPGA_NOT_FOUND
    + * */ +TEST_P(properties_c_p, get_bbs_version03) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_TRUE(NULL != prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type and number of slots fields as valid + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + + // make sure the FPGA_PROPERTY_BBSVERSION bit is zero + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_BBSVERSION) & 1, 0); + + fpga_version bbs_version; + result = fpgaPropertiesGetBBSVersion(prop, &bbs_version); + EXPECT_EQ(FPGA_NOT_FOUND, result); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test set_bbs_version01 + * @brief Tests: fpgaPropertiesSetBBSVersion + * @details Given a non-null fpga_properties* object
    + * And its object type is of type FPGA
    + * And an fpga_version variable set to a known value
    + * When I call fpgaPropertiesSetBBSVersion with the properties + object + * and the fpga_version variable
    + * Then the return value is FPGA_OK + * And the bbs_version in the properties object is the known + value
    + */ +TEST_P(properties_c_p, set_bbs_version01) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type and number of slots fields as valid + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + // set the object type field + _prop->objtype = FPGA_DEVICE; + + fpga_version bbs_version = {1, 2, 3}; + + // make sure the FPGA_PROPERTY_BBSVERSION bit is zero + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_BBSVERSION) & 1, 0); + + // Call the API to set the bbs version on the property + result = fpgaPropertiesSetBBSVersion(prop, bbs_version); + EXPECT_EQ(result, FPGA_OK); + + // make sure the FPGA_PROPERTY_BBSVERSION bit is one + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_BBSVERSION) & 1, 1); + + // Assert it is set to what we set it to above + EXPECT_EQ(0, memcmp(&bbs_version, &(_prop->u.fpga.bbs_version), + sizeof(fpga_version))); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test set_bbs_version02 + * @brief Tests: fpgaPropertiesSetBBSVersion + * @details Given a non-null fpga_properties* object
    + * And its object type is NOT of type FPGA_DEVICE
    + * When I call fpgaPropertiesSetBBSVersion with the properties + object + * and a bbs_version variable
    + * Then the return value is FPGA_INVALID_PARAM + */ +TEST_P(properties_c_p, set_bbs_version02) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type field + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + _prop->objtype = FPGA_ACCELERATOR; + + // Call the API to set the token on the property + fpga_version v = {0, 0, 0}; + result = fpgaPropertiesSetBBSVersion(prop, v); + + EXPECT_EQ(result, FPGA_INVALID_PARAM); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_bbs_version04 + * @brief Tests: fpgaPropertiesGetBBSVersion + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesGetBBSVersion with the null object
    + * Then the return value is FPGA_INVALID_PARAM
    + * */ +TEST(properties, get_bbs_version04) { + fpga_properties prop = NULL; + + fpga_version bbs_version; + fpga_result result = fpgaPropertiesGetBBSVersion(prop, &bbs_version); + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +/** + * @test set_bbs_version03 + * @brief Tests: fpgaPropertiesSetBBSVersion + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesSetBBSVersion with the null object
    + * Then the result is FPGA_INVALID_PARAM
    + */ +TEST(properties, set_bbs_version03) { + fpga_properties prop = NULL; + + // Call the API to set the bbs_version on the property + fpga_version v = {0, 0, 0}; + fpga_result result = fpgaPropertiesSetBBSVersion(prop, v); + + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +/** + * @test fpga_clone_properties01 + * @brief Tests: fpgaClonePoperties + * @details Given a fpga_properties object cloned with + fpgaCloneProperties
    + * When I call fpgaDestroyProperties with the cloned object
    + * Then the result is FPGA_OK
    + * And the properties object is destroyed appropriately
    + */ +TEST_P(properties_c_p, fpga_clone_properties01) { + fpga_properties prop = NULL; + fpga_properties clone = NULL; + uint8_t s1 = 0xEF, s2 = 0; + ASSERT_EQ(FPGA_OK, fpgaGetProperties(NULL, &prop)); + EXPECT_EQ(FPGA_OK, fpgaPropertiesSetSocketID(prop, s1)); + ASSERT_EQ(FPGA_OK, fpgaCloneProperties(prop, &clone)); + EXPECT_EQ(FPGA_OK, fpgaPropertiesGetSocketID(clone, &s2)); + EXPECT_EQ(s1, s2); + ASSERT_EQ(FPGA_OK, fpgaDestroyProperties(&clone)); + ASSERT_EQ(FPGA_OK, fpgaDestroyProperties(&prop)); + ASSERT_EQ(FPGA_INVALID_PARAM, fpgaCloneProperties(NULL, &clone)); +} + +/** + * @test set_model01 + * @brief Tests: fpgaPropertiesSetModel + * @details fpgaPropertiesSetModel is not currently supported. + * + */ +TEST(properties, set_model01) { + EXPECT_EQ(FPGA_NOT_SUPPORTED, fpgaPropertiesSetModel(NULL, 0)); +} + +/** + * @test get_model01 + * @brief Tests: fpgaPropertiesGetModel + * @details fpgaPropertiesGetModel is not currently supported. + * + */ +TEST(properties, get_model01) { + EXPECT_EQ(FPGA_NOT_SUPPORTED, fpgaPropertiesGetModel(NULL, NULL)); +} + +/** + * @test get_lms01 + * @brief Tests: fpgaPropertiesGetLocalMemorySize + * @details fpgaPropertiesGetLocalMemorySize is not currently supported. + * + */ +TEST(properties, get_lms01) { + EXPECT_EQ(FPGA_NOT_SUPPORTED, fpgaPropertiesGetLocalMemorySize(NULL, NULL)); +} + +/** + * @test set_lms01 + * @brief Tests: fpgaPropertiesSetLocalMemorySize + * @details fpgaPropertiesSetLocalMemorySize is not currently supported. + * + */ +TEST(properties, set_lms01) { + EXPECT_EQ(FPGA_NOT_SUPPORTED, fpgaPropertiesSetLocalMemorySize(NULL, 0)); +} + +/** + * @test set_capabilities01 + * @brief Tests: fpgaPropertiesSetCapabilities + * @details fpgaPropertiesSetCapabilities is not currently supported. + * + */ +TEST(properties, set_capabilities01) { + EXPECT_EQ(FPGA_NOT_SUPPORTED, fpgaPropertiesSetCapabilities(NULL, 0)); +} + +/** + * @test get_capabilities01 + * @brief Tests: fpgaPropertiesGetCapabilities + * @details fpgaPropertiesGetCapabilities is not currently supported. + * + */ +TEST(properties, get_capabilities01) { + EXPECT_EQ(FPGA_NOT_SUPPORTED, fpgaPropertiesGetCapabilities(NULL, NULL)); +} + +/** (afu | accelerator).guid field tests **/ +/** + * @test get_guid01 + * @brief Tests: fpgaPropertiesGetGUID + * @details Given a non-null fpga_properties* object
    + * And its object type is FPGA_ACCELERATOR + * And it has the guid field set to a known value
    + * When I call fpgaPropertiesGetGUID with a pointer to an + * guid variable
    + * Then the return value is FPGA_OK
    + * And the output value is the known value
    + * */ +TEST_P(properties_c_p, get_guid01) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type and number of slots fields as valid + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + SET_FIELD_VALID(_prop, FPGA_PROPERTY_GUID); + + // set the object type field + _prop->objtype = FPGA_ACCELERATOR; + std::copy(&known_guid[0], &known_guid[16], _prop->guid); + + // now get the guid from the prop structure + fpga_guid guid; + result = fpgaPropertiesGetGUID(prop, &guid); + + // assert the result was ok + EXPECT_EQ(FPGA_OK, result); + + // assert it is set to what we set it to above + EXPECT_EQ(0, memcmp(guid, known_guid, 16)); + + // now delete the properties object + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_guid02 + * @brief Tests: fpgaPropertiesGetGUID + * @details Given a non-null fpga_properties* object
    + * And its object type is FPGA_ACCELERATOR + * And it has the guid field set to a known value
    + * When I call fpgaPropertiesGetGUID with a pointer to an + * guid variable
    + * Then the return value is FPGA_OK
    + * And the output value is the known value
    + * */ +TEST_P(properties_c_p, get_guid02) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type and number of slots fields as valid + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + SET_FIELD_VALID(_prop, FPGA_PROPERTY_GUID); + + // set the object type field + _prop->objtype = FPGA_ACCELERATOR; + + // set the guid to a known value + std::copy(&known_guid[0], &known_guid[16], _prop->guid); + + // now get the guid from the prop structure + fpga_guid guid = {0}; + result = fpgaPropertiesGetGUID(prop, &guid); + + // assert the result was ok + EXPECT_EQ(FPGA_OK, result); + + // assert it is set to what we set it to above + EXPECT_EQ(0, memcmp(guid, known_guid, 16)); + + // now delete the properties object + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_guid03 + * @brief Tests: fpgaPropertiesGetGUID + * @details Given a non-null fpga_properties* object
    + * And its type is FPGA_DEVICE
    + * And it does NOT have the guid field set
    + * When I call fpgaPropertiesGetGUID with the property + * object and a pointer to an integer variable
    + * Then the return value is FPGA_NOT_FOUND
    + * */ +TEST_P(properties_c_p, get_guid03) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_TRUE(NULL != prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type to FPGA_DEVICE + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + _prop->objtype = FPGA_DEVICE; + + // make sure the FPGA_PROPERTY_GUID bit is zero + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_GUID) & 1, 0); + + fpga_guid guid; + result = fpgaPropertiesGetGUID(prop, &guid); + EXPECT_EQ(FPGA_NOT_FOUND, result); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_guid04 + * @brief Tests: fpgaPropertiesGetGUID + * @details Given a non-null fpga_properties* object
    + * And its type is FPGA_ACCELERATOR
    + * And it does NOT have the guid field set
    + * When I call fpgaPropertiesGetGUID with the property + * object and a pointer to an integer variable
    + * Then the return value is FPGA_NOT_FOUND
    + * */ +TEST_P(properties_c_p, get_guid04) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_TRUE(NULL != prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type to FPGA_ACCELERATOR + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + _prop->objtype = FPGA_ACCELERATOR; + + // make sure the FPGA_PROPERTY_GUID bit is zero + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_GUID) & 1, 0); + + fpga_guid guid; + result = fpgaPropertiesGetGUID(prop, &guid); + EXPECT_EQ(FPGA_NOT_FOUND, result); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_guid05 + * @brief Tests: fpgaPropertiesGetGUID + * @details Given a non-null fpga_properties* object
    + * And its type is FPGA_ACCELERATOR
    + * And it does NOT have the guid field set
    + * When I call fpgaPropertiesGetGUID with the property + * object and a pointer to an integer variable
    + * Then the return value is FPGA_NOT_FOUND
    + * */ +TEST_P(properties_c_p, get_guid05) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_TRUE(NULL != prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type to FPGA_ACCELERATOR + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + _prop->objtype = FPGA_ACCELERATOR; + + // make sure the FPGA_PROPERTY_GUID bit is zero + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_GUID) & 1, 0); + + fpga_guid guid; + result = fpgaPropertiesGetGUID(prop, &guid); + EXPECT_EQ(FPGA_NOT_FOUND, result); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test set_guid01 + * @brief Tests: fpgaPropertiesSetGUID + * @details Given a non-null fpga_properties* object
    + * And its object type is of type FPGA_ACCELERATOR
    + * And an integer variable set to a known value
    + * When I call fpgaPropertiesSetGUID with the properties + * object and the integer variable
    + * Then the return value is FPGA_OK + * And the guid in the properties object is the known + * value
    + */ +TEST_P(properties_c_p, set_guid01) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type and number of slots fields as valid + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + // set the object type field + _prop->objtype = FPGA_ACCELERATOR; + + // make sure the FPGA_PROPERTY_GUID bit is zero + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_GUID) & 1, 0); + fpga_guid guid; + std::copy(&known_guid[0], &known_guid[16], guid); + // Call the API to set the token on the property + result = fpgaPropertiesSetGUID(prop, guid); + EXPECT_EQ(result, FPGA_OK); + + // make sure the FPGA_PROPERTY_GUID bit is one + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_GUID) & 1, 1); + + // Assert it is set to what we set it to above + EXPECT_EQ(0, memcmp(guid, _prop->guid, 16)); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test set_guid02 + * @brief Tests: fpgaPropertiesSetGUID + * @details Given a non-null fpga_properties* object
    + * And its object type is of type FPGA_ACCELERATOR
    + * And an integer variable set to a known value
    + * When I call fpgaPropertiesSetGUID with the properties + * object and the integer variable
    + * Then the return value is FPGA_OK + * And the guid in the properties object is the known + * value
    + */ +TEST_P(properties_c_p, set_guid02) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type and number of slots fields as valid + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + // set the object type field + _prop->objtype = FPGA_ACCELERATOR; + + // make sure the FPGA_PROPERTY_GUID bit is zero + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_GUID) & 1, 0); + + fpga_guid guid; + std::copy(&known_guid[0], &known_guid[16], guid); + // Call the API to set the token on the property + result = fpgaPropertiesSetGUID(prop, guid); + EXPECT_EQ(result, FPGA_OK); + + // make sure the FPGA_PROPERTY_GUID bit is one + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_GUID) & 1, 1); + + // Assert it is set to what we set it to above + EXPECT_EQ(0, memcmp(guid, _prop->guid, 16)); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test set_guid03 + * @brief Tests: fpgaPropertiesSetGUID + * @details Given a non-null fpga_properties* object
    + * And its object type is of type FPGA_DEVICE
    + * And an integer variable set to a known value
    + * When I call fpgaPropertiesSetGUID with the properties + * object and the integer variable
    + * Then the return value is FPGA_OK + * And the guid in the properties object is the known + * value
    + */ +TEST_P(properties_c_p, set_guid03) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type and number of slots fields as valid + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + // set the object type field + _prop->objtype = FPGA_DEVICE; + + // make sure the FPGA_PROPERTY_GUID bit is zero + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_GUID) & 1, 0); + fpga_guid guid; + std::copy(&known_guid[0], &known_guid[16], guid); + // Call the API to set the token on the property + result = fpgaPropertiesSetGUID(prop, guid); + EXPECT_EQ(result, FPGA_OK); + + // make sure the FPGA_PROPERTY_GUID bit is one + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_GUID) & 1, 1); + + // Assert it is set to what we set it to above + EXPECT_EQ(0, memcmp(guid, _prop->guid, 16)); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_guid06 + * @brief Tests: fpgaPropertiesGetGUID + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesGetGUID with the null object
    + * Then the return value is FPGA_INVALID_PARAM
    + * */ +TEST(properties, get_guid06) { + fpga_properties prop = NULL; + + fpga_guid guid; + fpga_result result = fpgaPropertiesGetGUID(prop, &guid); + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +/** + * @test get_guid07 + * @brief Tests: fpgaPropertiesGetGUID + * @details Given a non-null fpga_properties* object
    + * When I call fpgaPropertiesGetGUID with a null guid parameter
    + * Then the return value is FPGA_INVALID_PARAM
    + * */ +TEST_P(properties_c_p, get_guid07) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + result = fpgaPropertiesGetGUID(prop, NULL); + EXPECT_EQ(FPGA_INVALID_PARAM, result); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(FPGA_OK, result); + ASSERT_EQ(NULL, prop); +} + +/** + * @test set_guid04 + * @brief Tests: fpgaPropertiesSetGUID + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesSetGUID with the null object
    + * Then the result is FPGA_INVALID_PARAM
    + */ +TEST(properties, set_guid04) { + fpga_properties prop = NULL; + fpga_guid guid; + // Call the API to set the guid on the property + fpga_result result = fpgaPropertiesSetGUID(prop, guid); + + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +/** accelerator.mmio_spaces field tests **/ +/** + * @test get_num_mmio01 + * @brief Tests: fpgaPropertiesGetNumMMIO + * @details Given a non-null fpga_properties* object
    + * And its object type is FPGA_ACCELERATOR + * And it has the mmio_spaces field set to a known value
    + * When I call fpgaPropertiesGetNumMMIO with a pointer to an + * integer variable
    + * Then the return value is FPGA_OK
    + * And the output value is the known value
    + * */ +TEST_P(properties_c_p, get_num_mmio01) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type and number of slots fields as valid + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + SET_FIELD_VALID(_prop, FPGA_PROPERTY_NUM_MMIO); + + // set the object type field + _prop->objtype = FPGA_ACCELERATOR; + // set the slot mmio_spaces to a known value + _prop->u.accelerator.num_mmio = 0xAE; + + // now get the mmio_spaces from the prop structure + uint32_t mmio_spaces; + result = fpgaPropertiesGetNumMMIO(prop, &mmio_spaces); + + // assert the result was ok + EXPECT_EQ(FPGA_OK, result); + + // assert it is set to what we set it to above + EXPECT_EQ(0xAE, mmio_spaces); + + // now delete the properties object + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_num_mmio02 + * @brief Tests: fpgaPropertiesGetNumMMIO + * @details Given a non-null fpga_properties* object
    + * And its object type is NOT of type FPGA_ACCELERATOR
    + * When I call fpgaPropertiesGetNumMMIO with a pointer to an + * integer variable
    + * Then the return value is FPGA_INVALID_PARAM
    + * */ +TEST_P(properties_c_p, get_num_mmio02) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type field to a different type + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + _prop->objtype = FPGA_DEVICE; + + // now get the mmio_spaces from the prop structure + uint32_t mmio_spaces; + result = fpgaPropertiesGetNumMMIO(prop, &mmio_spaces); + EXPECT_EQ(FPGA_INVALID_PARAM, result); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_num_mmio03 + * @brief Tests: fpgaPropertiesGetNumMMIO + * @details Given a non-null fpga_properties* object
    + * And its type is FPGA_ACCELERATOR
    + * And it does NOT have the mmio_spaces field set
    + * When I call fpgaPropertiesGetNumMMIO with the property + * object and a pointer to an integer variable
    + * Then the return value is FPGA_NOT_FOUND
    + * */ +TEST_P(properties_c_p, get_num_mmio03) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_TRUE(NULL != prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type to FPGA_AFU + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + _prop->objtype = FPGA_ACCELERATOR; + + // make sure the FPGA_PROPERTY_NUM_MMIO bit is zero + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_NUM_MMIO) & 1, 0); + + uint32_t mmio_spaces; + result = fpgaPropertiesGetNumMMIO(prop, &mmio_spaces); + EXPECT_EQ(FPGA_NOT_FOUND, result); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test set_num_mmio01 + * @brief Tests: fpgaPropertiesSetNumMMIO + * @details Given a non-null fpga_properties* object
    + * And its object type is of type FPGA_ACCELERATOR
    + * When I call fpgaPropertiesSetNumMMIO with the properties + * object and a known value for mmio_spaces parameter
    + * Then the return value is FPGA_OK
    + * And the mmio_spaces in the properties object is the known + * value
    + */ +TEST_P(properties_c_p, set_num_mmio01) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type and number of slots fields as valid + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + // set the object type field + _prop->objtype = FPGA_ACCELERATOR; + + // make sure the FPGA_PROPERTY_NUM_MMIO bit is zero + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_NUM_MMIO) & 1, 0); + + // Call the API to set the number of afus + result = fpgaPropertiesSetNumMMIO(prop, 0xAE); + EXPECT_EQ(result, FPGA_OK); + + // make sure the FPGA_PROPERTY_NUM_MMIO bit is one + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_NUM_MMIO) & 1, 1); + + // Assert it is set to what we set it to above + EXPECT_EQ(0xAE, _prop->u.accelerator.num_mmio); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test set_num_mmio02 + * @brief Tests: fpgaPropertiesSetNumMMIO + * @details Given a non-null fpga_properties* object
    + * And its object type is NOT of type FPGA_ACCELERATOR
    + * When I call fpgaPropertiesSetNumMMIO with the properties + * object
    + * Then the return value is FPGA_INVALID_PARAM + */ +TEST_P(properties_c_p, set_num_mmio02) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type field + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + _prop->objtype = FPGA_DEVICE; + + // Call the API to set the slot mmio_spaces + result = fpgaPropertiesSetNumMMIO(prop, 0); + + EXPECT_EQ(result, FPGA_INVALID_PARAM); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_num_mmio04 + * @brief Tests: fpgaPropertiesGetNumMMIO + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesGetNumMMIO with the null object
    + * Then the return value is FPGA_INVALID_PARAM
    + * */ +TEST(properties, get_num_mmio04) { + fpga_properties prop = NULL; + + uint32_t mmio_spaces; + fpga_result result = fpgaPropertiesGetNumMMIO(prop, &mmio_spaces); + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +/** + * @test set_num_mmio03 + * @brief Tests: fpgaPropertiesSetNumMMIO + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesSetNumMMIO with the null object
    + * Then the result is FPGA_INVALID_PARAM
    + */ +TEST(properties, set_num_mmio03) { + fpga_properties prop = NULL; + // Call the API to set the mmio_spaces on the property + fpga_result result = fpgaPropertiesSetNumMMIO(prop, 0); + + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +/** accelerator.state field tests **/ +/** + * @test get_accelerator_state01 + * @brief Tests: fpgaPropertiesGetAcceleratorState + * @details Given a non-null fpga_properties* object
    + * And its object type is FPGA_ACCELERATOR + * And it has the state field set to a known value
    + * When I call fpgaPropertiesGetAcceleratorState with a pointer to + an + * fpga_accelerator_state variable
    + * Then the return value is FPGA_OK
    + * And the output value is the known value
    + * */ +TEST_P(properties_c_p, get_accelerator_state01) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type and number of accelerators fields as valid + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + SET_FIELD_VALID(_prop, FPGA_PROPERTY_ACCELERATOR_STATE); + + // set the object type field + _prop->objtype = FPGA_ACCELERATOR; + // set the accelerator state to a known value + _prop->u.accelerator.state = FPGA_ACCELERATOR_UNASSIGNED; + + // now get the state from the prop structure + fpga_accelerator_state state; + result = fpgaPropertiesGetAcceleratorState(prop, &state); + + // assert the result was ok + EXPECT_EQ(FPGA_OK, result); + + // assert it is set to what we set it to above + EXPECT_EQ(FPGA_ACCELERATOR_UNASSIGNED, state); + + // now delete the properties object + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_accelerator_state02 + * @brief Tests: fpgaPropertiesGetAcceleratorState + * @details Given a non-null fpga_properties* object
    + * And its object type is NOT of type FPGA_ACCELERATOR
    + * When I call fpgaPropertiesGetAcceleratorState with a pointer to + an + * fpga_accelerator_state variable
    + * Then the return value is FPGA_INVALID_PARAM
    + * */ +TEST_P(properties_c_p, get_accelerator_state02) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type field to a different type + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + _prop->objtype = FPGA_DEVICE; + + // now get the state from the prop structure + fpga_accelerator_state state; + result = fpgaPropertiesGetAcceleratorState(prop, &state); + EXPECT_EQ(FPGA_INVALID_PARAM, result); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_accelerator_state03 + * @brief Tests: fpgaPropertiesGetAcceleratorState + * @details Given a non-null fpga_properties* object
    + * And its type is FPGA_ACCELERATOR
    + * And it does NOT have the state field set
    + * When I call fpgaPropertiesGetAcceleratorState with the property + * object and a pointer to an integer variable
    + * Then the return value is FPGA_NOT_FOUND
    + * */ +TEST_P(properties_c_p, get_accelerator_state03) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_TRUE(NULL != prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type to FPGA_DEVICE + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + _prop->objtype = FPGA_ACCELERATOR; + + // make sure the FPGA_PROPERTY_ACCELERATOR_STATE bit is zero + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_ACCELERATOR_STATE) & 1, 0); + + fpga_accelerator_state state; + result = fpgaPropertiesGetAcceleratorState(prop, &state); + EXPECT_EQ(FPGA_NOT_FOUND, result); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test set_accelerator_state01 + * @brief Tests: fpgaPropertiesSetAcceleratorState + * @details Given a non-null fpga_properties* object
    + * And its object type is of type FPGA_ACCELERATOR
    + * When I call fpgaPropertiesSetAcceleratorState with the properties + * object and a known accelerator state variable
    + * Then the return value is FPGA_OK + * And the state in the properties object is the known + * value
    + */ +TEST_P(properties_c_p, set_accelerator_state01) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type and number of accelerators fields as valid + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + // set the object type field + _prop->objtype = FPGA_ACCELERATOR; + + // make sure the FPGA_PROPERTY_ACCELERATOR_STATE bit is zero + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_ACCELERATOR_STATE) & 1, 0); + + // Call the API to set the token on the property + result = fpgaPropertiesSetAcceleratorState(prop, FPGA_ACCELERATOR_UNASSIGNED); + EXPECT_EQ(result, FPGA_OK); + + // make sure the FPGA_PROPERTY_ACCELERATOR_STATE bit is one + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_ACCELERATOR_STATE) & 1, 1); + + // Assert it is set to what we set it to above + EXPECT_EQ(FPGA_ACCELERATOR_UNASSIGNED, _prop->u.accelerator.state); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test set_accelerator_state02 + * @brief Tests: fpgaPropertiesSetAcceleratorState + * @details Given a non-null fpga_properties* object
    + * And its object type is NOT of type FPGA_ACCELERATOR
    + * When I call fpgaPropertiesSetAcceleratorState with the properties + * object and a state variable
    + * Then the return value is FPGA_INVALID_PARAM + */ +TEST_P(properties_c_p, set_accelerator_state02) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type field + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + _prop->objtype = FPGA_DEVICE; + + // Call the API to set the accelerator state + fpga_accelerator_state state = FPGA_ACCELERATOR_ASSIGNED; + result = fpgaPropertiesSetAcceleratorState(prop, state); + + EXPECT_EQ(result, FPGA_INVALID_PARAM); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_accelerator_state04 + * @brief Tests: fpgaPropertiesGetAcceleratorState + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesGetAcceleratorState with the null + * object
    + * Then the return value is FPGA_INVALID_PARAM
    + * */ +TEST(properties, get_accelerator_state04) { + fpga_properties prop = NULL; + + fpga_accelerator_state state; + fpga_result result = fpgaPropertiesGetAcceleratorState(prop, &state); + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +/** + * @test get_accelerator_state05 + * @brief Tests: fpgaPropertiesGetAcceleratorState + * @details Given a non-null fpga_properties* object
    + * When I call fpgaPropertiesGetAcceleratorState with a null state + * pointer
    + * Then the return value is FPGA_INVALID_PARAM
    + * */ +TEST_P(properties_c_p, get_accelerator_state05) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + result = fpgaPropertiesGetAcceleratorState(prop, NULL); + EXPECT_EQ(FPGA_INVALID_PARAM, result); + EXPECT_EQ(fpgaDestroyProperties(&prop), FPGA_OK); +} + +/** + * @test set_accelerator_state03 + * @brief Tests: fpgaPropertiesSetAcceleratorState + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesSetAcceleratorState with the null + * object
    + * Then the result is FPGA_INVALID_PARAM
    + */ +TEST(properties, set_accelerator_state03) { + fpga_properties prop = NULL; + // Call the API to set the state on the property + fpga_result result = + fpgaPropertiesSetAcceleratorState(prop, FPGA_ACCELERATOR_UNASSIGNED); + + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +/** accelerator.num_interrupts field tests **/ +/** + * @test get_num_interrupts01 + * @brief Tests: fpgaPropertiesGetNumInterrupts + * @details Given a non-null fpga_properties* object
    + * And its object type is FPGA_ACCELERATOR
    + * And it has the num_interrupts field set to a known value
    + * When I call fpgaPropertiesGetNumInterrupts with a pointer to an + * integer variable
    + * Then the return value is FPGA_OK
    + * And the output value is the known value
    + * */ +TEST_P(properties_c_p, get_num_interrupts01) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type and number of slots fields as valid + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + SET_FIELD_VALID(_prop, FPGA_PROPERTY_NUM_INTERRUPTS); + + // set the object type field + _prop->objtype = FPGA_ACCELERATOR; + // set the slot num_interrupts to a known value + _prop->u.accelerator.num_interrupts = 0xAE; + + // now get the num_interrupts from the prop structure + uint32_t num_interrupts; + result = fpgaPropertiesGetNumInterrupts(prop, &num_interrupts); + + // assert the result was ok + EXPECT_EQ(FPGA_OK, result); + + // assert it is set to what we set it to above + EXPECT_EQ(0xAE, num_interrupts); + + // now delete the properties object + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_num_interrupts02 + * @brief Tests: fpgaPropertiesGetNumInterrupts + * @details Given a non-null fpga_properties* object
    + * And its object type is NOT of type FPGA_ACCELERATOR
    + * When I call fpgaPropertiesGetNumInterrupts with a pointer to an + * integer variable
    + * Then the return value is FPGA_INVALID_PARAM
    + * */ +TEST_P(properties_c_p, get_num_interrupts02) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type field to a different type + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + _prop->objtype = FPGA_DEVICE; + + // now get the num_interrupts from the prop structure + uint32_t num_interrupts; + result = fpgaPropertiesGetNumInterrupts(prop, &num_interrupts); + EXPECT_EQ(FPGA_INVALID_PARAM, result); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_num_interrupts03 + * @brief Tests: fpgaPropertiesGetNumInterrupts + * @details Given a non-null fpga_properties* object
    + * And its type is FPGA_ACCELERATOR
    + * And it does NOT have the num_interrupts field set
    + * When I call fpgaPropertiesGetNumInterrupts with the property + * object and a pointer to an integer variable
    + * Then the return value is FPGA_NOT_FOUND
    + * */ +TEST_P(properties_c_p, get_num_interrupts03) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_TRUE(NULL != prop); + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + // set the object type to FPGA_AFU + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + _prop->objtype = FPGA_ACCELERATOR; + + // make sure the FPGA_PROPERTY_NUM_INTERRUPTS bit is zero + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_NUM_INTERRUPTS) & 1, 0); + + uint32_t num_interrupts; + result = fpgaPropertiesGetNumInterrupts(prop, &num_interrupts); + EXPECT_EQ(FPGA_NOT_FOUND, result); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test set_num_interrupts01 + * @brief Tests: fpgaPropertiesSetNumInterrupts + * @details Given a non-null fpga_properties* object
    + * And its object type is of type FPGA_ACCELERATOR
    + * When I call fpgaPropertiesSetNumInterrupts with the properties + * object and a known value for num_interrupts parameter
    + * Then the return value is FPGA_OK
    + * And the num_interrupts in the properties object is the known + * value
    + */ +TEST_P(properties_c_p, set_num_interrupts01) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type and number of slots fields as valid + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + // set the object type field + _prop->objtype = FPGA_ACCELERATOR; + + // make sure the FPGA_PROPERTY_NUM_INTERRUPTS bit is zero + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_NUM_INTERRUPTS) & 1, 0); + + // Call the API to set the number of afus + result = fpgaPropertiesSetNumInterrupts(prop, 0xAE); + EXPECT_EQ(result, FPGA_OK); + + // make sure the FPGA_PROPERTY_NUM_INTERRUPTS bit is one + EXPECT_EQ((_prop->valid_fields >> FPGA_PROPERTY_NUM_INTERRUPTS) & 1, 1); + + // Assert it is set to what we set it to above + EXPECT_EQ(0xAE, _prop->u.accelerator.num_interrupts); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test set_num_interrupts02 + * @brief Tests: fpgaPropertiesSetNumInterrupts + * @details Given a non-null fpga_properties* object
    + * And its object type is NOT of type FPGA_ACCELERATOR
    + * When I call fpgaPropertiesSetNumInterrupts with the properties + * object
    + * Then the return value is FPGA_INVALID_PARAM + */ +TEST_P(properties_c_p, set_num_interrupts02) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type field + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + _prop->objtype = FPGA_DEVICE; + + // Call the API to set the slot num_interrupts + result = fpgaPropertiesSetNumInterrupts(prop, 0); + + EXPECT_EQ(result, FPGA_INVALID_PARAM); + + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_num_interrupts04 + * @brief Tests: fpgaPropertiesGetNumInterrupts + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesGetNumInterrupts with the null + object
    + * Then the return value is FPGA_INVALID_PARAM
    + * */ +TEST(properties, get_num_interrupts04) { + fpga_properties prop = NULL; + + uint32_t num_interrupts; + fpga_result result = fpgaPropertiesGetNumInterrupts(prop, &num_interrupts); + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +/** + * @test set_num_interrupts03 + * @brief Tests: fpgaPropertiesSetNumInterrupts + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesSetNumInterrupts with the null + object
    + * Then the result is FPGA_INVALID_PARAM
    + */ +TEST(properties, set_num_interrupts03) { + fpga_properties prop = NULL; + // Call the API to set the num_interrupts on the property + fpga_result result = fpgaPropertiesSetNumInterrupts(prop, 0); + + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +/** + * @test prop_213 + * @brief Tests: fpgaGetProperties + * @details When creating a properties object
    + * Then the internal magic should be set to FPGA_PROPERTY_MAGIC
    + */ +TEST_P(properties_c_p, prop_213) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + EXPECT_EQ(FPGA_OK, result); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + EXPECT_EQ(FPGA_PROPERTY_MAGIC, _prop->magic); + EXPECT_EQ(fpgaDestroyProperties(&prop), FPGA_OK); +} + +/** + * @test prop_214 + * @brief Tests: fpgaGetProperties + * @details When creating a properties object with a null properties + * argument
    + * Then the result is FPGA_INVALID_PARAM
    + */ +TEST(properties, prop_214) { + fpga_result result = FPGA_OK; + ASSERT_NO_THROW(result = fpgaGetProperties(NULL, NULL)); + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +/** + * @test get_vendor_id01 + * @brief Tests: fpgaPropertiesGetVendorID + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesGetVendorID with the null object
    + * Then the return value is FPGA_INVALID_PARAM
    + * */ +TEST(properties, get_vendor_id01) { + fpga_properties prop = NULL; + + uint16_t vendor_id; + fpga_result result = fpgaPropertiesGetVendorID(prop, &vendor_id); + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +/** + * @test set_vendor_id01 + * @brief Tests: fpgaPropertiesSetVendorID + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesSetVendorID with the null object
    + * Then the result is FPGA_INVALID_PARAM
    + */ +TEST(properties, set_vendor_id01) { + fpga_properties prop = NULL; + // Call the API to set the vendor_id on the property + fpga_result result = fpgaPropertiesSetVendorID(prop, 0); + + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +/** + * @test get_vendor_id02 + * @brief Tests: fpgaPropertiesGetVendorID + * @details Given a non-null fpga_properties* object
    + * And its object type is FPGA_ACCELERATOR
    + * And it has the vendor_id field set to a known value
    + * When I call fpgaPropertiesGetVendorID with a pointer to an + * 16-bit integer variable
    + * Then the return value is FPGA_OK
    + * And the output value is the known value
    + * */ +TEST_P(properties_c_p, get_vendor_id02) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type and number of slots fields as valid + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + SET_FIELD_VALID(_prop, FPGA_PROPERTY_VENDORID); + + // set the object type field + _prop->objtype = FPGA_ACCELERATOR; + // set the slot num_interrupts to a known value + _prop->vendor_id = 0x8087; + + // now get the num_interrupts from the prop structure + uint16_t vendor_id; + result = fpgaPropertiesGetVendorID(prop, &vendor_id); + + // assert the result was ok + EXPECT_EQ(FPGA_OK, result); + + // assert it is set to what we set it to above + EXPECT_EQ(0x8087, vendor_id); + + // now delete the properties object + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_vendor_id03 + * @brief Tests: fpgaPropertiesGetVendorID + * @details Given a non-null fpga_properties* object
    + * And its object type is FPGA_ACCELERATOR
    + * But the vendor_id field is not set,
    + * When I call fpgaPropertiesGetVendorID with a pointer to an + * 16-bit integer variable
    + * Then the return value is FPGA_NOT_FOUND.
    + */ +TEST_P(properties_c_p, get_vendor_id03) { + uint16_t vendor = 0; + EXPECT_EQ(fpgaPropertiesGetVendorID(filter_, &vendor), FPGA_NOT_FOUND); +} + +/** + * @test get_device_id01 + * @brief Tests: fpgaPropertiesGetDeviceID + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesGetDeviceID with the null object
    + * Then the return value is FPGA_INVALID_PARAM
    + * */ +TEST(properties, get_device_id01) { + fpga_properties prop = NULL; + + uint16_t device_id; + fpga_result result = fpgaPropertiesGetDeviceID(prop, &device_id); + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +/** + * @test set_device_id01 + * @brief Tests: fpgaPropertiesSetDeviceID + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesSetDeviceID with the null object
    + * Then the result is FPGA_INVALID_PARAM
    + */ +TEST(properties, set_device_id01) { +#ifndef BUILD_ASE + fpga_properties prop = NULL; + // Call the API to set the device_id on the property + fpga_result result = fpgaPropertiesSetDeviceID(prop, 0); + + EXPECT_EQ(FPGA_INVALID_PARAM, result); +#endif +} + +/** + * @test get_device_id02 + * @brief Tests: fpgaPropertiesGetDeviceID + * @details Given a non-null fpga_properties* object
    + * And its object type is FPGA_ACCELERATOR
    + * And it has the device_id field set to a known value
    + * When I call fpgaPropertiesGetDeviceID with a pointer to an + * 16-bit integer variable
    + * Then the return value is FPGA_OK
    + * And the output value is the known value
    + * */ +TEST_P(properties_c_p, get_device_id02) { + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type and number of slots fields as valid + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + SET_FIELD_VALID(_prop, FPGA_PROPERTY_DEVICEID); + + // set the object type field + _prop->objtype = FPGA_ACCELERATOR; + // set the slot num_interrupts to a known value + _prop->device_id = 0xAFFE; + + // now get the num_interrupts from the prop structure + uint16_t device_id; + result = fpgaPropertiesGetDeviceID(prop, &device_id); + + // assert the result was ok + EXPECT_EQ(FPGA_OK, result); + + // assert it is set to what we set it to above + EXPECT_EQ(0xAFFE, device_id); + + // now delete the properties object + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_device_id03 + * @brief Tests: fpgaPropertiesGetDeviceID + * @details Given a non-null fpga_properties* object
    + * And its object type is FPGA_ACCELERATOR
    + * But the device_id field is not set,
    + * When I call fpgaPropertiesGetDeviceID with a pointer to an + * 16-bit integer variable
    + * Then the return value is FPGA_NOT_FOUND.
    + */ +TEST_P(properties_c_p, get_device_id03) { + uint16_t devid = 0; + EXPECT_EQ(fpgaPropertiesGetDeviceID(filter_, &devid), FPGA_NOT_FOUND); +} + +/** + * @test get_object_id01 + * @brief Tests: fpgaPropertiesGetObjectID + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesGetObjectID with the null object
    + * Then the return value is FPGA_INVALID_PARAM
    + * */ +TEST(properties, get_object_id01) { + fpga_properties prop = NULL; + + uint64_t object_id; + fpga_result result = fpgaPropertiesGetObjectID(prop, &object_id); + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +/** + * @test set_object_id01 + * @brief Tests: fpgaPropertiesSetObjectID + * @details Given a null fpga_properties* object
    + * When I call fpgaPropertiesSetObjectID with the null object
    + * Then the result is FPGA_INVALID_PARAM
    + */ +TEST(properties, set_object_id01) { + fpga_properties prop = NULL; + // Call the API to set the object_id on the property + fpga_result result = fpgaPropertiesSetObjectID(prop, 0); + + EXPECT_EQ(FPGA_INVALID_PARAM, result); +} + +/** + * @test get_object_id02 + * @brief Tests: fpgaPropertiesGetObjectID + * @details Given a non-null fpga_properties* object
    + * And its object type is FPGA_ACCELERATOR
    + * And it has the object_id field set to a known value
    + * When I call fpgaPropertiesGetObjectID with a pointer to an + * 64-bit integer variable
    + * Then the return value is FPGA_OK
    + * And the output value is the known value
    + * */ +TEST_P(properties_c_p, get_object_id02) { + uint64_t object_id = 0x8000000000000000UL; + fpga_properties prop = NULL; + fpga_result result = fpgaGetProperties(NULL, &prop); + + ASSERT_EQ(result, FPGA_OK); + ASSERT_FALSE(NULL == prop); + + struct _fpga_properties* _prop = (struct _fpga_properties*)prop; + + // set the object type and object ID fields as valid + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJTYPE); + SET_FIELD_VALID(_prop, FPGA_PROPERTY_OBJECTID); + + // set the object type field + _prop->objtype = FPGA_ACCELERATOR; + // set the object ID to a known value + _prop->object_id = object_id; + + // now get the object ID from the prop structure + uint64_t tmp_object_id = 0; + result = fpgaPropertiesGetObjectID(prop, &tmp_object_id); + + // assert the result was ok + EXPECT_EQ(FPGA_OK, result); + + // assert it is set to what we set it to above + EXPECT_EQ(object_id, tmp_object_id); + + // now delete the properties object + result = fpgaDestroyProperties(&prop); + ASSERT_EQ(NULL, prop); +} + +/** + * @test get_object_id03 + * @brief Tests: fpgaPropertiesGetObjectID + * @details Given a non-null fpga_properties* object
    + * And its object type is FPGA_ACCELERATOR
    + * But it has no object_id field set,
    + * When I call fpgaPropertiesGetObjectID with a pointer to an + * 64-bit integer variable
    + * Then the return value is FPGA_NOT_FOUND.
    + */ +TEST_P(properties_c_p, get_object_id03) { + uint64_t objid = 0; + EXPECT_EQ(fpgaPropertiesGetObjectID(filter_, &objid), FPGA_NOT_FOUND); +} + +/** + * @test fpga_destroy_properties01 + * @brief Tests: fpgaDestroyProperties + * @details When the fpga_properties* object
    + * to fpgaDestroyProperties is NULL
    + * Then the function returns FPGA_INVALID_PARAM
    + * + */ +TEST(properties, fpga_destroy_properties01) { +#ifndef BUILD_ASE + EXPECT_EQ(FPGA_INVALID_PARAM, fpgaDestroyProperties(NULL)); +#endif +} + +TEST_P(properties_c_p, get_num_errors01) +{ + fpga_properties prop = nullptr; + fpga_result result = fpgaGetProperties(NULL, &prop); + EXPECT_EQ(result, FPGA_OK); + auto _prop = (_fpga_properties*)prop; + SET_FIELD_VALID(_prop, FPGA_PROPERTY_NUM_ERRORS); + _prop->num_errors = 9; + uint32_t num_errors = 0; + // now get the parent token from the prop structure + EXPECT_EQ(fpgaPropertiesGetNumErrors(prop, &num_errors), FPGA_OK); + EXPECT_EQ(fpgaDestroyProperties(&prop), FPGA_OK); +} + +/** + * @test get_num_errors02 + * @brief Tests: fpgaPropertiesGetNumErrors + * @details When the number of errors field is not set
    + * in the properties object,
    + * Then fpgaPropertiesGetNumErrors returns FPGA_NOT_FOUND.
    + * + */ +TEST_P(properties_c_p, get_num_errors02) { + uint32_t errors = 0; + EXPECT_EQ(fpgaPropertiesGetNumErrors(filter_, &errors), FPGA_NOT_FOUND); +} + +/** + * @test validate01 + * @brief Tests: opae_validate_and_lock_properties + * @details When opae_validate_and_lock_properties is called with
    + * a properties object that has an invalid magic field,
    + * Then opae_validate_and_lock_properties returns NULL.
    + * + */ +TEST_P(properties_c_p, validate01) { + struct _fpga_properties *p = (struct _fpga_properties *) filter_; + ASSERT_EQ(p->magic, FPGA_PROPERTY_MAGIC); + p->magic = 0; + EXPECT_EQ(NULL, opae_validate_and_lock_properties(filter_)); + p->magic = FPGA_PROPERTY_MAGIC; +} + +INSTANTIATE_TEST_CASE_P(properties_c, properties_c_p, + ::testing::ValuesIn(test_platform::platforms({}))); + +class properties_c_mock_p : public properties_c_p{ + protected: + properties_c_mock_p() {}; +}; + +/** + * @test from_handle02 + * @brief Tests: fpgaGetPropertiesFromHandle + * @details When the call to opae_allocate_wrapped_token() fails
    + * fpgaGetPropertiesFromHandle returns FPGA_NO_MEMORY
    + */ +TEST_P(properties_c_mock_p, from_handle02) { + fpga_properties props = nullptr; + // Invalidate the allocation of the wrapped token. + system_->invalidate_malloc(0, "opae_allocate_wrapped_token"); + EXPECT_EQ(fpgaGetPropertiesFromHandle(accel_, &props), FPGA_NO_MEMORY); + EXPECT_EQ(fpgaDestroyProperties(&props), FPGA_OK); +} + +/** + * @test from_token01 + * @brief Tests: fpgaGetProperties + * @details When the input token is NULL
    + * and the call to opae_properties_create() fails,
    + * fpgaGetProperties returns FPGA_NO_MEMORY
    + */ +TEST_P(properties_c_mock_p, from_token01) { + fpga_properties props = nullptr; + // Invalidate the allocation of the properties object. + system_->invalidate_calloc(0, "opae_properties_create"); + EXPECT_EQ(fpgaGetProperties(NULL, &props), FPGA_NO_MEMORY); +} + +/** + * @test from_token02 + * @brief Tests: fpgaGetProperties + * @details When the input token is valid
    + * and the call to opae_allocate_wrapped_token() fails,
    + * fpgaGetProperties returns FPGA_NO_MEMORY
    + */ +TEST_P(properties_c_mock_p, from_token02) { + fpga_properties props = nullptr; + // Invalidate the allocation of the wrapped token. + system_->invalidate_malloc(0, "opae_allocate_wrapped_token"); + EXPECT_EQ(fpgaGetProperties(tokens_accel_[0], &props), FPGA_NO_MEMORY); + EXPECT_EQ(fpgaDestroyProperties(&props), FPGA_OK); +} + +/** + * @test update02 + * @brief Tests: fpgaUpdateProperties + * @details When the resulting properties object has a parent token set,
    + * but malloc fails during wrapper allocation,
    + * fpgaUpdateProperties returns FPGA_NO_MEMORY.
    + */ +TEST_P(properties_c_mock_p, update02) { + fpga_properties props = nullptr; + ASSERT_EQ(fpgaGetProperties(NULL, &props), FPGA_OK); + system_->invalidate_malloc(0, "opae_allocate_wrapped_token"); + EXPECT_EQ(fpgaUpdateProperties(tokens_accel_[0], props), FPGA_NO_MEMORY); + EXPECT_EQ(fpgaDestroyProperties(&props), FPGA_OK); +} + +/** + * @test fpga_clone_properties02 + * @brief Tests: fpgaCloneProperties + * @details When calloc fails to allocate the new properties object,
    + * fpgaClonePropeties returns FPGA_EXCEPTION.
    + */ +TEST_P(properties_c_mock_p, fpga_clone_properties02) { + fpga_properties clone = NULL; + system_->invalidate_calloc(0, "opae_properties_create"); + ASSERT_EQ(fpgaCloneProperties(filter_, &clone), FPGA_EXCEPTION); +} + +INSTANTIATE_TEST_CASE_P(properties_c, properties_c_mock_p, + ::testing::ValuesIn(test_platform::mock_platforms({}))); + diff --git a/opae-libs/tests/opae-c/test_reconf_c.cpp b/opae-libs/tests/opae-c/test_reconf_c.cpp new file mode 100644 index 0000000..3b59ade --- /dev/null +++ b/opae-libs/tests/opae-c/test_reconf_c.cpp @@ -0,0 +1,111 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +extern "C" { + +#include +#include +#include "opae_int.h" + +} + +#include +#include "intel-fpga.h" +#include + +#include +#include +#include +#include +#include +#include +#include +#include "gtest/gtest.h" +#include "mock/test_system.h" + +using namespace opae::testing; + +class reconf_c_p : public ::testing::TestWithParam { + protected: + reconf_c_p() : tokens_{{nullptr, nullptr}} {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + filter_ = nullptr; + ASSERT_EQ(fpgaInitialize(NULL), FPGA_OK); + ASSERT_EQ(fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + num_matches_ = 0; + ASSERT_EQ(fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), FPGA_OK); + EXPECT_GT(num_matches_, 0); + dev_ = nullptr; + ASSERT_EQ(fpgaOpen(tokens_[0], &dev_, 0), FPGA_OK); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + if (dev_) { + EXPECT_EQ(fpgaClose(dev_), FPGA_OK); + dev_ = nullptr; + } + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + fpgaFinalize(); + system_->finalize(); + } + + std::array tokens_; + fpga_properties filter_; + fpga_handle dev_; + test_platform platform_; + uint32_t num_matches_; + test_system *system_; +}; + +/** + * @test pr + * @brief Test: fpgaReconfigureSlot + * @details When fpgaReconfigureSlot is called with invalid params,
    + * then the fn returns FPGA_INVALID_PARAM.
    + */ +TEST_P(reconf_c_p, pr) { + uint8_t bitstream[] = { 'b', 'i', 't', 's', 0 }; + EXPECT_EQ(fpgaReconfigureSlot(dev_, 0, + bitstream, 5, 0), FPGA_INVALID_PARAM); +} + +INSTANTIATE_TEST_CASE_P(reconf_c, reconf_c_p, + ::testing::ValuesIn(test_platform::platforms({}))); diff --git a/opae-libs/tests/opae-c/test_reset_c.cpp b/opae-libs/tests/opae-c/test_reset_c.cpp new file mode 100644 index 0000000..fe3944e --- /dev/null +++ b/opae-libs/tests/opae-c/test_reset_c.cpp @@ -0,0 +1,100 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +extern "C" { + +#include +#include +#include "opae_int.h" + +} + +#include + +#include +#include +#include +#include +#include +#include +#include "gtest/gtest.h" +#include "mock/test_system.h" + +using namespace opae::testing; + +class reset_c_p : public ::testing::TestWithParam { + protected: + reset_c_p() : tokens_{{nullptr, nullptr}} {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + filter_ = nullptr; + ASSERT_EQ(fpgaInitialize(NULL), FPGA_OK); + ASSERT_EQ(fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), FPGA_OK); + num_matches_ = 0; + ASSERT_EQ(fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), FPGA_OK); + EXPECT_GT(num_matches_, 0); + accel_ = nullptr; + ASSERT_EQ(fpgaOpen(tokens_[0], &accel_, 0), FPGA_OK); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + if (accel_) { + EXPECT_EQ(fpgaClose(accel_), FPGA_OK); + accel_ = nullptr; + } + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + fpgaFinalize(); + system_->finalize(); + } + + std::array tokens_; + fpga_properties filter_; + fpga_handle accel_; + test_platform platform_; + uint32_t num_matches_; + test_system *system_; +}; + +TEST_P(reset_c_p, success) { + EXPECT_EQ(fpgaReset(accel_), FPGA_OK); +} + +INSTANTIATE_TEST_CASE_P(reset_c, reset_c_p, + ::testing::ValuesIn(test_platform::platforms({}))); diff --git a/opae-libs/tests/opae-c/test_umsg_c.cpp b/opae-libs/tests/opae-c/test_umsg_c.cpp new file mode 100644 index 0000000..809f3ff --- /dev/null +++ b/opae-libs/tests/opae-c/test_umsg_c.cpp @@ -0,0 +1,238 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +extern "C" { + +#include +#include +#include "opae_int.h" + +} + +#include +#include "intel-fpga.h" +#include + +#include +#include +#include +#include +#include +#include +#include +#include "gtest/gtest.h" +#include "mock/test_system.h" + +using namespace opae::testing; + +int umsg_port_info(mock_object * m, int request, va_list argp){ + int retval = -1; + errno = EINVAL; + static bool gEnableIRQ = false; + UNUSED_PARAM(m); + UNUSED_PARAM(request); + struct fpga_port_info *pinfo = va_arg(argp, struct fpga_port_info *); + if (!pinfo) { + FPGA_MSG("pinfo is NULL"); + goto out_EINVAL; + } + if (pinfo->argsz != sizeof(*pinfo)) { + FPGA_MSG("wrong structure size"); + goto out_EINVAL; + } + pinfo->flags = 0; + pinfo->num_regions = 1; + pinfo->num_umsgs = 8; + if (gEnableIRQ) { + pinfo->capability = FPGA_PORT_CAP_ERR_IRQ | FPGA_PORT_CAP_UAFU_IRQ; + pinfo->num_uafu_irqs = 1; + } else { + pinfo->capability = 0; + pinfo->num_uafu_irqs = 0; + } + retval = 0; + errno = 0; +out: + return retval; + +out_EINVAL: + retval = -1; + errno = EINVAL; + goto out; +} + +int umsg_set_mode(mock_object * m, int request, va_list argp){ + int retval = -1; + errno = EINVAL; + UNUSED_PARAM(m); + UNUSED_PARAM(request); + struct fpga_port_umsg_cfg *ucfg = va_arg(argp, struct fpga_port_umsg_cfg *); + if (!ucfg) { + FPGA_MSG("ucfg is NULL"); + goto out_EINVAL; + } + if (ucfg->argsz != sizeof(*ucfg)) { + FPGA_MSG("wrong structure size"); + goto out_EINVAL; + } + if (ucfg->flags != 0) { + FPGA_MSG("unexpected flags %u", ucfg->flags); + goto out_EINVAL; + } + /* TODO: check hint_bitmap */ + if (ucfg->hint_bitmap >> 8) { + FPGA_MSG("invalid hint_bitmap 0x%x", ucfg->hint_bitmap); + goto out_EINVAL; + } + retval = 0; + errno = 0; +out: + return retval; + +out_EINVAL: + retval = -1; + errno = EINVAL; + goto out; +} + +class umsg_c_p : public ::testing::TestWithParam { + protected: + umsg_c_p() : tokens_{{nullptr, nullptr}} {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + filter_ = nullptr; + ASSERT_EQ(fpgaInitialize(NULL), FPGA_OK); + ASSERT_EQ(fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetDeviceID(filter_, platform_.devices[0].device_id), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), FPGA_OK); + num_matches_ = 0; + ASSERT_EQ(fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), FPGA_OK); + EXPECT_GT(num_matches_, 0); + dev_ = nullptr; + ASSERT_EQ(fpgaOpen(tokens_[0], &dev_, 0), FPGA_OK); + system_->register_ioctl_handler(FPGA_PORT_GET_INFO, umsg_port_info); + system_->register_ioctl_handler(FPGA_PORT_UMSG_SET_MODE, umsg_set_mode); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + if (dev_) { + EXPECT_EQ(fpgaClose(dev_), FPGA_OK); + dev_ = nullptr; + } + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + fpgaFinalize(); + system_->finalize(); + } + + std::array tokens_; + fpga_properties filter_; + fpga_handle dev_; + test_platform platform_; + uint32_t num_matches_; + test_system *system_; +}; + +/** + * @test get_num + * @brief Test: fpgaGetNumUmsg + * @details When fpgaGetNumUmsg retrieves the number of UMsgs,
    + * the number of Umsgs is greater than 0,
    + * and the fn returns FPGA_OK.
    + */ +TEST_P(umsg_c_p, get_num) { + uint64_t num = 0; + EXPECT_EQ(fpgaGetNumUmsg(dev_, &num), FPGA_OK); + EXPECT_GT(num, 0); +} + +/** + * @test set_attr + * @brief Test: fpgaSetUmsgAttributes + * @details When fpgaSetUmsgAttributes is called with valid values,
    + * then the fn returns FPGA_OK.
    + */ +TEST_P(umsg_c_p, set_attr) { + uint64_t enable = 0xff; + uint64_t disable = 0; + EXPECT_EQ(fpgaSetUmsgAttributes(dev_, enable), FPGA_OK); + EXPECT_EQ(fpgaSetUmsgAttributes(dev_, disable), FPGA_OK); +} + +INSTANTIATE_TEST_CASE_P(umsg_c, umsg_c_p, + ::testing::ValuesIn(test_platform::platforms({ "skx-p"}))); + +class umsg_c_mock_p: public umsg_c_p{ + protected: + umsg_c_mock_p() {}; +}; +/** + * @test trigger + * @brief Test: fpgaTriggerUmsg + * @details When Umsgs are enabled and
    + * fpgaTriggerUmsg is called with a valid value,
    + * then the fn returns FPGA_OK.
    + */ +TEST_P(umsg_c_mock_p, trigger) { + uint64_t enable = 0xff; + uint64_t disable = 0; + EXPECT_EQ(fpgaSetUmsgAttributes(dev_, enable), FPGA_OK); + EXPECT_EQ(fpgaTriggerUmsg(dev_, 1), FPGA_OK); + EXPECT_EQ(fpgaSetUmsgAttributes(dev_, disable), FPGA_OK); +} + +/** + * @test get_ptr + * @brief Test: fpgaGetUmsgPtr + * @details When Umsgs are enabled and
    + * fpgaGetUmsgPtr is called with a valid value,
    + * then the fn returns FPGA_OK.
    + */ +TEST_P(umsg_c_mock_p, get_ptr) { + uint64_t enable = 0xff; + uint64_t disable = 0; + uint64_t *umsg_ptr = nullptr; + EXPECT_EQ(fpgaSetUmsgAttributes(dev_, enable), FPGA_OK); + EXPECT_EQ(fpgaGetUmsgPtr(dev_, &umsg_ptr), FPGA_OK); + EXPECT_NE(umsg_ptr, nullptr); + EXPECT_EQ(fpgaSetUmsgAttributes(dev_, disable), FPGA_OK); +} + +INSTANTIATE_TEST_CASE_P(umsg_c, umsg_c_mock_p, + ::testing::ValuesIn(test_platform::mock_platforms({ "skx-p"}))); + diff --git a/opae-libs/tests/opae-c/test_usrclk_c.cpp b/opae-libs/tests/opae-c/test_usrclk_c.cpp new file mode 100644 index 0000000..8ab76b3 --- /dev/null +++ b/opae-libs/tests/opae-c/test_usrclk_c.cpp @@ -0,0 +1,134 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +extern "C" { + +#include +#include +#include "opae_int.h" + +} + +#include + +#include +#include +#include +#include +#include +#include +#include +#include "gtest/gtest.h" +#include "mock/test_system.h" + +using namespace opae::testing; + +class usrclk_c_p : public ::testing::TestWithParam { + protected: + usrclk_c_p() : tokens_{{nullptr, nullptr}} {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + filter_ = nullptr; + ASSERT_EQ(fpgaInitialize(NULL), FPGA_OK); + ASSERT_EQ(fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetDeviceID(filter_, + platform_.devices[0].device_id), FPGA_OK); + num_matches_ = 0; + ASSERT_EQ(fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), FPGA_OK); + EXPECT_GT(num_matches_, 0); + accel_ = nullptr; + ASSERT_EQ(fpgaOpen(tokens_[0], &accel_, 0), FPGA_OK); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + if (accel_) { + EXPECT_EQ(fpgaClose(accel_), FPGA_OK); + accel_ = nullptr; + } + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + system_->finalize(); + } + + std::array tokens_; + fpga_properties filter_; + fpga_handle accel_; + test_platform platform_; + uint32_t num_matches_; + test_system *system_; +}; + +/** + * @test get + * @brief Test: fpgaGetUserClock + * @details When fpgaGetUserClock is called with valid parameters,
    + * then it retrieves the user clock values,
    + * and the fn returns FPGA_OK.
    + */ +TEST_P(usrclk_c_p, get) { + uint64_t low = 999; + uint64_t high = 999; + EXPECT_EQ(fpgaGetUserClock(accel_, &high, &low, 0), FPGA_OK); + EXPECT_NE(low, 999); + EXPECT_NE(high, 999); +} + +// TODO: Fix user clock test for DCP +INSTANTIATE_TEST_CASE_P(usrclk_c, usrclk_c_p, + ::testing::ValuesIn(test_platform::platforms({"skx-p"}))); + +class usrclk_c_hw_p : public usrclk_c_p{ + protected: + usrclk_c_hw_p() {}; +}; +/** + * @test set + * @brief Test: fpgaSetUserClock + * @details When fpgaSetUserClock is called with valid parameters,
    + * the fn returns FPGA_OK.
    + */ +TEST_P(usrclk_c_hw_p, set) { + uint64_t low = 25; + uint64_t high = 600; + EXPECT_EQ(fpgaSetUserClock(accel_, high, low, 0), FPGA_OK); +} + +INSTANTIATE_TEST_CASE_P(usrclk_c, usrclk_c_hw_p, + ::testing::ValuesIn(test_platform::hw_platforms({ "skx-p","dcp-rc" }, fpga_driver::linux_intel))); + diff --git a/opae-libs/tests/opae-c/test_version_c.cpp b/opae-libs/tests/opae-c/test_version_c.cpp new file mode 100644 index 0000000..ace7788 --- /dev/null +++ b/opae-libs/tests/opae-c/test_version_c.cpp @@ -0,0 +1,113 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +extern "C" { + +#include +#include +#include "opae_int.h" + +} + +#include +#include + +#include +#include +#include +#include +#include +#include +#include "gtest/gtest.h" +#include "mock/test_system.h" + +using namespace opae::testing; + +/** + * @test opaec + * @brief Test: fpgaGetOPAECVersion + * @details When fpgaGetOPAECVersion is called with a valid param,
    + * then it retrieves the OPAE_VERSION_* constants
    + * from config.h.
    + */ +TEST(version, opaec) { + fpga_version v; + EXPECT_EQ(fpgaGetOPAECVersion(&v), FPGA_OK); + EXPECT_EQ(v.major, OPAE_VERSION_MAJOR); + EXPECT_EQ(v.minor, OPAE_VERSION_MINOR); + EXPECT_EQ(v.patch, OPAE_VERSION_REVISION); +} + +/** + * @test opaec_string + * @brief Test: fpgaGetOPAECVersionString + * @details When fpgaGetOPAECVersionString is called with valid params,
    + * then it retrieves the OPAE_VERSION string
    + * from config.h.
    + */ +TEST(version, opaec_string) { + char ver_str[32]; + EXPECT_EQ(fpgaGetOPAECVersionString(ver_str, sizeof(ver_str)), FPGA_OK); + EXPECT_STREQ(ver_str, OPAE_VERSION); +} + +/** + * @test opaec_build_string + * @brief Test: fpgaGetOPAECBuildString + * @details When fpgaGetOPAECBuildString is called with valid params,
    + * then it retrieves the OPAE_GIT_COMMIT_HASH string
    + * from config.h.
    + */ +TEST(version, opaec_build_string) { + char b_str[32]; + EXPECT_EQ(fpgaGetOPAECBuildString(b_str, sizeof(b_str)), FPGA_OK); + if (OPAE_GIT_SRC_TREE_DIRTY) { + EXPECT_STREQ(b_str, OPAE_GIT_COMMIT_HASH "*"); + } else { + EXPECT_STREQ(b_str, OPAE_GIT_COMMIT_HASH); + } +} + +/** + * @test str + * @brief Test: fpgaErrStr + * @details When fpgaErrStr is called with valid params,
    + * then it returns the corresponding const char *.
    + */ +TEST(err, str) { + EXPECT_STREQ(fpgaErrStr(FPGA_OK), "success"); + EXPECT_STREQ(fpgaErrStr(FPGA_INVALID_PARAM), "invalid parameter"); + EXPECT_STREQ(fpgaErrStr(FPGA_BUSY), "resource busy"); + EXPECT_STREQ(fpgaErrStr(FPGA_EXCEPTION), "exception"); + EXPECT_STREQ(fpgaErrStr(FPGA_NOT_FOUND), "not found"); + EXPECT_STREQ(fpgaErrStr(FPGA_NO_MEMORY), "no memory"); + EXPECT_STREQ(fpgaErrStr(FPGA_NOT_SUPPORTED), "not supported"); + EXPECT_STREQ(fpgaErrStr(FPGA_NO_DRIVER), "no driver available"); + EXPECT_STREQ(fpgaErrStr(FPGA_NO_DAEMON), "no fpga daemon running"); + EXPECT_STREQ(fpgaErrStr(FPGA_NO_ACCESS), "insufficient privileges"); + EXPECT_STREQ(fpgaErrStr(FPGA_RECONF_ERROR), "reconfiguration error"); + EXPECT_STREQ(fpgaErrStr((fpga_result)-1), "unknown error"); +} diff --git a/opae-libs/tests/opae-cxx/CMakeLists.txt b/opae-libs/tests/opae-cxx/CMakeLists.txt new file mode 100644 index 0000000..d164562 --- /dev/null +++ b/opae-libs/tests/opae-cxx/CMakeLists.txt @@ -0,0 +1,89 @@ +## Copyright(c) 2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +opae_test_add_static_lib(TARGET opae-cxx-core-static + SOURCE + ${OPAE_LIBS_ROOT}/libopaecxx/src/errors.cpp + ${OPAE_LIBS_ROOT}/libopaecxx/src/events.cpp + ${OPAE_LIBS_ROOT}/libopaecxx/src/except.cpp + ${OPAE_LIBS_ROOT}/libopaecxx/src/handle.cpp + ${OPAE_LIBS_ROOT}/libopaecxx/src/properties.cpp + ${OPAE_LIBS_ROOT}/libopaecxx/src/shared_buffer.cpp + ${OPAE_LIBS_ROOT}/libopaecxx/src/token.cpp + ${OPAE_LIBS_ROOT}/libopaecxx/src/sysobject.cpp + ${OPAE_LIBS_ROOT}/libopaecxx/src/version.cpp + LIBS + opae-c + ${libjson-c_LIBRARIES} +) + +opae_test_add(TARGET test_opae_handle_cxx_core + SOURCE test_handle_cxx_core.cpp + LIBS opae-cxx-core-static +) + +opae_test_add(TARGET test_opae_buffer_cxx_core + SOURCE test_buffer_cxx_core.cpp + LIBS opae-cxx-core-static +) + +opae_test_add(TARGET test_opae_errors_cxx_core + SOURCE test_errors_cxx_core.cpp + LIBS opae-cxx-core-static +) + +opae_test_add(TARGET test_opae_events_cxx_core + SOURCE test_events_cxx_core.cpp + LIBS + test-fpgad-static + opae-cxx-core-static + TEST_FPGAD +) + +target_include_directories(test_opae_events_cxx_core + PRIVATE + ${OPAE_LIBS_ROOT}/libbitstream +) + +opae_test_add(TARGET test_opae_properties_cxx_core + SOURCE test_properties_cxx_core.cpp + LIBS opae-cxx-core-static +) + +opae_test_add(TARGET test_opae_version_cxx_core + SOURCE test_version_cxx_core.cpp + LIBS opae-cxx-core-static +) + +opae_test_add(TARGET test_opae_except_cxx_core + SOURCE test_except_cxx_core.cpp + LIBS opae-cxx-core-static +) + +opae_test_add(TARGET test_opae_object_cxx_core + SOURCE test_object_cxx_core.cpp + LIBS opae-cxx-core-static +) diff --git a/opae-libs/tests/opae-cxx/test_buffer_cxx_core.cpp b/opae-libs/tests/opae-cxx/test_buffer_cxx_core.cpp new file mode 100644 index 0000000..f076c20 --- /dev/null +++ b/opae-libs/tests/opae-cxx/test_buffer_cxx_core.cpp @@ -0,0 +1,217 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include "mock/test_system.h" +#include "gtest/gtest.h" +#include +#include +#include +#include +#include "common_int.h" + +using namespace opae::testing; +using namespace opae::fpga::types; + +class buffer_cxx_core : public ::testing::TestWithParam { +protected: + buffer_cxx_core() : handle_(nullptr) {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + ASSERT_EQ(fpgaInitialize(nullptr), FPGA_OK); + + tokens_ = token::enumerate({properties::get(FPGA_ACCELERATOR)}); + ASSERT_TRUE(tokens_.size() > 0); + + handle_ = handle::open(tokens_[0], FPGA_OPEN_SHARED); + ASSERT_NE(nullptr, handle_.get()); + } + + virtual void TearDown() override { + tokens_.clear(); + if (handle_.get()) + handle_->close(); + handle_.reset(); + fpgaFinalize(); + + system_->finalize(); + } + + std::vector tokens_; + handle::ptr_t handle_; + test_platform platform_; + test_system *system_; +}; + +/** + * @test shared_buffer::allocate_null + * Calling shared_buffer::allocate with a null handle should throw + * invalid_param. + */ +TEST_P(buffer_cxx_core, allocate_null) { + size_t length = 4096; + shared_buffer::ptr_t buf; + + EXPECT_THROW(shared_buffer::allocate(NULL, length), std::exception); +} + +/** + * @test shared_buffer::allocate_no_len + * Calling shared_buffer::allocate with buffer length = 0 should throw + * invalid_param. + */ +TEST_P(buffer_cxx_core, allocate_no_len) { + size_t length = 0; + shared_buffer::ptr_t buf; + + EXPECT_THROW(shared_buffer::allocate(handle_, length), std::exception); +} + +/** + * @test shared_buffer::allocate_valid + * Calling shared_buffer::allocate with valid params should return a + * shared buffer and shared_buffer::release will invalidate the + * object. + */ +TEST_P(buffer_cxx_core, allocate_valid) { + size_t length = 4096; + shared_buffer::ptr_t buf; + + ASSERT_NO_THROW(buf = shared_buffer::allocate(handle_, length)); + ASSERT_NE(nullptr, buf); + EXPECT_EQ(length, buf->size()); + + ASSERT_NO_THROW(buf->release()); + ASSERT_NE(nullptr, buf.get()); + EXPECT_EQ(0, buf->size()); + EXPECT_EQ(0, buf->c_type()); +} + +/** + * @test shared_buffer::attach_no_len + * Calling shared_buffer::attach with buffer length = 0 should throw + * invalid_param. + */ +TEST_P(buffer_cxx_core, attach_no_len) { + size_t length = 4096; + uint8_t *buf_addr = nullptr; + shared_buffer::ptr_t buf; + + ASSERT_NO_THROW(buf = shared_buffer::allocate(handle_, length)); + ASSERT_NE(nullptr, buf.get()); + + buf_addr = (uint8_t *)mmap(ADDR, length, PROTECTION, FLAGS_2M, 0, 0); + ASSERT_NE(nullptr, buf_addr); + + EXPECT_THROW(buf->attach(handle_, buf_addr, 0), invalid_param); + + munmap(buf_addr, length); +} + +/** + * @test attach_valid + * Given an open accelerator handle object and a pre-allocated buffer, + * when I call shared_buffer::attach() with a length that is a multiple + * of the page size, then I get a valid shared_buffer pointer. + */ +TEST_P(buffer_cxx_core, attach_valid) { + shared_buffer::ptr_t buf; + uint64_t pg_size = (uint64_t)sysconf(_SC_PAGE_SIZE); + uint8_t *base_addr = (uint8_t *)aligned_alloc(pg_size, pg_size); + + ASSERT_NE(nullptr, base_addr); + + buf = shared_buffer::attach(handle_, base_addr, pg_size); + ASSERT_NE(nullptr, buf.get()); + EXPECT_EQ(static_cast(pg_size), buf->size()); + free(base_addr); +} + +/** + * @test shared_buffer::compare_diff + * Calling shared_buffer::compare on two buffers with different + * values should return a non-zero value. + */ +TEST_P(buffer_cxx_core, compare_diff) { + size_t length = 4096; + shared_buffer::ptr_t buf1; + shared_buffer::ptr_t buf2; + + ASSERT_NO_THROW(buf1 = shared_buffer::allocate(handle_, length)); + ASSERT_NE(nullptr, buf1.get()); + ASSERT_NO_THROW(buf2 = shared_buffer::allocate(handle_, length)); + ASSERT_NE(nullptr, buf2.get()); + + buf1->fill(3); + buf2->fill(1); + + // Different buffer values + EXPECT_NE(buf1->compare(buf2, 4096), 0); +} + +/** + * @test shared_buffer::compare + * Calling shared_buffer::compare on two buffers with identical + * values should return zero. + */ +TEST_P(buffer_cxx_core, compare_same) { + size_t length = 4096; + shared_buffer::ptr_t buf1; + shared_buffer::ptr_t buf2; + + ASSERT_NO_THROW(buf1 = shared_buffer::allocate(handle_, length)); + ASSERT_NE(nullptr, buf1.get()); + ASSERT_NO_THROW(buf2 = shared_buffer::allocate(handle_, length)); + ASSERT_NE(nullptr, buf2.get()); + + buf1->fill(3); + buf2->fill(3); + + EXPECT_EQ(buf1->compare(buf2, 4096), 0); +} + +/** + * @test shared_buffer::read_write + * Calling shared_buffer::write updates the memory block and + * calling shared_buffer::read returns the memory block. + */ +TEST_P(buffer_cxx_core, read_write) { + shared_buffer::ptr_t buf; + + buf = shared_buffer::allocate(handle_, 4); + ASSERT_NE(nullptr, buf.get()); + + buf->write(0xdecafbad, 0); + EXPECT_EQ(0xdecafbad, buf->read(0)); +} + +INSTANTIATE_TEST_CASE_P(buffer, buffer_cxx_core, + ::testing::ValuesIn(test_platform::keys(true))); diff --git a/opae-libs/tests/opae-cxx/test_errors_cxx_core.cpp b/opae-libs/tests/opae-cxx/test_errors_cxx_core.cpp new file mode 100644 index 0000000..8b66d28 --- /dev/null +++ b/opae-libs/tests/opae-cxx/test_errors_cxx_core.cpp @@ -0,0 +1,105 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include "gtest/gtest.h" +#include "mock/test_system.h" +#include +#include +#include +#include + +#include "intel-fpga.h" + +using namespace opae::testing; +using namespace opae::fpga::types; + + +class errors_cxx_core : public ::testing::TestWithParam { + protected: + errors_cxx_core() : handle_(nullptr) {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + ASSERT_EQ(fpgaInitialize(nullptr), FPGA_OK); + + tokens_ = token::enumerate({properties::get(FPGA_ACCELERATOR)}); + ASSERT_TRUE(tokens_.size() > 0); + } + + virtual void TearDown() override { + tokens_.clear(); + if (handle_) { + handle_->close(); + handle_.reset(); + } + + fpgaFinalize(); + + system_->finalize(); + } + + std::vector tokens_; + handle::ptr_t handle_; + test_platform platform_; + test_system *system_; +}; + +/** + * @test get_errors + * Given an OPAE resource token
    + * When I call error::get() with that token
    + * Then I get a non-null + * And I am able to read information about the error + */ +TEST_P(errors_cxx_core, get_error) { + for (auto &t : tokens_) { + auto props = properties::get(t); + ASSERT_NE(props, nullptr); + for (int i = 0; i < static_cast(props->num_errors); ++i) { + auto err = error::get(t, i); + std::cout << "Error [" << err->name() << "]: " << err->read_value() << "\n"; + } + } +} + +/** + * @test get_errors + * Given an OPAE resource token
    + * When I call error::get() with nullptr
    + * Then I get a invalid_argument + */ +TEST_P(errors_cxx_core, throw_error) { + ASSERT_THROW(error::get(nullptr, 0), std::invalid_argument); +} + + + +INSTANTIATE_TEST_CASE_P(error, errors_cxx_core, ::testing::ValuesIn(test_platform::keys(true))); diff --git a/opae-libs/tests/opae-cxx/test_events_cxx_core.cpp b/opae-libs/tests/opae-cxx/test_events_cxx_core.cpp new file mode 100644 index 0000000..8791621 --- /dev/null +++ b/opae-libs/tests/opae-cxx/test_events_cxx_core.cpp @@ -0,0 +1,146 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include +#include +#include +#include "gtest/gtest.h" +#include "mock/test_system.h" +#include "mock/fpgad_control.h" +#include +#include +#include +#include + +#include "intel-fpga.h" + +using namespace opae::testing; +using namespace opae::fpga::types; + +class events_cxx_core : public ::testing::TestWithParam, + public fpgad_control { + protected: + events_cxx_core() : handle_(nullptr) {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + ASSERT_EQ(fpgaInitialize(nullptr), FPGA_OK); + + properties::ptr_t props = properties::get(FPGA_ACCELERATOR); + + auto device_id = platform_.devices[0].device_id; + if (platform_.devices[0].num_vfs) { + device_id++; + } + props->device_id = device_id; + + tokens_ = token::enumerate({props}); + ASSERT_TRUE(tokens_.size() > 0); + + handle_= handle::open(tokens_[0], 0); + ASSERT_NE(nullptr, handle_.get()); + + fpgad_start(); + } + + virtual void TearDown() override { + fpgad_stop(); + handle_.reset(); + ASSERT_NO_THROW(tokens_.clear()); + fpgaFinalize(); + system_->finalize(); + } + + std::vector tokens_; + handle::ptr_t handle_; + test_platform platform_; + test_system *system_; +}; + +/** + * @test register_event_01 + * Given an open accelerator handle object
    + * When I call event::register_event() with nullptr handle
    + * And event type of FPGA_EVENT_ERROR + * Then exception is thrown
    + * And I get a std invalid_argument
    + */ +TEST_P(events_cxx_core, register_event_01) { + event::ptr_t ev; + ASSERT_THROW(ev = event::register_event(nullptr, FPGA_EVENT_ERROR), std::invalid_argument); + ASSERT_EQ(nullptr, ev.get()); +} + +/** + * @test register_event_02 + * Given an open handleerator handle object
    + * When I call event::register_event() with that handle
    + * And event type of event::type_t::error + * Then no exception is thrown
    + * And I get a non-null event shared pointer
    + */ +TEST_P(events_cxx_core, register_event_02) { + event::ptr_t ev; + ASSERT_NO_THROW(ev = event::register_event(handle_, event::type_t::error)); + ASSERT_NE(nullptr, ev.get()); +} + +/** + * @test register_event_03 + * Given an open handleerator handle object
    + * When I call event::register_event() with that handle
    + * And event type of FPGA_EVENT_ERROR + * Then no exception is thrown
    + * And I get a non-null event shared pointer
    + */ +TEST_P(events_cxx_core, register_event_03) { + event::ptr_t ev; + ASSERT_NO_THROW(ev = event::register_event(handle_, FPGA_EVENT_ERROR)); + ASSERT_NE(nullptr, ev.get()); +} + +/** + * @test get_os_object + * Given an open handleerator handle object
    + * And an event object created with event::register_event()
    + * When I call event::os_object using the event object
    + * Then I get a valid file descriptor for polling on the event
    + */ +TEST_P(events_cxx_core, get_os_object) { + event::ptr_t ev; + ASSERT_NO_THROW(ev = event::register_event(handle_, FPGA_EVENT_ERROR)); + ASSERT_NE(nullptr, ev.get()); + auto fd = ev->os_object(); + auto res = fcntl(fd, F_GETFL); + ASSERT_NE(res, -1); +} + +INSTANTIATE_TEST_CASE_P(events, events_cxx_core, ::testing::ValuesIn(test_platform::keys(true))); diff --git a/opae-libs/tests/opae-cxx/test_except_cxx_core.cpp b/opae-libs/tests/opae-cxx/test_except_cxx_core.cpp new file mode 100644 index 0000000..ea65bd2 --- /dev/null +++ b/opae-libs/tests/opae-cxx/test_except_cxx_core.cpp @@ -0,0 +1,189 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include "gtest/gtest.h" +#include + +using namespace opae::fpga::types; + +/** + * @test except_01 + * Given a src_location object
    + * When the object is constructed with OPAECXX_HERE
    + * Then it represents the current location in the source file.
    + */ +TEST(except_cxx_core, except_01) { + src_location loc(OPAECXX_HERE); + + EXPECT_STREQ("test_except_cxx_core.cpp", loc.file()); + EXPECT_STREQ("TestBody", loc.fn()); + EXPECT_EQ(39, loc.line()); +} + +/** + * @test except_02 + * Given an except object
    + * When the object is constructed with a src_location only
    + * Then then the fpga_result value is FPGA_EXCEPTION.
    + */ +TEST(except_cxx_core, except_02) { + except e(OPAECXX_HERE); + + EXPECT_EQ(FPGA_EXCEPTION, e); +} + +/** + * @test except_03 + * Given an except object
    + * When the object is constructed with an fpga_result and src_location
    + * Then then the fpga_result value matches the value passed to the + * constructor
    And the string returned by what() represents the fpga_result + * and src_location.
    + */ +TEST(except_cxx_core, except_03) { + except e(FPGA_INVALID_PARAM, OPAECXX_HERE); + + EXPECT_EQ(FPGA_INVALID_PARAM, e); + EXPECT_STREQ("failed with error invalid parameter at: " + "test_except_cxx_core.cpp:TestBody():67", + e.what()); +} + +/** + * @test except_04 + * Given a src_location
    + * When the object is copy-assigned
    + * Then the new object is a copy of the original.
    + */ +TEST(except_cxx_core, except_04) { + src_location locA(__FILE__, __func__, __LINE__); + src_location locB("someotherfile.txt", "some_other_fn", __LINE__); + + locB = locA; + EXPECT_STREQ(locB.file(), locA.file()); + EXPECT_STREQ(locB.fn(), locA.fn()); + EXPECT_EQ(locB.line(), locA.line()); +} + +/** + * @test assert_ok_invalid_param + * Given an assertion macro, ASSERT_FPGA_OK + * When I use the macro with a result code of FPGA_INVALID_PARAM + * Then an exception of type invalid_param is thrown + */ +TEST(except_cxx_core, assert_ok_invalid_param) { + EXPECT_THROW(ASSERT_FPGA_OK(FPGA_INVALID_PARAM), invalid_param); +} + +/** + * @test assert_ok_busy + * Given an assertion macro, ASSERT_FPGA_OK + * When I use the macro with a result code of FPGA_BUSY + * Then an exception of type busy is thrown + */ +TEST(except_cxx_core, assert_ok_busy) { + EXPECT_THROW(ASSERT_FPGA_OK(FPGA_BUSY), busy); +} + +/** + * @test assert_ok_exception + * Given an assertion macro, ASSERT_FPGA_OK + * When I use the macro with a result code of FPGA_EXCEPTION + * Then an exception of type exception is thrown + */ +TEST(except_cxx_core, assert_ok_exception) { + EXPECT_THROW(ASSERT_FPGA_OK(FPGA_EXCEPTION), exception); +} + +/** + * @test assert_ok_not_found + * Given an assertion macro, ASSERT_FPGA_OK + * When I use the macro with a result code of FPGA_NOT_FOUND + * Then an exception of type not_found is thrown + */ +TEST(except_cxx_core, assert_ok_not_found) { + EXPECT_THROW(ASSERT_FPGA_OK(FPGA_NOT_FOUND), not_found); +} + +/** + * @test assert_ok_no_memory + * Given an assertion macro, ASSERT_FPGA_OK + * When I use the macro with a result code of FPGA_NO_MEMORY + * Then an exception of type no_memory is thrown + */ +TEST(except_cxx_core, assert_ok_no_memory) { + EXPECT_THROW(ASSERT_FPGA_OK(FPGA_NO_MEMORY), no_memory); +} + +/** + * @test assert_ok_not_supported + * Given an assertion macro, ASSERT_FPGA_OK + * When I use the macro with a result code of FPGA_NOT_SUPPORTED + * Then an exception of type not_supported is thrown + */ +TEST(except_cxx_core, assert_ok_not_supported) { + EXPECT_THROW(ASSERT_FPGA_OK(FPGA_NOT_SUPPORTED), not_supported); +} + +/** + * @test assert_ok_no_driver + * Given an assertion macro, ASSERT_FPGA_OK + * When I use the macro with a result code of FPGA_NO_DRIVER + * Then an exception of type no_driver is thrown + */ +TEST(except_cxx_core, assert_ok_no_driver) { + EXPECT_THROW(ASSERT_FPGA_OK(FPGA_NO_DRIVER), no_driver); +} + +/** + * @test assert_ok_no_daemon + * Given an assertion macro, ASSERT_FPGA_OK + * When I use the macro with a result code of FPGA_NO_DAEMON + * Then an exception of type no_daemon is thrown + */ +TEST(except_cxx_core, assert_ok_no_daemon) { + EXPECT_THROW(ASSERT_FPGA_OK(FPGA_NO_DAEMON), no_daemon); +} + +/** + * @test assert_ok_no_access + * Given an assertion macro, ASSERT_FPGA_OK + * When I use the macro with a result code of FPGA_NO_ACCESS + * Then an exception of type no_access is thrown + */ +TEST(except_cxx_core, assert_ok_no_access) { + EXPECT_THROW(ASSERT_FPGA_OK(FPGA_NO_ACCESS), no_access); +} + +/** + * @test assert_ok_reconf_error + * Given an assertion macro, ASSERT_FPGA_OK + * When I use the macro with a result code of FPGA_RECONF_ERROR + * Then an exception of type reconf_error is thrown + */ +TEST(except_cxx_core, assert_ok_reconf_error) { + EXPECT_THROW(ASSERT_FPGA_OK(FPGA_RECONF_ERROR), reconf_error); +} diff --git a/opae-libs/tests/opae-cxx/test_handle_cxx_core.cpp b/opae-libs/tests/opae-cxx/test_handle_cxx_core.cpp new file mode 100644 index 0000000..90cdb06 --- /dev/null +++ b/opae-libs/tests/opae-cxx/test_handle_cxx_core.cpp @@ -0,0 +1,218 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include +#include +#include +#include "gtest/gtest.h" +#include "mock/test_system.h" + +#include +#include +#include "fpga-dfl.h" +#include "intel-fpga.h" + +using namespace opae::testing; +using namespace opae::fpga::types; + +int mmio_ioctl(mock_object *m, int request, va_list argp) { + int retval = -1; + errno = EINVAL; + UNUSED_PARAM(m); + UNUSED_PARAM(request); + struct fpga_port_region_info *rinfo = + va_arg(argp, struct fpga_port_region_info *); + if (!rinfo) { + FPGA_MSG("rinfo is NULL"); + goto out_EINVAL; + } + if (rinfo->argsz != sizeof(*rinfo)) { + FPGA_MSG("wrong structure size"); + goto out_EINVAL; + } + if (rinfo->index > 1) { + FPGA_MSG("unsupported MMIO index"); + goto out_EINVAL; + } + if (rinfo->padding != 0) { + FPGA_MSG("unsupported padding"); + goto out_EINVAL; + } + rinfo->flags = FPGA_REGION_READ | FPGA_REGION_WRITE | FPGA_REGION_MMAP; + rinfo->size = 0x40000; + rinfo->offset = 0; + retval = 0; + errno = 0; +out: + return retval; + +out_EINVAL: + retval = -1; + errno = EINVAL; + goto out; +} + +class handle_cxx_core : public ::testing::TestWithParam { + protected: + handle_cxx_core() : handle_(nullptr) {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + ASSERT_EQ(fpgaInitialize(nullptr), FPGA_OK); + + tokens_ = token::enumerate({properties::get(FPGA_ACCELERATOR)}); + ASSERT_TRUE(tokens_.size() > 0); + + system_->register_ioctl_handler(FPGA_PORT_GET_REGION_INFO, mmio_ioctl); + system_->register_ioctl_handler(DFL_FPGA_PORT_GET_REGION_INFO, mmio_ioctl); + } + + virtual void TearDown() override { + tokens_.clear(); + + if (handle_) { + handle_->close(); + handle_.reset(); + } + fpgaFinalize(); + + system_->finalize(); + } + + std::vector tokens_; + handle::ptr_t handle_; + test_platform platform_; + test_system *system_; +}; + +/** + * @test open + * Given an environment with at least one accelerator
    + * When I call token::enumerate with a filter of only FPGA_ACCELERATOR
    + * And I call handle::open with a token
    + * Then I get a non-null handle
    + * And no exceptions are thrown when I release the handle and tokens
    + */ +TEST_P(handle_cxx_core, open) { + handle_ = handle::open(tokens_[0], FPGA_OPEN_SHARED); + ASSERT_NE(nullptr, handle_.get()); + ASSERT_NO_THROW(handle_->reset()); + ASSERT_NO_THROW(tokens_.clear()); +} + +/** + * @test open_null + * Given NULL as the pointer to the token, handle::open should + * throw an invalid_argument exception. + */ +TEST_P(handle_cxx_core, close_null) { + int flags = 0; + token::ptr_t tok = nullptr; + + EXPECT_THROW(handle::open(tok, flags), std::invalid_argument); +} + +/** + * @test reconfigure_null + * Given an empty bitstream, handle::reconfigure should throw + * an exception. + */ +TEST_P(handle_cxx_core, reconfigure_null) { + uint32_t slot = 0; + const uint8_t *bitstream = {}; + size_t size = 0; + int flags = 0; + + handle_ = handle::open(tokens_[0], flags); + ASSERT_NE(nullptr, handle_.get()); + + EXPECT_THROW(handle_->reconfigure(slot, bitstream, size, flags), + invalid_param); +} + +/** + * @test mmio_32 + * write_csr32 should be able to write a value and read_csr32 + * should be able to read it back. + */ +TEST_P(handle_cxx_core, mmio_32) { + int flags = 0; + uint64_t offset = 0x100; + uint32_t csr_space = 0; + uint32_t value = 10; + + handle_ = handle::open(tokens_[0], flags); + ASSERT_NE(nullptr, handle_.get()); + + ASSERT_NO_THROW(handle_->write_csr32(offset, value, csr_space)); + value = handle_->read_csr32(offset, csr_space); + EXPECT_EQ(value, 10); +} + +/** + * @test mmio_64 + * write_csr64 should be able to write a value and read_csr64 + * should be able to read it back. + */ +TEST_P(handle_cxx_core, mmio_64) { + int flags = 0; + uint64_t offset = 0x100; + uint32_t csr_space = 0; + uint64_t value = 10; + + handle_ = handle::open(tokens_[0], flags); + ASSERT_NE(nullptr, handle_.get()); + + ASSERT_NO_THROW(handle_->write_csr64(offset, value, csr_space)); + value = handle_->read_csr64(offset, csr_space); + EXPECT_EQ(value, 10); +} + +/** + * @test mmio_ptr + * Verify that handle::mmio_ptr is able to map mmio and retrieve + * the pointer. + */ +TEST_P(handle_cxx_core, mmio_ptr) { + int flags = 0; + uint64_t offset = 0x100; + uint32_t csr_space = 0; + uint8_t *h; + + handle_ = handle::open(tokens_[0], flags); + ASSERT_NE(nullptr, handle_.get()); + + ASSERT_NO_THROW(h = handle_->mmio_ptr(offset, csr_space)); + ASSERT_NE(nullptr, h); +} + +INSTANTIATE_TEST_CASE_P(handle, handle_cxx_core, + ::testing::ValuesIn(test_platform::keys(true))); diff --git a/opae-libs/tests/opae-cxx/test_object_cxx_core.cpp b/opae-libs/tests/opae-cxx/test_object_cxx_core.cpp new file mode 100644 index 0000000..3c7fa84 --- /dev/null +++ b/opae-libs/tests/opae-cxx/test_object_cxx_core.cpp @@ -0,0 +1,249 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#include + +#include +#include +#include +#include "gtest/gtest.h" +#include "mock/test_system.h" + +using namespace opae::testing; +using namespace opae::fpga::types; + +class sysobject_cxx_p : public ::testing::TestWithParam { + protected: + sysobject_cxx_p() {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + invalid_device_ = test_device::unknown(); + + ASSERT_EQ(fpgaInitialize(NULL), FPGA_OK); + + properties::ptr_t props = properties::get(FPGA_ACCELERATOR); + props->device_id = platform_.devices[0].device_id; + + tokens_ = token::enumerate({props}); + ASSERT_GT(tokens_.size(), 0); + handle_ = handle::open(tokens_[0], 0); + ASSERT_NE(handle_.get(), nullptr); + + properties::ptr_t props_dev = properties::get(FPGA_DEVICE); + props_dev->device_id = platform_.devices[0].device_id; + + tokens_dev_ = token::enumerate({props_dev}); + ASSERT_GT(tokens_dev_.size(), 0); + handle_dev_ = handle::open(tokens_dev_[0], 0); + ASSERT_NE(handle_dev_.get(), nullptr); + } + + virtual void TearDown() override { + tokens_.clear(); + tokens_dev_.clear(); + if (handle_.get()) + handle_->close(); + handle_.reset(); + handle_dev_.reset(); + fpgaFinalize(); + system_->finalize(); + } + + std::vector tokens_; + std::vector tokens_dev_; + handle::ptr_t handle_; + handle::ptr_t handle_dev_; + test_platform platform_; + test_device invalid_device_; + test_system *system_; +}; + +/** + * @btest token_object + * Given an enumerated token object + * When I get the afui_id as an object from + * the token + * And I get the object's buffer using the bytes function + * Then the normalized GUID is the same as the normalized GUID of the test + * platform. + */ +TEST_P(sysobject_cxx_p, token_object) { + auto obj = sysobject::get(tokens_[0], "afu_id"); + ASSERT_NE(obj.get(), nullptr); + auto bytes = obj->bytes(); + ASSERT_NE(bytes.size(), 0); + auto guid_read = std::string(bytes.begin(), bytes.end()); + auto afu_guid = std::string(platform_.devices[0].afu_guid); + system_->normalize_guid(guid_read); + system_->normalize_guid(afu_guid); + ASSERT_STREQ(afu_guid.c_str(), guid_read.c_str()); +} + +/** + * @btest handle_object + * Given an open handle object + * When I get the afui_id as an object from + * the handle + * And I get the object's buffer using the bytes function + * Then the normalized GUID is the same as the normalized GUID of the test + * platform. + */ +TEST_P(sysobject_cxx_p, handle_object) { + auto obj = sysobject::get(handle_, "afu_id"); + ASSERT_NE(obj.get(), nullptr); + auto bytes = obj->bytes(); + ASSERT_NE(bytes.size(), 0); + auto guid_read = std::string(bytes.begin(), bytes.end()); + auto afu_guid = std::string(platform_.devices[0].afu_guid); + system_->normalize_guid(guid_read); + system_->normalize_guid(afu_guid); + ASSERT_STREQ(afu_guid.c_str(), guid_read.c_str()); +} + +/** + * @btest handle_object_write + * Given an open handle object + * When I get a suboject from the handle + * And I write a 64-bit value to it using its write64 function + * Then no exceptions are thrown + */ +TEST_P(sysobject_cxx_p, handle_object_write) { + std::string path = "iperf/fabric/freeze";; + + if (platform_.devices[0].device_id == 0x09c4 || + platform_.devices[0].device_id == 0x09c5 || + platform_.devices[0].device_id == 0x0b30) { + path = "dperf/fabric/freeze"; + } + + auto obj = sysobject::get(handle_dev_, path); + ASSERT_NE(obj.get(), nullptr); + EXPECT_NO_THROW(obj->write64(0x1)); + EXPECT_NO_THROW(obj->write64(0x0)); +} + +/** + * @btest object_object + * Given an object from an enumerated token + * And an object from an open handle + * When I use read64 from both objects + * Then the values are the same + */ +TEST_P(sysobject_cxx_p, object_object) { + auto t_obj = sysobject::get(tokens_[0], "errors"); + ASSERT_NE(t_obj.get(), nullptr); + auto t_value = t_obj->get("errors")->read64(); + auto h_obj = sysobject::get(handle_, "errors"); + ASSERT_NE(h_obj.get(), nullptr); + auto h_value = h_obj->get("errors")->read64(); + EXPECT_EQ(t_value, h_value); + EXPECT_EQ(t_obj->get("abc").get(), nullptr); + EXPECT_EQ(h_obj->get("abc").get(), nullptr); +} + +/** + * @btest token_subobject_write + * Given an object from an enumerated token + * And a suboject from that object + * When I use write64 from the token subobject + * Then an invalid_param exception is thrown + */ +TEST_P(sysobject_cxx_p, token_subobject_write) { + auto t_obj = sysobject::get(tokens_[0], "errors"); + ASSERT_NE(t_obj.get(), nullptr); + EXPECT_THROW(t_obj->get("errors")->write64(0x100), + opae::fpga::types::invalid_param); +} + +/** + * @btest handle_subobject_write + * Given an object from an open handle + * And a suboject from that object + * When I use write64 from the token subobject + * Then no exceptions are thrown + * And the value has changed from its original value + */ +TEST_P(sysobject_cxx_p, handle_subobject_write) { + std::string path = "iperf/fabric";; + + if (platform_.devices[0].device_id == 0x09c4 || + platform_.devices[0].device_id == 0x09c5 || + platform_.devices[0].device_id == 0x0b30) { + path = "dperf/fabric"; + } + + auto h_obj = sysobject::get(handle_dev_, path); + ASSERT_NE(h_obj.get(), nullptr); + ASSERT_NO_THROW(h_obj->get("freeze")->read64(FPGA_OBJECT_SYNC)); + ASSERT_NO_THROW(h_obj->get("freeze")->write64(0x1)); + ASSERT_NO_THROW(h_obj->get("freeze")->read64(FPGA_OBJECT_SYNC)); + ASSERT_NO_THROW(h_obj->get("freeze")->write64(0x0)); + EXPECT_EQ(h_obj->get("freeze")->read64(FPGA_OBJECT_SYNC), 0x0); +} + +/** + * @btest read_bytes + * Given an enumerated token object + * And its afu_id as an object from the token + * And I read an arbitrary number of bytes from an arbitrary offset + * Then the string made from those bytes are equal to the string made + * from the test_platform afu_id using the same size and offset + */ +TEST_P(sysobject_cxx_p, read_bytes) { + // get the test platform GUID and normalize it to exclude hyphens + std::string test_guid(platform_.devices[0].afu_guid); + system_->normalize_guid(test_guid, false); + + for (int i = 0; i < test_guid.size(); ++i) { + for (int j = 1; j < test_guid.size() - i; j++) { + int offset = i; + int size = j; + ASSERT_LE(offset + size, test_guid.size()); + + auto obj = sysobject::get(tokens_[0], "afu_id"); + // get size bytes starting form the offset + auto bytes = obj->bytes(offset, size); + // make this a string + auto str1 = std::string(bytes.begin(), bytes.end()); + // make substring from the offset and size used before + auto str2 = std::string(test_guid, offset, size); + ASSERT_TRUE(std::equal(str1.begin(), str1.end(), str2.begin(), + [](char lhs, char rhs) { + return std::tolower(lhs) == std::tolower(rhs); + })); + + } + + } +} + +INSTANTIATE_TEST_CASE_P(sysobject_cxx, sysobject_cxx_p, + ::testing::ValuesIn(test_platform::platforms({ "skx-p","dcp-rc","dcp-vc" }))); + diff --git a/opae-libs/tests/opae-cxx/test_properties_cxx_core.cpp b/opae-libs/tests/opae-cxx/test_properties_cxx_core.cpp new file mode 100644 index 0000000..e04cf64 --- /dev/null +++ b/opae-libs/tests/opae-cxx/test_properties_cxx_core.cpp @@ -0,0 +1,284 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include "mock/test_system.h" +#include "gtest/gtest.h" +#include +#include +#include + +using namespace opae::testing; +using namespace opae::fpga::types; + +class properties_cxx_core : public ::testing::TestWithParam { +protected: + properties_cxx_core() : handle_(nullptr) {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + ASSERT_EQ(fpgaInitialize(nullptr), FPGA_OK); + + tokens_ = token::enumerate({properties::get(FPGA_ACCELERATOR)}); + ASSERT_TRUE(tokens_.size() > 0); + } + + virtual void TearDown() override { + tokens_.clear(); + handle_.reset(); + fpgaFinalize(); + system_->finalize(); + } + + std::vector tokens_; + handle::ptr_t handle_; + test_platform platform_; + test_system *system_; +}; + +fpga_guid guid_invalid = {0x01, 0x23, 0x45, 0x67, 0x89, 0xab, 0xcd, 0xef, + 0xf8, 0x9e, 0x43, 0x36, 0x83, 0xf9, 0x04, 0x0b}; + +const char *TEST_GUID_STR = "ae2878a7-926f-4332-aba1-2b952ad6df8e"; + +/** + * @test properties::get_no_filter + * Calling properties::get with no filter returns a properties object + * that will return all tokens when enumerated. + */ +TEST_P(properties_cxx_core, get_no_filter) { + std::vector tokens; + + tokens = token::enumerate({properties::get()}); + EXPECT_GT(tokens.size(), 0); +} + +/** + * @test properties::get_guid_valid + * Calling properties::get with a valid guid returns a properties + * object that will return a token with the same guid when enumerated. + */ +TEST_P(properties_cxx_core, get_guid_valid) { + std::vector tokens; + const char *guid = nullptr; + fpga_guid valid_guid; + + // Retrieve first platform device afu guid. + guid = platform_.devices[0].afu_guid; + ASSERT_EQ(0, uuid_parse(guid, valid_guid)); + + tokens = token::enumerate({properties::get(valid_guid)}); + EXPECT_GT(tokens.size(), 0); +} + +/** + * @test properties::get_guid_invalid + * Calling properties::get with an invalid guid returns a properties + * object that will return no tokens when enumerated. + */ +TEST_P(properties_cxx_core, get_guid_invalid) { + std::vector tokens; + + tokens = token::enumerate({properties::get(guid_invalid)}); + EXPECT_EQ(tokens.size(), 0); +} + +/** + * @test properties::get_token + * Calling properties::get with a token returns a properties object + * that will return the a token with the same attributes. + */ +TEST_P(properties_cxx_core, get_token) { + std::vector tokens; + + tokens = token::enumerate({properties::get(tokens_[0])}); + EXPECT_GT(tokens.size(), 0); +} + +/** + * @test properties::get_handle + * Calling properties::get with a handle returns a properties object + * that will return a token with the same attributes. + */ +TEST_P(properties_cxx_core, get_handle) { + std::vector tokens; + + handle_ = handle::open(tokens_[0], FPGA_OPEN_SHARED); + ASSERT_NE(nullptr, handle_.get()); + tokens = token::enumerate({properties::get(handle_)}); + EXPECT_GT(tokens.size(), 0); +} + +/** + * @test set_guid + * Given a new properties object and a valid fpga_guid object + * When I set the guid property to the fpga_guid object + * And I retrieve the same property using fpgaGetPropertiesGUID + * Then the known guid matches the one retrieved + */ +TEST_P(properties_cxx_core, set_guid) { + fpga_guid guid_in, guid_out; + auto p = properties::get(); + // set the guid to an fpga_guid + ASSERT_EQ(0, uuid_parse(TEST_GUID_STR, guid_in)); + p->guid = guid_in; + + // now check we set the guid using C APIs + ASSERT_EQ(fpgaPropertiesGetGUID(p->c_type(), &guid_out), FPGA_OK); + EXPECT_EQ(memcmp(guid_in, guid_out, sizeof(fpga_guid)), 0); +} + +/** + * @test parse_guid + * Given a new properties object + * When I set the guid property using its `parse` method + * And I retrieve the same property using fpgaGetPropertiesGUID + * Then the known guid string parsed matches the one retrieved + */ +TEST_P(properties_cxx_core, parse_guid) { + fpga_guid guid_out; + auto p = properties::get(); + // set the guid to an fpga_guid + p->guid.parse(TEST_GUID_STR); + + // now check we set the guid using C APIs + ASSERT_EQ(fpgaPropertiesGetGUID(p->c_type(), &guid_out), FPGA_OK); + char guid_str[84]; + uuid_unparse(guid_out, guid_str); + EXPECT_STREQ(TEST_GUID_STR, guid_str); +} + +/** + * @test get_guid + * Given a new properties object and a valid fpga_guid object + * When I set the guid property using fpgaPropertiesSetGUID + * And I get a pointer to the guid member variable of the property object + * Then the known guid matches the one retrieved + */ +TEST_P(properties_cxx_core, get_guid) { + fpga_guid guid_in; + auto p = properties::get(); + // set the guid using fpgaPropertiesSetGUID + uuid_parse(TEST_GUID_STR, guid_in); + fpgaPropertiesSetGUID(p->c_type(), guid_in); + + uint8_t *guid_ptr = p->guid; + ASSERT_NE(nullptr, guid_ptr); + EXPECT_EQ(memcmp(guid_in, guid_ptr, sizeof(fpga_guid)), 0); +} + +/** + * @test compare_guid + * Given a new properties object with a known guid + * When I set compare its guid with the known guid + * Then the result is true + */ +TEST_P(properties_cxx_core, compare_guid) { + fpga_guid guid_in; + auto p = properties::get(); + ASSERT_EQ(0, uuid_parse(TEST_GUID_STR, guid_in)); + EXPECT_FALSE(p->guid == guid_in); + p->guid = guid_in; + ASSERT_EQ(memcmp(p->guid.c_type(), guid_in, sizeof(fpga_guid)), 0); + EXPECT_TRUE(p->guid == guid_in); +} + +/** + * @test props_ctor_01 + * Given a new properties object with a known guid + * passed in the constructor + * When I set compare its guid with the known guid + * Then the result is true + */ +TEST_P(properties_cxx_core, props_ctor_01) { + fpga_guid guid_in; + ASSERT_EQ(0, uuid_parse(TEST_GUID_STR, guid_in)); + auto p = properties::get(guid_in); + ASSERT_EQ(memcmp(p->guid.c_type(), guid_in, sizeof(fpga_guid)), 0); + EXPECT_TRUE(p->guid == guid_in); +} + +/** + * @test set_objtype + * Given a new properties object + * When I set the object type to a known value + * Then the property is set + */ +TEST_P(properties_cxx_core, set_objtype) { + auto p = properties::get(); + p->type = FPGA_ACCELERATOR; + fpga_objtype t = p->type; + fpga_objtype other_t = + (t == FPGA_ACCELERATOR) ? FPGA_DEVICE : FPGA_ACCELERATOR; + p->type = other_t; + EXPECT_TRUE(p->type == other_t); +} + +/** + * @test get_model + * Given a properties object + * When I get the model property + * Then I get an empty string + */ +TEST_P(properties_cxx_core, get_model) { + auto p = properties::get(); + std::string model = ""; + // Model is currently not supported in libopae-c + EXPECT_THROW(model = p->model, not_supported); +} + +/** + * @test get_num_errors + * Given a properties properties object with the num_errors property set to a + * known value + * When I get the num_errors property + * Then the number is the expected value + */ +TEST_P(properties_cxx_core, get_num_errors) { + auto p = properties::get(); + p->num_errors = 9; + EXPECT_EQ(static_cast(p->num_errors), 9); +} + +/** + * @test get_segment + * Given a properties properties object with the segment property set to a + * known value + * When I get the segment property + * Then the number is the expected value + */ +TEST_P(properties_cxx_core, get_segment) { + auto p = properties::get(); + p->segment = 9090; + EXPECT_EQ(static_cast(p->segment), 9090); +} + +INSTANTIATE_TEST_CASE_P(properties, properties_cxx_core, + ::testing::ValuesIn(test_platform::keys(true))); diff --git a/opae-libs/tests/opae-cxx/test_version_cxx_core.cpp b/opae-libs/tests/opae-cxx/test_version_cxx_core.cpp new file mode 100644 index 0000000..d7c6ce7 --- /dev/null +++ b/opae-libs/tests/opae-cxx/test_version_cxx_core.cpp @@ -0,0 +1,79 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include "mock/test_system.h" +#include "gtest/gtest.h" +#include +#ifdef __cplusplus +extern "C" { +#endif + +#include "config.h" + +#ifdef __cplusplus +} +#endif + +using namespace opae::testing; +using namespace opae::fpga::types; + +/** + * @test as_struct + * + * @brief When I retrieve fpga_version information using + * version::as_struct() then the struct values match the + * constants defined in config.h + */ +TEST(version_cxx_core, as_struct) { + auto v = version::as_struct(); + EXPECT_EQ(v.major, OPAE_VERSION_MAJOR); + EXPECT_EQ(v.minor, OPAE_VERSION_MINOR); + EXPECT_EQ(v.patch, OPAE_VERSION_REVISION); +} + +/** + * @test as_string + * + * @brief When I retrieve version information using + * version::as_string() then the value returned matches + * the string constant defined in config.h + */ +TEST(version_cxx_core, as_string) { + auto v = version::as_string(); + EXPECT_STREQ(v.c_str(), OPAE_VERSION); +} + +/** + * @test build + * + * @brief When I retrieve version information using + * version::build() then the value returned matches + * the string constant defined in config.h + */ +TEST(version_cxx_core, build) { + auto v = version::build(); + EXPECT_STREQ(v.c_str(), OPAE_GIT_COMMIT_HASH); +} diff --git a/opae-libs/tests/pyopae/CMakeLists.txt b/opae-libs/tests/pyopae/CMakeLists.txt new file mode 100644 index 0000000..a583125 --- /dev/null +++ b/opae-libs/tests/pyopae/CMakeLists.txt @@ -0,0 +1,94 @@ +## Copyright(c) 2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +try_compile(SUPPORTS_EMBEDDED_PYTHON + ${CMAKE_CURRENT_BINARY_DIR} ${CMAKE_CURRENT_SOURCE_DIR}/test_embed.cpp + CMAKE_FLAGS + "-DINCLUDE_DIRECTORIES=${PYTHON_INCLUDE_DIRS};${PYBIND11_INCLUDE_DIR}" + LINK_LIBRARIES ${PYTHON_LIBRARIES} + OUTPUT_VARIABLE TRY_COMPILE_OUTPUT +) + +if (SUPPORTS_EMBEDDED_PYTHON) + add_executable(test_pyopae + ${opae-test_ROOT}/framework/mock/mock.c + test_pyopae.cpp + ${OPAE_LIBS_ROOT}/pyopae/opae.cpp + ${OPAE_LIBS_ROOT}/pyopae/pycontext.h + ${OPAE_LIBS_ROOT}/pyopae/pycontext.cpp + ${OPAE_LIBS_ROOT}/pyopae/pyproperties.h + ${OPAE_LIBS_ROOT}/pyopae/pyproperties.cpp + ${OPAE_LIBS_ROOT}/pyopae/pyhandle.h + ${OPAE_LIBS_ROOT}/pyopae/pyhandle.cpp + ${OPAE_LIBS_ROOT}/pyopae/pytoken.h + ${OPAE_LIBS_ROOT}/pyopae/pytoken.cpp + ${OPAE_LIBS_ROOT}/pyopae/pyshared_buffer.h + ${OPAE_LIBS_ROOT}/pyopae/pyshared_buffer.cpp + ${OPAE_LIBS_ROOT}/pyopae/pyevents.h + ${OPAE_LIBS_ROOT}/pyopae/pyevents.cpp + ${OPAE_LIBS_ROOT}/pyopae/pyerrors.h + ${OPAE_LIBS_ROOT}/pyopae/pyerrors.cpp + ${OPAE_LIBS_ROOT}/pyopae/pysysobject.h + ${OPAE_LIBS_ROOT}/pyopae/pysysobject.cpp) + target_compile_definitions(test_pyopae PRIVATE + OPAE_EMBEDDED) + target_include_directories(test_pyopae + PRIVATE ${PYBIND11_INCLUDE_DIR} + PRIVATE ${PYTHON_INCLUDE_DIRS} + PRIVATE ${CMAKE_CURRENT_SOURCE_DIR} + PRIVATE ${opae-test_ROOT}/framework) + + target_link_libraries(test_pyopae + PUBLIC + opae-c + opae-cxx-core + ${OPAE_TEST_LIBRARIES} + ${libjson-c_LIBRARIES} + ${PYTHON_LIBRARIES}) + + macro(add_pyopae_test pytest) + add_custom_command(TARGET test_pyopae + POST_BUILD + COMMAND ${CMAKE_COMMAND} -E copy + ${CMAKE_CURRENT_SOURCE_DIR}/${pytest} + ${CMAKE_BINARY_DIR} + ) + add_test( + NAME ${pytest} + WORKING_DIRECTORY ${CMAKE_BINARY_DIR} + COMMAND $ test ${pytest} + ) + endmacro(add_pyopae_test pytest) + + add_pyopae_test(test_properties.py) + add_pyopae_test(test_shared_buffers.py) + add_pyopae_test(test_sysobject.py) + +else(SUPPORTS_EMBEDDED_PYTHON) + message(WARNING + "Could not compile embedded Python. See errors in embed_errors.txt") + file(WRITE ${CMAKE_BINARY_DIR}/embed_errors.txt ${TRY_COMPILE_OUTPUT}) +endif(SUPPORTS_EMBEDDED_PYTHON) diff --git a/opae-libs/tests/pyopae/test_embed.cpp b/opae-libs/tests/pyopae/test_embed.cpp new file mode 100644 index 0000000..34c2aa0 --- /dev/null +++ b/opae-libs/tests/pyopae/test_embed.cpp @@ -0,0 +1,15 @@ + +#include + +#include +#include + +namespace py = pybind11; + +PYBIND11_EMBEDDED_MODULE(testembed, m) { + m.def("zero", []() { return 0;}); +} + +int main(int argc, char *argv[]) { + return 0; +} diff --git a/opae-libs/tests/pyopae/test_properties.py b/opae-libs/tests/pyopae/test_properties.py new file mode 100644 index 0000000..46455aa --- /dev/null +++ b/opae-libs/tests/pyopae/test_properties.py @@ -0,0 +1,199 @@ +# Copyright(c) 2018, Intel Corporation +# +# Redistribution and use in source and binary forms, with or without +# modification, are permitted provided that the following conditions are met: +# +# * Redistributions of source code must retain the above copyright notice, +# this list of conditions and the following disclaimer. +# * Redistributions in binary form must reproduce the above copyright notice, +# this list of conditions and the following disclaimer in the documentation +# and/or other materials provided with the distribution. +# * Neither the name of Intel Corporation nor the names of its contributors +# may be used to endorse or promote products derived from this software +# without specific prior written permission. +# +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +# AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +# IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +# ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +# LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +# CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +# SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +# INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +# CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +# ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +# POSSIBILITY OF SUCH DAMAGE. +import uuid +import unittest +NLB0 = "d8424dc4-a4a3-c413-f89e-433683f9040b" + +# pylint: disable=E0602, E0603 + +class TestProperties(unittest.TestCase): + @classmethod + def setUpClass(cls): + cls.system = mockopae.test_system() + cls.platform = mockopae.test_platform.get("skx-p") + cls.system.initialize() + cls.system.prepare_sysfs(cls.platform) + opae.fpga.initialize(None) + + @classmethod + def tearDownClass(cls): + cls.system.finalize() + + def test_set_parent(self): + props = opae.fpga.properties(type=opae.fpga.DEVICE) + toks = opae.fpga.enumerate([props]) + assert len(toks) > 0 + props2 = opae.fpga.properties(type=opae.fpga.ACCELERATOR, + parent=toks[0]) + assert props2.parent + props2 = opae.fpga.properties(type=opae.fpga.ACCELERATOR) + props2.parent = toks[0] + assert props2.parent + + def test_guid(self): + props = opae.fpga.properties(guid=NLB0) + guid_str = props.guid + guid = uuid.UUID(guid_str) + assert str(guid).lower() == NLB0 + props = opae.fpga.properties() + props.guid = NLB0 + guid_str = props.guid + guid = uuid.UUID(guid_str) + assert str(guid).lower() == NLB0 + + def test_set_objtype_accelerator(self): + props = opae.fpga.properties(type=opae.fpga.ACCELERATOR) + assert props.type == opae.fpga.ACCELERATOR + props = opae.fpga.properties(type=opae.fpga.DEVICE) + props.type = opae.fpga.ACCELERATOR + assert props.type == opae.fpga.ACCELERATOR + + def test_set_objtype_device(self): + props = opae.fpga.properties(type=opae.fpga.DEVICE) + assert props.type == opae.fpga.DEVICE + props = opae.fpga.properties(type=opae.fpga.ACCELERATOR) + props.type = opae.fpga.DEVICE + assert props.type == opae.fpga.DEVICE + + def test_set_segment(self): + props = opae.fpga.properties(segment=0x9090) + assert props.segment == 0x9090 + props.segment = 0xA1A1 + assert props.segment == 0xA1A1 + + def test_set_bus(self): + props = opae.fpga.properties(bus=0x5e) + assert props.bus == 0x5e + props.bus = 0xbe + assert props.bus == 0xbe + + def test_set_device(self): + props = opae.fpga.properties(device=0xe) + assert props.device == 0xe + props.device = 0xf + assert props.device == 0xf + + def test_set_function(self): + props = opae.fpga.properties(function=0x7) + assert props.function == 0x7 + props.function = 0x6 + assert props.function == 0x6 + + def test_set_socket_id(self): + props = opae.fpga.properties(socket_id=1) + assert props.socket_id == 1 + props.socket_id = 0 + assert props.socket_id == 0 + + def test_set_object_id(self): + props = opae.fpga.properties(object_id=0xcafe) + assert props.object_id == 0xcafe + props.object_id = 0xfade + assert props.object_id == 0xfade + + def test_set_num_errors(self): + props = opae.fpga.properties(num_errors=8) + assert props.num_errors == 8 + props.num_errors = 4 + assert props.num_errors == 4 + + def test_set_num_slots(self): + props = opae.fpga.properties(type=opae.fpga.DEVICE, + num_slots=3) + assert props.num_slots == 3 + props.num_slots = 2 + assert props.num_slots == 2 + + def test_set_bbs_id(self): + props = opae.fpga.properties(type=opae.fpga.DEVICE, + bbs_id=0xc0c0cafe) + assert props.bbs_id == 0xc0c0cafe + props.bbs_id = 0xb0b0fade + assert props.bbs_id == 0xb0b0fade + + def test_set_bbs_version(self): + props = opae.fpga.properties(type=opae.fpga.DEVICE, + bbs_version=(0, 1, 2)) + assert props.bbs_version == (0, 1, 2) + props.bbs_version = (1, 2, 3) + assert props.bbs_version == (1, 2, 3) + + def test_set_vendor_id(self): + props = opae.fpga.properties(vendor_id=0xfafa) + assert props.vendor_id == 0xfafa + props.vendor_id = 0xdada + assert props.vendor_id == 0xdada + + def test_set_device_id(self): + props = opae.fpga.properties(device_id=0xfa) + assert props.device_id == 0xfa + props.device_id = 0xda + assert props.device_id == 0xda + + @unittest.skip("model not implemented yet") + def test_set_model(self): + props = opae.fpga.properties(model="intel skxp") + assert props.model == "intel skxp" + props.model = "intel skxp 2" + assert props.model == "intel skxp 2" + + @unittest.skip("local_memory_size not implemented yet") + def test_set_local_memory_size(self): + props = opae.fpga.properties(local_memory_size=0xffff) + assert props.local_memory_size == 0xffff + props.local_memory_size = 0xaaaa + assert props.local_memory_size == 0xaaaa + + @unittest.skip("capabilities not implemented yet") + def test_set_capabilities(self): + props = opae.fpga.properties(capabilities=0xdeadbeef) + assert props.capabilities == 0xdeadbeef + props.capabilities = 0xfeebdaed + assert props.capabilities == 0xfeebdaed + + def test_set_num_mmio(self): + props = opae.fpga.properties(type=opae.fpga.ACCELERATOR, + num_mmio=4) + assert props.num_mmio == 4 + props.num_mmio = 5 + assert props.num_mmio == 5 + + def test_set_num_interrupts(self): + props = opae.fpga.properties(type=opae.fpga.ACCELERATOR, + num_interrupts=9) + assert props.num_interrupts == 9 + props.num_interrupts = 8 + assert props.num_interrupts == 8 + + def test_set_accelerator_state(self): + props = opae.fpga.properties( + type=opae.fpga.ACCELERATOR, + accelerator_state=opae.fpga.ACCELERATOR_ASSIGNED) + assert props.accelerator_state == opae.fpga.ACCELERATOR_ASSIGNED + props.accelerator_state = opae.fpga.ACCELERATOR_UNASSIGNED + assert props.accelerator_state == opae.fpga.ACCELERATOR_UNASSIGNED + + diff --git a/opae-libs/tests/pyopae/test_pyopae.cpp b/opae-libs/tests/pyopae/test_pyopae.cpp new file mode 100644 index 0000000..72948c2 --- /dev/null +++ b/opae-libs/tests/pyopae/test_pyopae.cpp @@ -0,0 +1,118 @@ +// Copyright(c) 2017-2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#include + +#include +#include +#include +#include +#include +#include "mock/test_system.h" +#include "platform/fpga_hw.h" + +namespace py = pybind11; +using namespace opae::testing; + +PYBIND11_EMBEDDED_MODULE(mopae, m) { + m.doc() = "Open Programmable Acceleration Engine"; +} + +PYBIND11_EMBEDDED_MODULE(mockopae, m) { + py::class_ pytp(m, "test_platform"); + pytp.def_static("platforms", &test_platform::platforms) + .def_static("get", &test_platform::get) + .def_static("exists", &test_platform::exists) + .def("is_mock", [](test_platform &p) { return p.mock_sysfs != nullptr; }) + .def_property_readonly("devices", + [](test_platform &p) { return p.devices; }); + ; + + py::class_ pytd(m, "test_device"); + pytd.def_property_readonly("afu_guid", + [](test_device &td) { return td.afu_guid; }) + .def_property_readonly("fme_guid", + [](test_device &td) { return td.fme_guid; }); + py::class_ pyts(m, "test_system"); + + pyts.def(py::init(&test_system::instance)) + .def("initialize", &test_system::initialize) + .def("finalize", &test_system::finalize) + .def("prepare_sysfs", &test_system::prepare_syfs) + .def("remove_sysfs", &test_system::remove_sysfs); +} + +int run_unittest(const char *testpy, py::module pymain) { + auto globals = py::globals(); + auto mock = py::module::import("mockopae"); + auto unit = py::module::import("unittest"); + auto scope = py::dict(pymain.attr("__dict__")); + globals["mockopae"] = mock; + globals["unittest"] = unit; + try { + py::eval_file(testpy, scope); + auto suite = unit.attr("TestLoader")().attr("loadTestsFromModule")(pymain); + py::dict kwargs; + kwargs["verbosity"] = 2; + auto runner = unit.attr("TextTestRunner")(**kwargs); + auto result = runner.attr("run")(suite); + return result.attr("wasSuccessful")().cast() ? 0 : 1; + } catch (py::error_already_set &ex) { + test_system::instance()->finalize(); + std::cerr << "error executing: " << testpy << " - " << ex.what() << "\n"; + ex.restore(); + } + return EXIT_FAILURE; +} + +int main(int argc, char *argv[]) { + py::scoped_interpreter guard{}; + auto locals = py::dict(); + auto globals = py::globals(); + auto mopae = py::module::import("mopae"); + auto _opae = py::module::import("_opae"); + mopae.attr("fpga") = _opae; + globals["opae"] = mopae; + if (argc > 1) { + auto pymain = py::module::import("__main__"); + if (argc > 2 && std::string(argv[1]) == "test") { + return run_unittest(argv[2], pymain); + } + py::list pyargv; + auto sys = py::module::import("sys"); + for (int i = 1; i < argc; ++i) { + pyargv.append(argv[i]); + } + sys.attr("argv") = pyargv; + try { + py::eval_file(argv[1], pymain.attr("__dict__")); + } catch (py::error_already_set &pyerr) { + if (!pyerr.matches(PyExc_SystemExit)) { + pyerr.restore(); + } + } + } + return 0; +} diff --git a/opae-libs/tests/pyopae/test_shared_buffers.py b/opae-libs/tests/pyopae/test_shared_buffers.py new file mode 100644 index 0000000..d3ec7f0 --- /dev/null +++ b/opae-libs/tests/pyopae/test_shared_buffers.py @@ -0,0 +1,86 @@ +# Copyright(c) 2018, Intel Corporation +# +# Redistribution and use in source and binary forms, with or without +# modification, are permitted provided that the following conditions are met: +# +# * Redistributions of source code must retain the above copyright notice, +# this list of conditions and the following disclaimer. +# * Redistributions in binary form must reproduce the above copyright notice, +# this list of conditions and the following disclaimer in the documentation +# and/or other materials provided with the distribution. +# * Neither the name of Intel Corporation nor the names of its contributors +# may be used to endorse or promote products derived from this software +# without specific prior written permission. +# +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +# AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +# IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +# ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +# LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +# CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +# SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +# INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +# CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +# ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +# POSSIBILITY OF SUCH DAMAGE. +import struct +import sys + +# pylint: disable=E0602, E0603 + +class TestSharedBuffer(unittest.TestCase): + @classmethod + def setUpClass(cls): + cls.system = mockopae.test_system() + cls.platform = mockopae.test_platform.get("skx-p") + cls.system.initialize() + cls.system.prepare_sysfs(cls.platform) + opae.fpga.initialize(None) + cls.props = opae.fpga.properties(type=opae.fpga.ACCELERATOR) + cls.toks = opae.fpga.enumerate([cls.props]) + assert cls.toks + cls.handle = opae.fpga.open(cls.toks[0]) + assert cls.handle + + @classmethod + def tearDownClass(cls): + cls.system.finalize() + + def test_allocate(self): + buff1 = opae.fpga.allocate_shared_buffer(self.handle, 4096) + buff2 = opae.fpga.allocate_shared_buffer(self.handle, 4096) + assert buff1 + assert buff2 + assert buff1.size() == 4096 + assert buff1.wsid() != 0 + # TODO: look into wsid in new mock system + # assert buff1.io_address() != 0 + mv = memoryview(buff1) + assert mv + assert not buff1.compare(buff2, 4096) + buff1.fill(0xAA) + buff2.fill(0xEE) + assert buff1.compare(buff2, 4096) + if sys.version_info[0] == 2: + assert mv[0] == '\xaa' + assert mv[-1] == '\xaa' + else: + assert mv[0] == 0xaa + assert mv[-1] == 0xaa + ba = bytearray(buff1) + assert ba[0] == 0xaa + buff1[42] = int(65536) + assert struct.unpack(' +#include +#include +#include +#include +#include "types_int.h" +#include "sysfs_int.h" +#include "metrics/metrics_int.h" +#include "metrics/vector.h" +#include "opae_int.h" +#include "xfpga.h" +} + +#include +#include + +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include "gtest/gtest.h" +#include "intel-fpga.h" +#include "mock/test_system.h" + +#undef FPGA_MSG +#define FPGA_MSG(fmt, ...) \ + printf("MOCK " fmt "\n", ## __VA_ARGS__) + +extern "C" { +int xfpga_plugin_initialize(void); +int xfpga_plugin_finalize(void); +} + +using namespace opae::testing; + +int mmio_ioctl(mock_object *m, int request, va_list argp) { + int retval = -1; + errno = EINVAL; + UNUSED_PARAM(m); + UNUSED_PARAM(request); + struct fpga_port_region_info *rinfo = + va_arg(argp, struct fpga_port_region_info *); + if (!rinfo) { + FPGA_MSG("rinfo is NULL"); + goto out_EINVAL; + } + if (rinfo->argsz != sizeof(*rinfo)) { + FPGA_MSG("wrong structure size"); + goto out_EINVAL; + } + if (rinfo->index > 1) { + FPGA_MSG("unsupported MMIO index"); + goto out_EINVAL; + } + if (rinfo->padding != 0) { + FPGA_MSG("unsupported padding"); + goto out_EINVAL; + } + rinfo->flags = FPGA_REGION_READ | FPGA_REGION_WRITE | FPGA_REGION_MMAP; + rinfo->size = 0x40000; + rinfo->offset = 0; + retval = 0; + errno = 0; + +out: + return retval; + +out_EINVAL: + retval = -1; + errno = EINVAL; + goto out; +} + +class afu_metrics_c_p : public ::testing::TestWithParam { + protected: + afu_metrics_c_p() + : tokens_{{nullptr, nullptr}}, + handle_(nullptr) {} + + void create_metric_bbb_dfh(); + void create_metric_bbb_csr(); + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + ASSERT_EQ(xfpga_plugin_initialize(), FPGA_OK); + ASSERT_EQ(xfpga_fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), FPGA_OK); + num_matches_ = 0; + ASSERT_EQ(xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), FPGA_OK); + ASSERT_GT(num_matches_, 0); + ASSERT_EQ(xfpga_fpgaOpen(tokens_[0], &handle_, 0), FPGA_OK); + system_->register_ioctl_handler(FPGA_PORT_GET_REGION_INFO, mmio_ioctl); + which_mmio_ = 0; + uint64_t *mmio_ptr = nullptr; + EXPECT_EQ(xfpga_fpgaMapMMIO(handle_, which_mmio_, &mmio_ptr), FPGA_OK); + EXPECT_NE(mmio_ptr, nullptr); + } + + virtual void TearDown() override { + EXPECT_EQ(xfpga_fpgaUnmapMMIO(handle_, which_mmio_), FPGA_OK); + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + if (handle_) { + EXPECT_EQ(xfpga_fpgaClose(handle_), FPGA_OK); + handle_ = nullptr; + } + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(xfpga_fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + xfpga_plugin_finalize(); + system_->finalize(); + } + uint32_t which_mmio_; + std::array tokens_; + fpga_handle handle_; + fpga_properties filter_; + uint32_t num_matches_; + test_platform platform_; + test_system *system_; +}; + +void afu_metrics_c_p::create_metric_bbb_dfh() { + struct DFH dfh; + dfh.id = 0x1; + dfh.revision = 0; + dfh.next_header_offset = 0x100; + dfh.eol = 1; + dfh.reserved = 0; + dfh.type = 0x1; + + printf("------dfh.csr = %lx \n", dfh.csr); + // AFU DFH + EXPECT_EQ(FPGA_OK, xfpga_fpgaWriteMMIO64(handle_, 0, 0x0, dfh.csr)); + // AFU GUID + EXPECT_EQ(FPGA_OK, + xfpga_fpgaWriteMMIO64(handle_, 0, 0x8, 0xf89e433683f9040b)); + EXPECT_EQ(FPGA_OK, + xfpga_fpgaWriteMMIO64(handle_, 0, 0x10, 0xd8424dc4a4a3c413)); + + struct DFH dfh_bbb = {0}; + + dfh_bbb.type = 0x2; + dfh_bbb.id = 0x1; + dfh_bbb.revision = 0; + dfh_bbb.next_header_offset = 0x000; + dfh_bbb.eol = 1; + dfh_bbb.reserved = 0; + printf("------dfh_bbb.csr = %lx \n", dfh_bbb.csr); + + // Metrics DFH + EXPECT_EQ(FPGA_OK, xfpga_fpgaWriteMMIO64(handle_, 0, 0x100, dfh_bbb.csr)); + // Metrics GUID + EXPECT_EQ(FPGA_OK, + xfpga_fpgaWriteMMIO64(handle_, 0, 0x108, 0x9D73E8F258E9E3D7)); + EXPECT_EQ(FPGA_OK, + xfpga_fpgaWriteMMIO64(handle_, 0, 0x110, 0x87816958C1484CD0)); +} + +void afu_metrics_c_p::create_metric_bbb_csr() { + struct metric_bbb_group group_csr = {0}; + struct metric_bbb_value value_csr = {0}; + + group_csr.eol = 0; + group_csr.group_id = 0x2; + group_csr.units = 0x2; + group_csr.next_group_offset = 0x30; + + EXPECT_EQ(FPGA_OK, xfpga_fpgaWriteMMIO64(handle_, 0, 0x120, group_csr.csr)); + printf("------group_csr.csr = %lx \n", group_csr.csr); + + value_csr.eol = 0x0; + value_csr.counter_id = 0xa; + value_csr.value = 0x99; + + EXPECT_EQ(FPGA_OK, xfpga_fpgaWriteMMIO64(handle_, 0, 0x128, value_csr.csr)); + printf("------value_csr.csr = %lx \n", value_csr.csr); + + value_csr.eol = 0x1; + value_csr.counter_id = 0xb; + value_csr.value = 0x89; + + EXPECT_EQ(FPGA_OK, xfpga_fpgaWriteMMIO64(handle_, 0, 0x130, value_csr.csr)); + printf("------value_csr.csr = %lx \n", value_csr.csr); + + // second group + group_csr.eol = 1; + group_csr.group_id = 0x3; + group_csr.units = 0x3; + group_csr.next_group_offset = 0x0; + + EXPECT_EQ(FPGA_OK, + xfpga_fpgaWriteMMIO64(handle_, 0, 0x120 + 0x30, group_csr.csr)); + printf("------group_csr.csr = %lx \n", group_csr.csr); + // second value + value_csr.eol = 0x0; + value_csr.counter_id = 0xc; + value_csr.value = 0x79; + + EXPECT_EQ(FPGA_OK, + xfpga_fpgaWriteMMIO64(handle_, 0, 0x120 + 0x38, value_csr.csr)); + printf("------value_csr.csr = %lx \n", value_csr.csr); + + value_csr.eol = 0x1; + value_csr.counter_id = 0xd; + value_csr.value = 0x69; + + EXPECT_EQ(FPGA_OK, + xfpga_fpgaWriteMMIO64(handle_, 0, 0x120 + 0x40, value_csr.csr)); + printf("------value_csr.csr = %lx \n", value_csr.csr); +} + +TEST_P(afu_metrics_c_p, test_afu_metrics_01) { + create_metric_bbb_dfh(); + uint64_t offset; + // Valid discover + EXPECT_EQ(FPGA_OK, discover_afu_metrics_feature(handle_, &offset)); + + // NULL Input parameters + EXPECT_NE(FPGA_OK, discover_afu_metrics_feature(handle_, NULL)); + + // NULL Input parameters + EXPECT_NE(FPGA_OK, discover_afu_metrics_feature(NULL, &offset)); +} + +TEST_P(afu_metrics_c_p, test_afu_metrics_02) { + uint64_t metric_id = 0; + fpga_metric_vector vector; + + uint64_t offset; + create_metric_bbb_dfh(); + create_metric_bbb_csr(); + + EXPECT_EQ(FPGA_OK, discover_afu_metrics_feature(handle_, &offset)); + + EXPECT_EQ(FPGA_OK, fpga_vector_init(&vector)); + EXPECT_EQ(FPGA_OK, enum_afu_metrics(handle_, &vector, &metric_id, offset)); + + // NULL input + EXPECT_NE(FPGA_OK, enum_afu_metrics(NULL, &vector, &metric_id, offset)); + + EXPECT_NE(FPGA_OK, enum_afu_metrics(handle_, NULL, &metric_id, offset)); + + EXPECT_NE(FPGA_OK, enum_afu_metrics(handle_, &vector, NULL, offset)); + + EXPECT_EQ(FPGA_OK, fpga_vector_free(&vector)); +} + +TEST_P(afu_metrics_c_p, test_afu_metrics_03) { + uint64_t metric_id = 0; + fpga_metric_vector vector; + + uint64_t offset; + create_metric_bbb_dfh(); + create_metric_bbb_csr(); + + EXPECT_EQ(FPGA_OK, discover_afu_metrics_feature(handle_, &offset)); + + EXPECT_EQ(FPGA_OK, fpga_vector_init(&vector)); + EXPECT_EQ(FPGA_OK, + add_afu_metrics_vector(&vector, &metric_id, 0x1234, 0x5678, 0x100)); + + // NULL input + EXPECT_NE(FPGA_OK, + add_afu_metrics_vector(NULL, &metric_id, 0x1234, 0x5678, 0x100)); + + EXPECT_NE(FPGA_OK, + add_afu_metrics_vector(&vector, NULL, 0x1234, 0x5678, 0x100)); + + EXPECT_EQ(FPGA_OK, fpga_vector_free(&vector)); +} + +TEST_P(afu_metrics_c_p, test_afu_metrics_04) { + fpga_metric_vector vector; + uint64_t offset; + + create_metric_bbb_dfh(); + create_metric_bbb_csr(); + + struct fpga_metric fpga_metric; + + EXPECT_EQ(FPGA_OK, discover_afu_metrics_feature(handle_, &offset)); + + EXPECT_EQ(FPGA_OK, fpga_vector_init(&vector)); + EXPECT_NE(FPGA_OK, get_afu_metric_value(handle_, &vector, 0x1, &fpga_metric)); + + // NULL input + EXPECT_NE(FPGA_OK, get_afu_metric_value(NULL, &vector, 0x1, &fpga_metric)); + + EXPECT_NE(FPGA_OK, get_afu_metric_value(handle_, NULL, 0x1, &fpga_metric)); + + EXPECT_NE(FPGA_OK, get_afu_metric_value(handle_, &vector, 0x1, NULL)); + + EXPECT_EQ(FPGA_OK, fpga_vector_free(&vector)); +} + +INSTANTIATE_TEST_CASE_P(afu_metrics_c, afu_metrics_c_p, + ::testing::ValuesIn(test_platform::mock_platforms({ "skx-p", "dcp-rc","dcp-vc" }))); diff --git a/opae-libs/tests/xfpga/test_bmc_c.cpp b/opae-libs/tests/xfpga/test_bmc_c.cpp new file mode 100644 index 0000000..b459a75 --- /dev/null +++ b/opae-libs/tests/xfpga/test_bmc_c.cpp @@ -0,0 +1,559 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +extern "C" { + +#include +#include +#include +#include +#include "opae_int.h" +#include "types_int.h" +} + +#include +#include + +#include +#include +#include +#include +#include +#include +#include +#include +#include "gtest/gtest.h" +#include "metrics/bmc/bmc.h" +#include "metrics/bmc/bmc_ioctl.h" +#include "metrics/bmc/bmcdata.h" +#include "metrics/bmc/bmcinfo.h" +#include "sysfs_int.h" +#include "mock/test_system.h" +#include "mock/test_utils.h" +#include "token_list_int.h" +#include "xfpga.h" + +extern "C" { +int xfpga_plugin_initialize(void); +int xfpga_plugin_finalize(void); +} + +using namespace opae::testing; + +class bmc_c_p : public ::testing::TestWithParam { + protected: + bmc_c_p() + : tokens_{{nullptr, nullptr}}, + handle_(nullptr) {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + ASSERT_EQ(xfpga_plugin_initialize(), FPGA_OK); + + ASSERT_EQ(xfpga_fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), FPGA_OK); + ASSERT_GT(num_matches_, 0); + ASSERT_EQ(xfpga_fpgaOpen(tokens_[0], &handle_, 0), FPGA_OK); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(xfpga_fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + if (handle_ != nullptr) { + EXPECT_EQ(xfpga_fpgaClose(handle_), FPGA_OK); + handle_ = nullptr; + } + xfpga_plugin_finalize(); + system_->finalize(); + } + + fpga_result write_sysfs_file(fpga_token token, const char *file, void *buf, + size_t count); + + std::array tokens_; + fpga_handle handle_; + fpga_properties filter_; + uint32_t num_matches_; + test_platform platform_; + test_system *system_; +}; + +fpga_result bmc_c_p::write_sysfs_file(fpga_token token, const char *file, + void *buf, size_t count) { + fpga_result res = FPGA_OK; + char sysfspath[SYSFS_PATH_MAX] = { 0, }; + int fd = 0; + size_t len; + + struct _fpga_token *tok = (struct _fpga_token *)token; + if (FPGA_TOKEN_MAGIC != tok->magic) { + return FPGA_INVALID_PARAM; + } + + len = strnlen(tok->sysfspath, sizeof(sysfspath) - 1); + strncpy(sysfspath, tok->sysfspath, len + 1); + strncat(sysfspath, "/", 2); + len = strnlen(file, sizeof(sysfspath) - (len + 1)); + strncat(sysfspath, file, len + 1); + + glob_t pglob; + int gres = glob(sysfspath, GLOB_NOSORT, NULL, &pglob); + if ((gres) || (1 != pglob.gl_pathc)) { + globfree(&pglob); + return FPGA_NOT_FOUND; + } + fd = open(pglob.gl_pathv[0], O_WRONLY); + globfree(&pglob); + if (fd < 0) { + printf("open faild \n"); + return FPGA_NOT_FOUND; + } + + ssize_t total_written = eintr_write(fd, buf, count); + printf("count %ld \n", count); + printf("total_written %ld \n", total_written); + + if (total_written == 0) { + close(fd); + printf("total_written faild \n"); + return FPGA_INVALID_PARAM; + } + + close(fd); + + return res; +} + +/** + * @test bmc + * @brief Tests: bmcGetLastResetCause, + *....................bmcGetFirmwareVersion + *....................bmcGetLastPowerdownCause functions + * @details Validates bmc reset cause ,power down cause + *.....................bmc version + * + */ +TEST_P(bmc_c_p, test_bmc_1) { + uint32_t version = 0; + char *string = NULL; + + // Get Reset & Power down cause + + EXPECT_EQ(bmcGetLastResetCause(tokens_[0], &string), FPGA_OK); + if (string) { + free(string); + string = NULL; + } + EXPECT_NE(bmcGetLastResetCause(tokens_[0], NULL), FPGA_OK); + + EXPECT_EQ(bmcGetLastPowerdownCause(tokens_[0], &string), FPGA_OK); + if (string) { + free(string); + string = NULL; + } + EXPECT_NE(bmcGetLastPowerdownCause(tokens_[0], NULL), FPGA_OK); + + // Get firmware version + EXPECT_EQ(bmcGetFirmwareVersion(tokens_[0], &version), FPGA_OK); + printf("bmc version=%d \n", version); + + EXPECT_NE(bmcGetFirmwareVersion(tokens_[0], NULL), FPGA_OK); +} + +/** + * @test bmc + * @brief Tests: bmcLoadSDRs, + *....................bmcReadSensorValues, + *....................bmcGetSDRDetails, + *....................bmcGetSensorReading, + *....................rawFromDouble, + *....................bmcDestroySensorValues, + *....................bmcDestroySDRs functions + * @details Validates bmc load SDR and Read sensor values + * + */ +TEST_P(bmc_c_p, test_bmc_2) { + bmc_sdr_handle sdrs = NULL; + bmc_values_handle values = NULL; + uint32_t num_sensors = 0; + uint32_t num_values = 0; + uint32_t i = 0; + uint32_t is_valid = 0; + double tmp = 0; + uint8_t raw = 0; + sdr_details details; + + memset(&details, 0, sizeof(sdr_details)); + + // Load SDR + EXPECT_EQ(bmcLoadSDRs(tokens_[0], &sdrs, &num_sensors), FPGA_OK); + EXPECT_EQ(bmcReadSensorValues(sdrs, &values, &num_values), FPGA_OK); + + // Read sensor details & value + for (i = 0; i < num_sensors; i++) { + EXPECT_EQ(bmcGetSDRDetails(values, i, &details), FPGA_OK); + EXPECT_EQ(bmcGetSensorReading(values, i, &is_valid, &tmp), FPGA_OK); + + Values detail; + memset(&detail, 0, sizeof(detail)); + EXPECT_EQ(rawFromDouble(&detail, tmp, &raw), FPGA_OK); + + detail.result_exp = 2; + EXPECT_EQ(rawFromDouble(&detail, tmp, &raw), FPGA_OK); + + detail.result_exp = -2; + EXPECT_EQ(rawFromDouble(&detail, tmp, &raw), FPGA_OK); + } + + // Destroy Sensor values & SDR + EXPECT_EQ(bmcDestroySensorValues(&values), FPGA_OK); + EXPECT_EQ(bmcDestroySDRs(&sdrs), FPGA_OK); +} + +/** + * @test bmc + * @brief Tests: bmcThresholdsTripped, + *....................bmcDestroyTripped functions + * @details Validates bmc threshold trip + * + */ +TEST_P(bmc_c_p, test_bmc_3) { + bmc_sdr_handle sdrs = NULL; + bmc_values_handle values = NULL; + uint32_t num_sensors = 0; + uint32_t num_values = 0; + tripped_thresholds *tripped = NULL; + uint32_t num_tripped = 0; + sdr_details details; + + memset(&details, 0, sizeof(details)); + // Load SDR + EXPECT_EQ(bmcLoadSDRs(tokens_[0], &sdrs, &num_sensors), FPGA_OK); + EXPECT_EQ(bmcReadSensorValues(sdrs, &values, &num_values), FPGA_OK); + + // Get threshold trip point + EXPECT_EQ(bmcThresholdsTripped(values, &tripped, &num_tripped), FPGA_OK); + printf("num_tripped = %d \n", num_tripped); + + struct _bmc_values *vals = (struct _bmc_values *)values; + for (uint32_t i = 0; i < vals->num_records; i++) { + vals->contents[i].threshold_events._value = 1; + } + + // Get threshold trip point + EXPECT_EQ(bmcThresholdsTripped(values, &tripped, &num_tripped), FPGA_OK); + printf("num_tripped = %d \n", num_tripped); + + // Destroy Threshold + EXPECT_EQ(bmcDestroyTripped(tripped), FPGA_OK); + + EXPECT_EQ(bmcDestroySensorValues(&values), FPGA_OK); + EXPECT_EQ(bmcDestroySDRs(&sdrs), FPGA_OK); +} + +/** + * @test bmc + * @brief Tests: bmcSetHWThresholds, + *..................._bmcGetThreshold + *..................._bmcGetThreshold + *................. .fill_set_request fucntions + * @details Validates bmc set and get thresholds + * + */ +TEST_P(bmc_c_p, test_bmc_4) { + bmc_sdr_handle sdrs = NULL; + bmc_values_handle values = NULL; + uint32_t num_sensors = 0; + uint32_t num_values = 0; + sdr_details details; + + memset(&details, 0, sizeof(details)); + // Load SDR + EXPECT_EQ(bmcLoadSDRs(tokens_[0], &sdrs, &num_sensors), FPGA_OK); + EXPECT_EQ(bmcReadSensorValues(sdrs, &values, &num_values), FPGA_OK); + + threshold_list thresh; + + memset(&thresh, 0, sizeof(thresh)); + thresh.upper_nr_thresh.is_valid = 1; + thresh.upper_nr_thresh.value = 20; + + EXPECT_NE(bmcSetHWThresholds(sdrs, 1, &thresh), FPGA_OK); + + // Destroy sensor value and SDR + EXPECT_EQ(bmcDestroySensorValues(&values), FPGA_OK); + EXPECT_EQ(bmcDestroySDRs(&sdrs), FPGA_OK); + + // Set & Get threshold + bmc_get_thresh_response thres; + _bmcGetThreshold(1, 1, &thres); + + bmc_set_thresh_request req; + _bmcSetThreshold(1, 1, &req); + + Values vals; + memset(&vals, 0, sizeof(vals)); + fill_set_request(&vals, &thresh, &req); + + thresh.upper_nr_thresh.is_valid = true; + thresh.upper_c_thresh.is_valid = true; + thresh.upper_nc_thresh.is_valid = true; + thresh.lower_nr_thresh.is_valid = true; + thresh.lower_c_thresh.is_valid = true; + thresh.lower_nc_thresh.is_valid = true; + + fill_set_request(&vals, &thresh, &req); + + thresh.upper_nr_thresh.is_valid = false; + thresh.upper_c_thresh.is_valid = false; + thresh.upper_nc_thresh.is_valid = false; + thresh.lower_nr_thresh.is_valid = false; + thresh.lower_c_thresh.is_valid = false; + thresh.lower_nc_thresh.is_valid = false; + + fill_set_request(&vals, &thresh, &req); + + thresh.upper_nr_thresh.is_valid = false; + fill_set_request(&vals, &thresh, &req); +} + +/** + * @test bmc + * @brief Tests: bmcGetLastResetCause + * @details Validates reset cause + * + */ +TEST_P(bmc_c_p, test_bmc_5) { + uint32_t tot_bytes_ret = 0; + char *string = NULL; + void *buf = NULL; + + read_sysfs_file( + tokens_[0], + (const char *)"/sys/class/fpga/intel-fpga-dev.0/intel-fpga-fme.0/", + (void **)&buf, &tot_bytes_ret); + + // write to reset cause + reset_cause reset; + memset(&reset, 0, sizeof(reset_cause)); + reset.completion_code = 1; + write_sysfs_file(tokens_[0], SYSFS_RESET_FILE, (void *)(&reset), + sizeof(reset_cause)); + + EXPECT_NE(bmcGetLastResetCause(tokens_[0], &string), FPGA_OK); + printf("string= %s", string); + if (string) { + free(string); + string = NULL; + } + + reset.completion_code = 0; + reset.reset_cause = CHIP_RESET_CAUSE_EXTRST; + write_sysfs_file(tokens_[0], SYSFS_RESET_FILE, (void *)(&reset), + sizeof(reset_cause)); + EXPECT_EQ(bmcGetLastResetCause(tokens_[0], &string), FPGA_OK); + printf("string= %s", string); + if (string) { + free(string); + string = NULL; + } + + reset.reset_cause = CHIP_RESET_CAUSE_BOD_IO; + write_sysfs_file(tokens_[0], SYSFS_RESET_FILE, (void *)(&reset), + sizeof(reset_cause)); + EXPECT_EQ(bmcGetLastResetCause(tokens_[0], &string), FPGA_OK); + printf("string= %s", string); + if (string) { + free(string); + string = NULL; + } + + reset.reset_cause = CHIP_RESET_CAUSE_OCD; + write_sysfs_file(tokens_[0], SYSFS_RESET_FILE, (void *)(&reset), + sizeof(reset_cause)); + EXPECT_EQ(bmcGetLastResetCause(tokens_[0], &string), FPGA_OK); + printf("string= %s", string); + if (string) { + free(string); + string = NULL; + } + + reset.reset_cause = CHIP_RESET_CAUSE_POR; + write_sysfs_file(tokens_[0], SYSFS_RESET_FILE, (void *)(&reset), + sizeof(reset_cause)); + EXPECT_EQ(bmcGetLastResetCause(tokens_[0], &string), FPGA_OK); + printf("string= %s", string); + if (string) { + free(string); + string = NULL; + } + + reset.reset_cause = CHIP_RESET_CAUSE_SOFT; + write_sysfs_file(tokens_[0], SYSFS_RESET_FILE, (void *)(&reset), + sizeof(reset_cause)); + EXPECT_EQ(bmcGetLastResetCause(tokens_[0], &string), FPGA_OK); + printf("string= %s", string); + if (string) { + free(string); + string = NULL; + } + + reset.reset_cause = CHIP_RESET_CAUSE_SPIKE; + write_sysfs_file(tokens_[0], SYSFS_RESET_FILE, (void *)(&reset), + sizeof(reset_cause)); + EXPECT_EQ(bmcGetLastResetCause(tokens_[0], &string), FPGA_OK); + printf("string= %s", string); + if (string) { + free(string); + string = NULL; + } + + reset.reset_cause = CHIP_RESET_CAUSE_WDT; + write_sysfs_file(tokens_[0], SYSFS_RESET_FILE, (void *)(&reset), + sizeof(reset_cause)); + EXPECT_EQ(bmcGetLastResetCause(tokens_[0], &string), FPGA_OK); + printf("string= %s", string); + if (string) { + free(string); + string = NULL; + } +} + +/** + * @test bmc + * @brief Tests: bmcGetLastPowerdownCause + *...................bmcGetFirmwareVersion functions + * @details Validates power down cause & FW version + * + */ +TEST_P(bmc_c_p, test_bmc_6) { + powerdown_cause reset; + char *string = NULL; + device_id dev_id; + + memset(&reset, 0, sizeof(powerdown_cause)); + memset(&dev_id, 0, sizeof(device_id)); + reset.completion_code = 1; + write_sysfs_file(tokens_[0], SYSFS_PWRDN_FILE, (void *)(&reset), + sizeof(powerdown_cause)); + EXPECT_NE(bmcGetLastPowerdownCause(tokens_[0], &string), FPGA_OK); + if (string) { + printf("string= %s", string); + free(string); + string = NULL; + } + + dev_id.completion_code = 1; + uint32_t version; + write_sysfs_file(tokens_[0], SYSFS_DEVID_FILE, (void *)(&dev_id), + sizeof(device_id)); + EXPECT_NE(bmcGetFirmwareVersion(tokens_[0], &version), FPGA_OK); +} + +/** + * @test bmc + * @brief Tests: bmc_build_values + * @details Validates build values + * + */ +TEST_P(bmc_c_p, test_bmc_7) { + sensor_reading reading; + sdr_header header; + sdr_key key; + sdr_body body; + Values *vals = NULL; + + memset(&reading, 0, sizeof(sensor_reading)); + memset(&key, 0, sizeof(sdr_key)); + memset(&header, 0, sizeof(sdr_header)); + memset(&body, 0, sizeof(sdr_body)); + + // build bmc values + reading.sensor_validity.sensor_state.sensor_scanning_disabled = true; + vals = bmc_build_values(&reading, &header, &key, &body); + if (vals) { + free(vals->name); + free(vals); + vals = NULL; + } + + reading.sensor_validity.sensor_state.sensor_scanning_disabled = false; + reading.sensor_validity.sensor_state.event_messages_disabled = true; + vals = bmc_build_values(&reading, &header, &key, &body); + if (vals) { + free(vals->name); + free(vals); + vals = NULL; + } + + reading.sensor_validity.sensor_state.sensor_scanning_disabled = false; + reading.sensor_validity.sensor_state.event_messages_disabled = false; + vals = bmc_build_values(&reading, &header, &key, &body); + if (vals) { + free(vals->name); + free(vals); + vals = NULL; + } + + body.id_string_type_length_code.bits.format = ASCII_8; + body.id_string_type_length_code.bits.len_in_characters = 0; + vals = bmc_build_values(&reading, &header, &key, &body); + if (vals) { + free(vals->name); + free(vals); + vals = NULL; + } + + body.sensor_units_1.bits.analog_data_format = 0x3; + vals = bmc_build_values(&reading, &header, &key, &body); + if (vals) { + free(vals->name); + free(vals); + vals = NULL; + } + + body.sensor_units_2 = 0xff; + vals = bmc_build_values(&reading, &header, &key, &body); + if (vals) { + free(vals->name); + free(vals); + vals = NULL; + } +} + +INSTANTIATE_TEST_CASE_P(bmc_c, bmc_c_p, + ::testing::ValuesIn(test_platform::mock_platforms({"dcp-rc"}))); diff --git a/opae-libs/tests/xfpga/test_buffer_c.cpp b/opae-libs/tests/xfpga/test_buffer_c.cpp new file mode 100644 index 0000000..103a48f --- /dev/null +++ b/opae-libs/tests/xfpga/test_buffer_c.cpp @@ -0,0 +1,438 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#include + +extern "C" { + fpga_result buffer_allocate(void*,uint64_t,int); + fpga_result buffer_release(void*,uint64_t); + int xfpga_plugin_initialize(void); + int xfpga_plugin_finalize(void); +} + +#include "error_int.h" +#include "common_int.h" +#include +#include "xfpga.h" +#include "gtest/gtest.h" +#include "mock/test_system.h" +#include "intel-fpga.h" +#include "fpga-dfl.h" +#include +#include +#include "types_int.h" +#include +#include +#include +#include +#include + + +#define NLB_DSM_SIZE (2 * 1024 * 1024) +#define KB 1024 +#define MB (1024 * KB) +#define GB (1024UL * MB) +#define FPGA_MOCK_IOVA 0xDECAFBADDEADBEEF +#undef FPGA_MSG +#define FPGA_MSG(fmt, ...) \ + printf("MOCK " fmt "\n", ## __VA_ARGS__) + +#pragma pack(push, 1) +struct buffer_params { + fpga_result result; + size_t size; + int flags; +}; +#pragma pack(pop) + + + +using namespace opae::testing; + +int dma_map_ioctl(mock_object * m, int request, va_list argp){ + UNUSED_PARAM(m); + UNUSED_PARAM(request); + int retval = -1; + errno = EINVAL; + struct fpga_port_dma_map *dma_map = va_arg(argp, struct fpga_port_dma_map *); + if (!dma_map) { + FPGA_MSG("dma_map is NULL"); + goto out_EINVAL; + } + if (dma_map->argsz != sizeof(*dma_map)) { + FPGA_MSG("wrong structure size"); + goto out_EINVAL; + } + if (!dma_map->user_addr) { + FPGA_MSG("mapping address is NULL"); + goto out_EINVAL; + } + /* TODO: check alignment */ + if (dma_map->length == 0) { + FPGA_MSG("mapping size is 0"); + goto out_EINVAL; + } + dma_map->iova = FPGA_MOCK_IOVA; /* return something */ +out: + return retval; + +out_EINVAL: + retval = -1; + errno = EINVAL; + goto out; +} + +int dma_unmap_ioctl(mock_object * m, int request, va_list argp){ + UNUSED_PARAM(m); + UNUSED_PARAM(request); + int retval = -1; + errno = EINVAL; + struct fpga_port_dma_unmap *dma_unmap = va_arg(argp, struct fpga_port_dma_unmap *); + if (!dma_unmap) { + FPGA_MSG("dma_unmap is NULL"); + goto out_EINVAL; + } + if (dma_unmap->argsz != sizeof(*dma_unmap)) { + FPGA_MSG("wrong structure size"); + goto out_EINVAL; + } + if (dma_unmap->iova != FPGA_MOCK_IOVA) { + FPGA_MSG("unexpected IOVA (0x%llx)", dma_unmap->iova); + goto out_EINVAL; + } + retval = 0; + errno = 0; +out: + return retval; + +out_EINVAL: + retval = -1; + errno = EINVAL; + goto out; +} + + +class buffer_prepare : public ::testing::TestWithParam> { + protected: + buffer_prepare() + : tokens_{{nullptr, nullptr}}, + handle_(nullptr) {} + + virtual void SetUp() override { + auto tpl = GetParam(); + std::string platform_key = std::get<0>(tpl); + ASSERT_TRUE(test_platform::exists(platform_key)); + platform_ = test_platform::get(platform_key); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + ASSERT_EQ(xfpga_plugin_initialize(), FPGA_OK); + ASSERT_EQ(xfpga_fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), FPGA_OK); + ASSERT_EQ(xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), FPGA_OK); + ASSERT_GT(num_matches_, 0); + ASSERT_EQ(xfpga_fpgaOpen(tokens_[0], &handle_, 0), FPGA_OK); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(FPGA_OK,xfpga_fpgaDestroyToken(&t)); + t = nullptr; + } + } + + if (handle_ != nullptr) { + EXPECT_EQ(xfpga_fpgaClose(handle_), FPGA_OK); + handle_ = nullptr; + } + + xfpga_plugin_finalize(); + system_->finalize(); + } + + std::array tokens_; + fpga_handle handle_; + fpga_properties filter_; + uint32_t num_matches_; + test_platform platform_; + test_system *system_; +}; + +/** + * @test PrepPre2MB01 + * + * @brief When the parameters are valid and the drivers are loaded: + * with pre-allocated buffer, fpgaPrepareBuffer must + * allocate a shared memory buffer. fpgaReleaseBuffer must + * release a shared memory buffer. + * + */ +TEST_P(buffer_prepare, PrepPre2MB01) { + uint64_t buf_len; + uint64_t* buf_addr = nullptr; + uint64_t wsid; + + // Allocate buffer in MB range + buf_len = 2 * 1024 * 1024; + buf_addr = (uint64_t*)mmap(ADDR, buf_len, PROTECTION, FLAGS_2M, 0, 0); + EXPECT_EQ(FPGA_OK, xfpga_fpgaPrepareBuffer(handle_, buf_len, (void**)&buf_addr, &wsid, + FPGA_BUF_PREALLOCATED)); + + // Release buffer in MB range + EXPECT_EQ(FPGA_OK, xfpga_fpgaReleaseBuffer(handle_, wsid)); + + // buf_addr was preallocated, do not touch it + ASSERT_NE(buf_addr, (void*)nullptr); + munmap(buf_addr, buf_len); +} + +TEST_P(buffer_prepare, prepare_buf_err) { + uint64_t buf_len = 1024; + uint64_t* buf_addr = nullptr; + uint64_t wsid; + int flags = 0; + uint64_t *ioaddr = nullptr; + uint64_t* invalid_buf_addr = nullptr; + + // NULL Handle + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaPrepareBuffer(nullptr, 0, (void**) &buf_addr, &wsid, 0)); + + // NULL wsid + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaPrepareBuffer(handle_, 0, (void**) &buf_addr, nullptr, flags)); + + // Invlaid Flags + flags = 0x100; + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaPrepareBuffer(handle_, buf_len, (void**) &buf_addr, &wsid, flags)); + + // Buffer lenth is zero + flags = FPGA_BUF_PREALLOCATED; + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaPrepareBuffer(handle_, 0, (void**) &buf_addr, &wsid, flags)); + + // Not Page aligned buffer + buf_len = 11247; + flags = FPGA_BUF_PREALLOCATED; + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaPrepareBuffer(handle_, buf_len, (void**) &buf_addr, &wsid, flags)); + + // Invalid input buffer pointer + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaPrepareBuffer(handle_, buf_len, (void**) &invalid_buf_addr, &wsid, flags)); + + // special test case + EXPECT_EQ(FPGA_OK, xfpga_fpgaPrepareBuffer(handle_, 0, (void**) nullptr, &wsid, flags)); + + // Buffer lenth is zero + flags = FPGA_BUF_QUIET; + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaPrepareBuffer(handle_, 0, (void**) nullptr, &wsid, flags)); + + // Invalid Handle + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaGetIOAddress(nullptr, wsid, ioaddr)); + + // Invalid workspace id + EXPECT_NE(FPGA_OK, xfpga_fpgaGetIOAddress(handle_, 0x10000, ioaddr)); + + // NULL Handle + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaReleaseBuffer(nullptr, wsid)); + + // Invalid workspace id + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaReleaseBuffer(handle_, 0x10001)); +} + +TEST_P(buffer_prepare, xfpga_fpgaPrepareBuffer) { + buffer_params params = std::get<1>(GetParam()); + void *buf_addr = nullptr; + uint64_t wsid = 0; + uint64_t ioaddr = 0; + auto res = xfpga_fpgaPrepareBuffer(handle_, params.size, (void **)&buf_addr, &wsid, params.flags); + + EXPECT_EQ(res, params.result) << "result is " << fpgaErrStr(res); + if (params.size > 0 && params.result == FPGA_OK) { + EXPECT_EQ(res = xfpga_fpgaGetIOAddress(handle_, wsid, &ioaddr), FPGA_OK) + << "result is " << fpgaErrStr(res); + EXPECT_EQ(res = xfpga_fpgaReleaseBuffer(handle_, wsid), FPGA_OK) + << "result is " << fpgaErrStr(res); + } +} + +/** + * @test release_neg + * + * @brief When the parameters are valid and the drivers are loaded: + * fpgaReleaseBuffer must fail if fpga_buffer was not + * prepared. + * + */ +TEST_P(buffer_prepare, release_neg) { + uint64_t wsid= 1; + + EXPECT_EQ(xfpga_fpgaReleaseBuffer(handle_, wsid), FPGA_INVALID_PARAM); +} + +/** + * @test not_aligned + * + * @brief When FPGA_BUF_PREALLOCATED is not given and the buffer + * len is not a multiple of the page size, fpgaPrepareBuffer + * allocates the next multiple of page size and returns + * FPGA_OK. + * + */ +TEST_P(buffer_prepare, not_aligned) { + uint64_t buf_len = (4 * 1024) - 1; + void *buf_addr = nullptr; + uint64_t wsid = 1; + int flags = 0; + + EXPECT_EQ(xfpga_fpgaPrepareBuffer(handle_, buf_len, &buf_addr, &wsid, flags), + FPGA_OK); + + EXPECT_EQ(xfpga_fpgaReleaseBuffer(handle_, wsid), FPGA_OK); +} + +/** + * @test write_read + * + * @brief When the parameters are valid and the drivers are loaded: + * Test writing and reading to/from a shared memory buffer. + * + */ +TEST_P(buffer_prepare, write_read) { + uint64_t buf_len = NLB_DSM_SIZE; + void *buf_addr = nullptr; + uint64_t wsid = 2; + int flags = 0; + uint64_t offset; + uint64_t value; + + // Allocate buffer + ASSERT_EQ(xfpga_fpgaPrepareBuffer(handle_, buf_len, &buf_addr, &wsid, flags), + FPGA_OK); + + // Write test + memset(buf_addr, 0, buf_len); + + for (offset = 0; offset < buf_len - sizeof(uint64_t); + offset += sizeof(uint64_t)) { + value = offset; + *((volatile uint64_t*)((uint64_t)buf_addr + offset)) = value; + EXPECT_EQ(*((volatile uint64_t*)((uint64_t)buf_addr + offset)), offset); + } + + // Release buffer + EXPECT_EQ(xfpga_fpgaReleaseBuffer(handle_, wsid), FPGA_OK); +} + +namespace { +std::vector params{ + buffer_params{FPGA_INVALID_PARAM, 0, 0}, + buffer_params{FPGA_OK, KiB(1), 0}, + buffer_params{FPGA_OK, KiB(4), 0}, + buffer_params{FPGA_OK, MiB(1), 0}, + buffer_params{FPGA_OK, MiB(2), 0}, + buffer_params{FPGA_INVALID_PARAM, 11247, FPGA_BUF_PREALLOCATED}}; +} + +INSTANTIATE_TEST_CASE_P(buffer_c, buffer_prepare, + ::testing::Combine(::testing::ValuesIn(test_platform::keys()), + ::testing::ValuesIn(params))); + +class buffer_c_mock_p : public ::testing::TestWithParam { + protected: + buffer_c_mock_p() + : tokens_{{nullptr, nullptr}}, + handle_(nullptr) {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + ASSERT_EQ(xfpga_plugin_initialize(), FPGA_OK); + ASSERT_EQ(xfpga_fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), FPGA_OK); + ASSERT_EQ(xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), FPGA_OK); + ASSERT_GT(num_matches_, 0); + ASSERT_EQ(xfpga_fpgaOpen(tokens_[0], &handle_, 0), FPGA_OK); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(FPGA_OK,xfpga_fpgaDestroyToken(&t)); + t = nullptr; + } + } + + if (handle_ != nullptr) { + EXPECT_EQ(xfpga_fpgaClose(handle_), FPGA_OK); + handle_ = nullptr; + } + + xfpga_plugin_finalize(); + system_->finalize(); + } + + std::array tokens_; + fpga_handle handle_; + fpga_properties filter_; + uint32_t num_matches_; + test_platform platform_; + test_system *system_; +}; + +TEST_P(buffer_c_mock_p, port_dma_unmap) { + void *buf_addr = nullptr; + uint64_t wsid = 0; + uint64_t buf_len = KiB(1); + auto res = xfpga_fpgaPrepareBuffer(handle_, buf_len, (void **)&buf_addr, &wsid, 0); + EXPECT_EQ(res, FPGA_OK); + + system_->register_ioctl_handler(FPGA_PORT_DMA_UNMAP, dummy_ioctl<-1,EINVAL>); + system_->register_ioctl_handler(DFL_FPGA_PORT_DMA_UNMAP, dummy_ioctl<-1, EINVAL>); + EXPECT_EQ(res = xfpga_fpgaReleaseBuffer(handle_, wsid), FPGA_INVALID_PARAM) + << "result is " << fpgaErrStr(res); + + buf_addr = nullptr; +} + +TEST_P(buffer_c_mock_p, port_dma_map) { + void *buf_addr = nullptr; + uint64_t wsid = 0; + uint64_t buf_len = KiB(1); + + system_->register_ioctl_handler(FPGA_PORT_DMA_MAP, dummy_ioctl<-1,EINVAL>); + system_->register_ioctl_handler(DFL_FPGA_PORT_DMA_MAP, dummy_ioctl<-1, EINVAL>); + auto res = xfpga_fpgaPrepareBuffer(handle_, buf_len, (void **)&buf_addr, &wsid, 0); + EXPECT_EQ(res, FPGA_INVALID_PARAM) << "result is " << fpgaErrStr(res); +} + +INSTANTIATE_TEST_CASE_P(buffer_c, buffer_c_mock_p, + ::testing::ValuesIn(test_platform::mock_platforms())); diff --git a/opae-libs/tests/xfpga/test_common_c.cpp b/opae-libs/tests/xfpga/test_common_c.cpp new file mode 100644 index 0000000..bf1fd06 --- /dev/null +++ b/opae-libs/tests/xfpga/test_common_c.cpp @@ -0,0 +1,182 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +extern "C" { +#include +#include +#include "props.h" +const char * xfpga_fpgaErrStr(fpga_result); +fpga_result prop_check_and_lock(struct _fpga_properties*); +fpga_result handle_check_and_lock(struct _fpga_handle*); +fpga_result event_handle_check_and_lock(struct _fpga_event_handle*); +} +#include +#include "mock/test_system.h" +#include "gtest/gtest.h" +#include "types_int.h" +#include "sysfs_int.h" +#include "intel-fpga.h" +#include +#include "xfpga.h" +#include + + +extern "C" { +int xfpga_plugin_initialize(void); +int xfpga_plugin_finalize(void); +} + +using namespace opae::testing; + +class common_c_p + : public ::testing::TestWithParam { + protected: + common_c_p() + : tokens_{{nullptr, nullptr}}, + handle_(nullptr) {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + ASSERT_EQ(xfpga_plugin_initialize(), FPGA_OK); + ASSERT_EQ(xfpga_fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), + FPGA_OK); + ASSERT_EQ(xfpga_fpgaOpen(tokens_[0], &handle_, 0), FPGA_OK); + ASSERT_EQ(xfpga_fpgaCreateEventHandle(&eh_), FPGA_OK); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(FPGA_OK, xfpga_fpgaDestroyToken(&t)); + t = nullptr; + } + } + + EXPECT_EQ(xfpga_fpgaDestroyEventHandle(&eh_), FPGA_OK); + if (handle_ != nullptr) { EXPECT_EQ(xfpga_fpgaClose(handle_), FPGA_OK); } + xfpga_plugin_finalize(); + system_->finalize(); + } + + std::array tokens_; + fpga_handle handle_; + fpga_properties filter_; + uint32_t num_matches_; + test_platform platform_; + test_system *system_; + fpga_event_handle eh_; +}; + +/** + * @test common_01 + * + * @brief Verifies the string returned by fpgaErrStr() for each + * fpga_result enumeration value. + */ +TEST(common, fpgaErrStr) { + EXPECT_STREQ("success", xfpga_fpgaErrStr(FPGA_OK)); + EXPECT_STREQ("invalid parameter", xfpga_fpgaErrStr(FPGA_INVALID_PARAM)); + EXPECT_STREQ("resource busy", xfpga_fpgaErrStr(FPGA_BUSY)); + EXPECT_STREQ("exception", xfpga_fpgaErrStr(FPGA_EXCEPTION)); + EXPECT_STREQ("not found", xfpga_fpgaErrStr(FPGA_NOT_FOUND)); + EXPECT_STREQ("no memory", xfpga_fpgaErrStr(FPGA_NO_MEMORY)); + EXPECT_STREQ("not supported", xfpga_fpgaErrStr(FPGA_NOT_SUPPORTED)); + EXPECT_STREQ("no driver available", xfpga_fpgaErrStr(FPGA_NO_DRIVER)); + EXPECT_STREQ("no fpga daemon running", xfpga_fpgaErrStr(FPGA_NO_DAEMON)); + EXPECT_STREQ("insufficient privileges", xfpga_fpgaErrStr(FPGA_NO_ACCESS)); + EXPECT_STREQ("reconfiguration error", xfpga_fpgaErrStr(FPGA_RECONF_ERROR)); +} + +/** + * @test prop_check_and_lock + * + * @brief When fpga_properties magic is invalid + * fpga_result returns FPGA_INVALID_PARAM + */ + +TEST(common, prop_check_and_lock) { + struct _fpga_properties *prop; + prop = opae_properties_create(); + + auto res = prop_check_and_lock(prop); + EXPECT_EQ(FPGA_OK,res); + + prop->magic = 0x123; + res = prop_check_and_lock(prop); + EXPECT_EQ(FPGA_INVALID_PARAM,res); + + free(prop); + prop = nullptr; +} + +/** + * @test handle_check_and_lock + * + * @brief When fpga_handle magic is invalid + * fpga_result returns FPGA_INVALID_PARAM + */ +TEST_P(common_c_p, handle_check_and_lock) { + struct _fpga_handle *h = (struct _fpga_handle*)handle_; + h->magic = 0x123; + auto res = handle_check_and_lock((struct _fpga_handle*)handle_); + EXPECT_EQ(FPGA_INVALID_PARAM,res); + h->magic = FPGA_HANDLE_MAGIC; + res = handle_check_and_lock((struct _fpga_handle*)handle_); + EXPECT_EQ(FPGA_OK,res); +} + +/** + * @test event_handle_check_and_lock + * + * @brief When event_fpga_handle magic is invalid + * fpga_result returns FPGA_INVALID_PARAM + */ + +TEST_P(common_c_p, event_handle_check_and_lock) { + auto res = event_handle_check_and_lock((struct _fpga_event_handle*)eh_); + EXPECT_EQ(FPGA_OK,res); + struct _fpga_event_handle *eh = (struct _fpga_event_handle*)eh_; + + eh->magic = 0x123; + res = event_handle_check_and_lock((struct _fpga_event_handle*)eh_); + EXPECT_EQ(FPGA_INVALID_PARAM,res); + + eh->magic = FPGA_EVENT_HANDLE_MAGIC; + res = event_handle_check_and_lock((struct _fpga_event_handle*)eh_); + EXPECT_EQ(FPGA_OK,res); +} + + +INSTANTIATE_TEST_CASE_P(common_c, common_c_p, ::testing::ValuesIn(test_platform::keys(true))); diff --git a/opae-libs/tests/xfpga/test_enum_c.cpp b/opae-libs/tests/xfpga/test_enum_c.cpp new file mode 100644 index 0000000..a876f08 --- /dev/null +++ b/opae-libs/tests/xfpga/test_enum_c.cpp @@ -0,0 +1,1137 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + + +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include "types_int.h" +#include "sysfs_int.h" +#include "mock/mock_opae.h" +extern "C" { +#include "token_list_int.h" +} +#include "xfpga.h" + +extern "C" { +int xfpga_plugin_initialize(void); +int xfpga_plugin_finalize(void); +} + +using namespace opae::testing; + +class enum_c_p : public mock_opae_p<2, xfpga_> { + protected: + enum_c_p() : filter_(nullptr) {} + + virtual ~enum_c_p() {} + + virtual void test_setup() override { + ASSERT_EQ(xfpga_plugin_initialize(), FPGA_OK); + ASSERT_EQ(xfpga_fpgaGetProperties(nullptr, &filter_), FPGA_OK); + num_matches_ = 0xc01a; + } + + virtual void test_teardown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + token_cleanup(); + xfpga_plugin_finalize(); + } + + // Need a concrete way to determine the number of fpgas on the system + // without relying on fpgaEnumerate() since that is the function that + // is under test. + int GetNumFpgas() { + if (platform_.mock_sysfs != nullptr) { + return platform_.devices.size(); + } + + int value; + std::string cmd = + "(ls -l /sys/class/fpga*/region*/*fme*/dev || " + "ls -l /sys/class/fpga*/*intel*) | (wc -l)"; + + ExecuteCmd(cmd, value); + return value; + } + + int GetNumMatchedFpga () { + if (platform_.mock_sysfs != nullptr) { + return 1; + } + + int matches = 0; + int socket_id; + int i; + for (i = 0; i < GetNumFpgas(); i++) { + std::string cmd = "cat /sys/class/fpga*/*" + std::to_string(i) + + "/*fme." + std::to_string(i) + "/socket_id"; + + ExecuteCmd(cmd, socket_id); + if (socket_id == (int)platform_.devices[0].socket_id) { + matches++; + } + } + + return matches; + } + + int GetMatchedGuidFpgas() { + if (platform_.mock_sysfs != nullptr) { + return platform_.devices.size(); + } + + int matches = 0; + std::string afu_id; + std::string afu_id_expected = platform_.devices[0].afu_guid; + + afu_id_expected.erase(std::remove(afu_id_expected.begin(), + afu_id_expected.end(), '-'), + afu_id_expected.end()); + transform(afu_id_expected.begin(), afu_id_expected.end(), + afu_id_expected.begin(), ::tolower); + + int i; + for (i = 0; i < GetNumFpgas(); i++) { + std::string cmd = "cat /sys/class/fpga*/*" + std::to_string(i) + + "/*port." + std::to_string(i) + "/afu_id > output.txt"; + EXPECT_EQ(std::system(cmd.c_str()), 0); + std::ifstream file("output.txt"); + EXPECT_TRUE(file.is_open()); + EXPECT_TRUE(std::getline(file, afu_id)); + file.close(); + EXPECT_EQ(unlink("output.txt"), 0); + + if (afu_id == afu_id_expected) { + matches++; + } + } + + return matches; + } + + void ExecuteCmd(std::string cmd, int &value) { + std::string line; + std::string command = cmd + " > output.txt"; + + EXPECT_EQ(std::system(command.c_str()), 0); + + std::ifstream file("output.txt"); + + ASSERT_TRUE(file.is_open()); + EXPECT_TRUE(std::getline(file, line)); + file.close(); + + EXPECT_EQ(std::system("rm output.txt"), 0); + + value = std::stoi(line); + } + + fpga_properties filter_; + uint32_t num_matches_; +}; + +/** + * @test nullfilter + * + * @brief When the filter is null and the number of filters + * is zero, the function returns all matches. + */ +TEST_P(enum_c_p, nullfilter) { + EXPECT_EQ( + xfpga_fpgaEnumerate(nullptr, 0, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetNumFpgas() * 2); +} + +/** + * @test nullfilter_neg + * + * @brief When the filter is null but the number of filters + * is greater than zero, the function returns + * FPGA_INVALID_PARAM. + */ +TEST_P(enum_c_p, nullfilter_neg) { + EXPECT_EQ( + xfpga_fpgaEnumerate(nullptr, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_INVALID_PARAM); +} + +/** + * @test nullmatches + * + * @brief When the number of matches parameter is null, + * the function returns FPGA_INVALID_PARAM. + */ +TEST_P(enum_c_p, nullmatches) { + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), NULL), + FPGA_INVALID_PARAM); +} + +/** + * @test nulltokens + * + * @brief When the tokens parameter is null, the function + * returns FPGA_INVALID_PARAM. + */ +TEST_P(enum_c_p, nulltokens) { + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 0, NULL, tokens_.size(), &num_matches_), + FPGA_INVALID_PARAM); +} + +/** + * @test object_type_accel + * + * @brief When the filter object type is set to + * FPGA_ACCELERATOR, the function returns the + * correct number of accelerator matches. + */ +TEST_P(enum_c_p, object_type_accel) { + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetNumFpgas()); +} + +/** + * @test object_type_dev + * + * @brief When the filter object type is set to FPGA_DEVICE, + * the function returns the correct number of device + * matches. + */ +TEST_P(enum_c_p, object_type_dev) { + EXPECT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetNumFpgas()); +} + +/** + * @test parent + * + * @brief When the filter parent is set to a previously found + * FPGA_DEVICE, the function returns the child resource. + */ +TEST_P(enum_c_p, parent) { + EXPECT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetNumFpgas()); + + ASSERT_EQ(fpgaClearProperties(filter_), FPGA_OK); + + fpga_token token = nullptr; + + EXPECT_EQ(fpgaPropertiesSetParent(filter_, tokens_[0]), FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, &token, 1, &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 1); + ASSERT_NE(token, nullptr); + EXPECT_EQ(xfpga_fpgaDestroyToken(&token), FPGA_OK); +} + +/** + * @test parent_neg + * + * @brief When the filter passed to fpgaEnumerate has a valid + * parent field set, but that parent is not found to be the + * parent of any device, fpgaEnumerate returns zero matches. + */ +TEST_P(enum_c_p, parent_neg) { + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), FPGA_OK); + EXPECT_EQ(xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), 1, &num_matches_), + FPGA_OK); + EXPECT_GT(num_matches_, 0); + + EXPECT_EQ(fpgaPropertiesSetParent(filter_, tokens_[0]), FPGA_OK); + + EXPECT_EQ(xfpga_fpgaEnumerate(&filter_, 1, NULL, 0, &num_matches_), FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + +/** + * @test segment + * + * @brief When the filter segment is set and it is valid, + * the function returns the number of resources that + * match that segment. + */ +TEST_P(enum_c_p, segment) { + auto device = platform_.devices[0]; + ASSERT_EQ(fpgaPropertiesSetSegment(filter_, device.segment), FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetNumFpgas() * 2); +} + +/** + * @test segment_neg + * + * @brief When the filter segment is set and it is invalid, + * the function returns zero matches. + */ +TEST_P(enum_c_p, segment_neg) { + ASSERT_EQ(fpgaPropertiesSetSegment(filter_, invalid_device_.segment), FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + +/** + * @test bus + * + * @brief When the filter bus is set and it is valid, the + * function returns the number of resources that + * match that bus. + */ +TEST_P(enum_c_p, bus) { + auto device = platform_.devices[0]; + ASSERT_EQ(fpgaPropertiesSetBus(filter_, device.bus), FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 2); +} + +/** + * @test bus_neg + * + * @brief When the filter bus is set and it is invalid, + * the function returns zero matches + */ +TEST_P(enum_c_p, bus_neg) { + ASSERT_EQ(fpgaPropertiesSetBus(filter_, invalid_device_.bus), FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + +/** + * @test device + * + * @brief When the filter device is set and it is valid, + * the function returns the number of resources that + * match that device. + */ +TEST_P(enum_c_p, device) { + auto device = platform_.devices[0]; + ASSERT_EQ(fpgaPropertiesSetDevice(filter_, device.device), FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetNumFpgas() * 2); +} + +/** + * @test device_neg + * + * @brief When the filter device is set and it is invalid, + * the function returns zero matches. + */ +TEST_P(enum_c_p, device_neg) { + ASSERT_EQ(fpgaPropertiesSetDevice(filter_, invalid_device_.device), FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + +/** + * @test function + * + * @brief When the filter function is set and it is valid, + * the function returns the number of resources that + * match that function. + */ +TEST_P(enum_c_p, function) { + auto device = platform_.devices[0]; + ASSERT_EQ(fpgaPropertiesSetFunction(filter_, device.function), FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetNumFpgas() * 2 - device.num_vfs); + DestroyTokens(); + for (int i = 0; i < device.num_vfs; ++i) { + num_matches_ = 0; + ASSERT_EQ(fpgaPropertiesSetFunction(filter_, device.function+i), FPGA_OK); + EXPECT_EQ(xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 1); + DestroyTokens(); + } +} + +/** + * @test function_neg + * + * @brief When the filter function is set and it is invalid, + * the function returns zero matches. + */ +TEST_P(enum_c_p, function_neg) { + ASSERT_EQ(fpgaPropertiesSetFunction(filter_, invalid_device_.function), + FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + + +/** + * @test socket_id_neg + * + * @brief When the filter socket_id is set and it is invalid, + * the function returns zero matches. + */ +TEST_P(enum_c_p, socket_id_neg) { + ASSERT_EQ(fpgaPropertiesSetSocketID(filter_, invalid_device_.socket_id), + FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + +/** + * @test vendor_id + * + * @brief When the filter vendor_id is set and it is valid, + * the function returns the number of resources that + * match that vendor_id. + */ +TEST_P(enum_c_p, vendor_id) { + auto device = platform_.devices[0]; + ASSERT_EQ(fpgaPropertiesSetVendorID(filter_, device.vendor_id), FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetNumFpgas() * 2); +} + +/** + * @test vendor_id_neg + * + * @brief When the filter vendor_id is set and it is invalid, + * the function returns zero matches. + */ +TEST_P(enum_c_p, vendor_id_neg) { + ASSERT_EQ(fpgaPropertiesSetVendorID(filter_, invalid_device_.vendor_id), + FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + +/** + * @test device_id + * + * @brief When the filter device_id is set and it is valid, + * the function returns the number of resources that + * match that device_id. + */ +TEST_P(enum_c_p, device_id) { + auto device = platform_.devices[0]; + ASSERT_EQ(fpgaPropertiesSetDeviceID(filter_, device.device_id), FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, platform_.devices.size() * 2 - device.num_vfs); + DestroyTokens(); + + for (int i = 0; i < device.num_vfs; ++i) { + num_matches_ = 0; + ASSERT_EQ(fpgaPropertiesSetDeviceID(filter_, device.device_id+i), FPGA_OK); + EXPECT_EQ(xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 1); + DestroyTokens(); + } +} + +/** + * @test device_id_neg + * + * @brief When the filter device_id is set and it is invalid, + * the function returns zero matches. + */ +TEST_P(enum_c_p, device_id_neg) { + ASSERT_EQ(fpgaPropertiesSetDeviceID(filter_, invalid_device_.device_id), + FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + +/** + * @test object_id_fme + * + * @brief When the filter object_id for fme is set and it is + * valid, the function returns the number of resources + * that match that object_id. + */ +TEST_P(enum_c_p, object_id_fme) { + ASSERT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + + ASSERT_GT(num_matches_, 0); + + fpga_properties prop; + uint64_t object_id; + + EXPECT_EQ(xfpga_fpgaGetProperties(tokens_[0], &prop), FPGA_OK); + EXPECT_EQ(fpgaPropertiesGetObjectID(prop, &object_id), FPGA_OK); + EXPECT_EQ(fpgaDestroyProperties(&prop), FPGA_OK); + + DestroyTokens(); + + ASSERT_EQ(fpgaPropertiesSetObjectID(filter_, object_id), FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 1); +} + +/** + * @test object_id_fme_neg + * + * @brief When the filter object_id for fme is set and it is + * invalid, the function returns zero matches. + */ +TEST_P(enum_c_p, object_id_fme_neg) { + ASSERT_EQ(fpgaPropertiesSetObjectID(filter_, invalid_device_.fme_object_id), + FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + +/** + * @test object_id_port + * + * @brief When the filter port_id for port is set and it is + * valid, the function returns the number of resources + * that match that port_id. + */ +TEST_P(enum_c_p, object_id_port) { + ASSERT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + + ASSERT_GT(num_matches_, 0); + + fpga_properties prop; + uint64_t object_id; + + EXPECT_EQ(xfpga_fpgaGetProperties(tokens_[0], &prop), FPGA_OK); + EXPECT_EQ(fpgaPropertiesGetObjectID(prop, &object_id), FPGA_OK); + EXPECT_EQ(fpgaDestroyProperties(&prop), FPGA_OK); + + DestroyTokens(); + + EXPECT_EQ(fpgaPropertiesSetObjectID(filter_, object_id), FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 1); +} + +/** + * @test object_id_port_neg + * + * @brief When the filter object_id for port is set and it is + * invalid, the function returns zero matches. + */ +TEST_P(enum_c_p, object_id_port_neg) { + ASSERT_EQ(fpgaPropertiesSetObjectID(filter_, invalid_device_.port_object_id), + FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + + +/** + * @test num_errors_fme_neg + * + * @brief When the filter num_errors for fme is set and it is + * invalid, the function returns zero matches. + */ +TEST_P(enum_c_p, num_errors_fme_neg) { + ASSERT_EQ(fpgaPropertiesSetNumErrors(filter_, invalid_device_.fme_num_errors), + FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + + +/** + * @test num_errors_port_neg + * + * @brief When the filter num_errors for port is set and it is + * invalid, the function returns zero matches. + */ +TEST_P(enum_c_p, num_errors_port_neg) { + ASSERT_EQ(fpgaPropertiesSetNumErrors(filter_, invalid_device_.port_num_errors), + FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + +/** + * @test guid_fme + * + * @brief When the filter guid for fme is set and it is + * valid, the function returns the number of resources + * that match that guid for fme. + */ +TEST_P(enum_c_p, guid_fme) { + auto device = platform_.devices[0]; + + fpga_guid fme_guid; + ASSERT_EQ(uuid_parse(device.fme_guid, fme_guid), 0); + + ASSERT_EQ(fpgaPropertiesSetGUID(filter_, fme_guid), FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, platform_.devices.size()); +} + +/** + * @test guid_fme_neg + * + * @brief When the filter guid for fme is set and it is + * invalid, the function returns zero matches. + */ +TEST_P(enum_c_p, guid_fme_neg) { + fpga_guid invalid_guid; + ASSERT_EQ(uuid_parse(invalid_device_.fme_guid, invalid_guid), 0); + + ASSERT_EQ(fpgaPropertiesSetGUID(filter_, invalid_guid), FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + +/** + * @test guid_port + * + * @brief When the filter guid for port is set and it is + * valid, the function returns the number of resources + * that match that guid for port. + */ +TEST_P(enum_c_p, guid_port) { + auto device = platform_.devices[0]; + + fpga_guid afu_guid; + ASSERT_EQ(uuid_parse(device.afu_guid, afu_guid), 0); + + ASSERT_EQ(fpgaPropertiesSetGUID(filter_, afu_guid), FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetMatchedGuidFpgas()); +} + +/** + * @test guid_port_neg + * + * @brief When the filter guid for port is set and it is + * invalid, the function returns zero matches. + */ +TEST_P(enum_c_p, guid_port_neg) { + fpga_guid invalid_guid; + ASSERT_EQ(uuid_parse(invalid_device_.afu_guid, invalid_guid), 0); + + ASSERT_EQ(fpgaPropertiesSetGUID(filter_, invalid_guid), FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + +/** + * @test clone_token + * + * @brief Given a valid source token and a valid destination, + * xfpga_fpgaCloneToken() returns FPGA_OK. + */ +TEST_P(enum_c_p, clone_token) { + EXPECT_EQ( + xfpga_fpgaEnumerate(nullptr, 0, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_GT(num_matches_, 0); + fpga_token src = tokens_[0]; + fpga_token dst; + EXPECT_EQ(xfpga_fpgaCloneToken(src, &dst), FPGA_OK); + EXPECT_EQ(xfpga_fpgaDestroyToken(&dst), FPGA_OK); +} + +/** + * @test clone_token_neg + * + * @brief Given an invalid source token or an invalid destination, + * xfpga_fpgaCloneToken() returns FPGA_INVALID_PARAM + */ +TEST_P(enum_c_p, clone_token_neg) { + EXPECT_EQ( + xfpga_fpgaEnumerate(nullptr, 0, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_GT(num_matches_, 0); + fpga_token src = tokens_[0]; + fpga_token dst; + EXPECT_EQ(xfpga_fpgaCloneToken(NULL, &dst), FPGA_INVALID_PARAM); + EXPECT_EQ(xfpga_fpgaCloneToken(&src, NULL), FPGA_INVALID_PARAM); +} + +/** + * @test destroy_token + * + * @brief Given a valid token, xfpga_fpgaDestroyToken() returns + * FPGA_OK. + */ +TEST_P(enum_c_p, destroy_token) { + fpga_token token; + ASSERT_EQ(xfpga_fpgaEnumerate(nullptr, 0, &token, 1, &num_matches_), + FPGA_OK); + ASSERT_GT(num_matches_, 0); + + EXPECT_EQ(xfpga_fpgaDestroyToken(&token), FPGA_OK); +} + +/** + * @test destroy_token_neg + * + * @brief Given a null or invalid token, xfpga_fpgaDestroyToken() + * returns FPGA_INVALID_PARAM. + */ +TEST_P(enum_c_p, destroy_token_neg) { + EXPECT_EQ(xfpga_fpgaDestroyToken(nullptr), FPGA_INVALID_PARAM); + + _fpga_token *dummy = new _fpga_token; + memset(dummy, 0, sizeof(*dummy)); + EXPECT_EQ(xfpga_fpgaDestroyToken((fpga_token *)&dummy), FPGA_INVALID_PARAM); + delete dummy; +} + +/** + * @test num_slots + * + * @brief When the filter num_slots is set and it is valid, + * the function returns the number of resources that + * match that number of slots. + */ +TEST_P(enum_c_p, num_slots) { + auto device = platform_.devices[0]; + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetNumSlots(filter_, device.num_slots), FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetNumFpgas()); +} + +/** + * @test num_slots_neg + * + * @brief When the filter num_slots is set and it is invalid, + * the function returns zero matches. + */ +TEST_P(enum_c_p, num_slots_neg) { + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetNumSlots(filter_, invalid_device_.num_slots), FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + +/** + * @test bbs_id + * + * @brief When the filter bbs_id is set and it is valid, + * the function returns the number of resources that + * match that bbs_id. + */ +TEST_P(enum_c_p, bbs_id) { + auto device = platform_.devices[0]; + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetBBSID(filter_, device.bbs_id), FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, platform_.devices.size()); +} + +/** + * @test bbs_id_neg + * + * @brief When the filter bbs_id is set and it is invalid, + * the function returns zero matches. + */ +TEST_P(enum_c_p, bbs_id_neg) { + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetBBSID(filter_, invalid_device_.bbs_id), FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + +/** + * @test bbs_version + * + * @brief When the filter bbs_version is set and it is valid, + * the function returns the number of resources that + * match that bbs_version. + */ +TEST_P(enum_c_p, bbs_version) { + auto device = platform_.devices[0]; + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetBBSVersion(filter_, device.bbs_version), FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, platform_.devices.size()); +} + +/** + * @test bbs_version_neg + * + * @brief When the filter bbs_version is set and it is invalid, + * the function returns zero matches. + */ +TEST_P(enum_c_p, bbs_version_neg) { + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetBBSVersion(filter_, invalid_device_.bbs_version), FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + +/** + * @test accel_state + * + * @brief When the filter accelerator state is set and it is + * valid, the function returns the number of resources + * that match that accelerator state. + */ +TEST_P(enum_c_p, accel_state) { + auto device = platform_.devices[0]; + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetAcceleratorState(filter_, device.state), FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetNumFpgas()); +} + +/** + * @test accel_state_neg + * + * @brief When the filter accelerator state is set and it is + * invalid, the function returns zero matches. + */ +TEST_P(enum_c_p, state_neg) { + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetAcceleratorState(filter_, invalid_device_.state), FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + +/** + * @test num_mmio + * + * @brief When the filter num MMIO is set and it is valid, + * the function returns the number of resources that + * match that num MMIO. + */ +TEST_P(enum_c_p, num_mmio) { + auto device = platform_.devices[0]; + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetNumMMIO(filter_, device.num_mmio), FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetNumFpgas()); +} + +/** + * @test num_mmio_neg + * + * @brief When the filter num MMIO is set and it is invalid, + * the function returns zero matches. + */ +TEST_P(enum_c_p, num_mmio_neg) { + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetNumMMIO(filter_, invalid_device_.num_mmio), FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + +/** + * @test num_interrupts + * + * @brief When the filter num interrupts is set and it is valid, + * the function returns the number of resources that + * match that num interrupts. + */ +TEST_P(enum_c_p, num_interrupts) { + auto device = platform_.devices[0]; + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetNumInterrupts(filter_, device.num_interrupts), FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetNumFpgas()); +} + +/** + * @test num_interrupts_neg + * + * @brief When the filter num interrupts is set and it is invalid, + * the function returns zero matches. + */ +TEST_P(enum_c_p, num_interrupts_neg) { + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetNumInterrupts(filter_, invalid_device_.num_interrupts), FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); +} + +/** + * @test num_filter_neg + * + * @brief When the num_filter parameter to fpgaEnumerate is zero, + * but the filter parameter is non-NULL, the function + * returns FPGA_INVALID_PARAM. + */ +TEST_P(enum_c_p, num_filter_neg) { + EXPECT_EQ(xfpga_fpgaEnumerate(&filter_, 0, tokens_.data(), 0, &num_matches_), + FPGA_INVALID_PARAM); +} + +/** + * @test max_tokens + * + * @brief fpgaEnumerate honors the input max_tokens value by + * limiting the number of output entries written to the + * memory at match, even though more may exist. + */ +TEST_P(enum_c_p, max_tokens) { + uint32_t max_tokens = 1; + + EXPECT_EQ(xfpga_fpgaEnumerate(NULL, 0, tokens_.data(), max_tokens, &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetNumFpgas() * 2); + + EXPECT_NE(tokens_[0], nullptr); + EXPECT_EQ(tokens_[1], nullptr); +} + +/** + * @test filter + * + * @brief fpgaEnumerate honors a "don't care" properties filter by + * returning all available tokens. + */ +TEST_P(enum_c_p, filter) { + EXPECT_EQ(FPGA_OK, xfpga_fpgaEnumerate(&filter_, 1, NULL, 0, &num_matches_)); + EXPECT_EQ(num_matches_, GetNumFpgas() * 2); +} + +/** + * @test get_guid + * + * @brief Given I have a system with at least one FPGA And I + * enumerate with a filter of objtype of FPGA_DEVICE When I + * get properties from the resulting token And I query the + * GUID from the properties Then the GUID is returned and + * the result is FPGA_OK. + * + */ +TEST_P(enum_c_p, get_guid) { + fpga_properties prop; + fpga_guid guid; + fpga_properties filterp = NULL; + + ASSERT_EQ(xfpga_fpgaGetProperties(NULL, &filterp), FPGA_OK); + EXPECT_EQ(fpgaPropertiesSetObjectType(filterp, FPGA_DEVICE), FPGA_OK); + EXPECT_EQ(xfpga_fpgaEnumerate(&filterp, 1, tokens_.data(), 1, &num_matches_), + FPGA_OK); + EXPECT_GT(num_matches_, 0); + EXPECT_EQ(fpgaDestroyProperties(&filterp), FPGA_OK); + + ASSERT_EQ(xfpga_fpgaGetProperties(tokens_[0], &prop), FPGA_OK); + + EXPECT_EQ(fpgaPropertiesGetGUID(prop, &guid), FPGA_OK); + EXPECT_EQ(fpgaDestroyProperties(&prop), FPGA_OK); +} + + + + +INSTANTIATE_TEST_CASE_P(enum_c, enum_c_p, + ::testing::ValuesIn(test_platform::platforms({}))); + +class enum_err_c_p : public enum_c_p {}; +/** + * @test num_errors_fme + * + * @brief When the filter num_errors for fme is set and it is + * valid, the function returns the number of resources + * that match that number of errors for fme. + */ +TEST_P(enum_err_c_p, num_errors_fme) { + auto device = platform_.devices[0]; + + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), + FPGA_OK); + + ASSERT_EQ(fpgaPropertiesSetNumErrors(filter_, device.fme_num_errors), + FPGA_OK); + EXPECT_EQ(xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetNumFpgas()); +} + + +/** + * @test num_errors_port + * + * @brief When the filter num_errors for port is set and it is + * valid, the function returns the number of resources + * that match that number of errors for port. + */ +TEST_P(enum_err_c_p, num_errors_port) { + auto device = platform_.devices[0]; + + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), + FPGA_OK); + + ASSERT_EQ(fpgaPropertiesSetNumErrors(filter_, device.port_num_errors), + FPGA_OK); + EXPECT_EQ(xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetNumFpgas()); +} + +INSTANTIATE_TEST_CASE_P(enum_c, enum_err_c_p, + ::testing::ValuesIn(test_platform::platforms({ "skx-p","dcp-rc","dcp-vc" }))); + +class enum_socket_c_p : public enum_c_p {}; + +/** + * @test socket_id + * + * @brief When the filter socket_id is set and it is valid, + * the function returns the number of resources that + * match that socket_id. + */ +TEST_P(enum_socket_c_p, socket_id) { + auto device = platform_.devices[0]; + ASSERT_EQ(fpgaPropertiesSetSocketID(filter_, device.socket_id), FPGA_OK); + EXPECT_EQ( + xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, GetNumMatchedFpga() * 2); +} + +INSTANTIATE_TEST_CASE_P(enum_c, enum_socket_c_p, + ::testing::ValuesIn(test_platform::platforms({ "skx-p"}))); + +class enum_mock_only : public enum_c_p {}; + +/** + * @test remove_port + * + * @brief Given I have a system with at least one FPGA And I + * enumerate with a filter of objtype of FPGA_ACCELERATOR + * and I get one token for that accelerator + * When I remove the port device from the system + * And I enumerate again with the same filter + * Then I get zero tokens as the result. + * + */ +TEST_P(enum_mock_only, remove_port) { + fpga_properties filterp = NULL; + + ASSERT_EQ(xfpga_fpgaGetProperties(NULL, &filterp), FPGA_OK); + EXPECT_EQ(fpgaPropertiesSetObjectType(filterp, FPGA_ACCELERATOR), FPGA_OK); + EXPECT_EQ(xfpga_fpgaEnumerate(&filterp, 1, tokens_.data(), 1, &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 1); + const char *sysfs_port = "/sys/class/fpga/intel-fpga-dev.0/intel-fpga-port.0"; + + EXPECT_EQ(system_->remove_sysfs_dir(sysfs_port), 0) + << "error removing intel-fpga-port.0: " << strerror(errno); + EXPECT_EQ(xfpga_fpgaEnumerate(&filterp, 1, tokens_.data(), 1, &num_matches_), + FPGA_OK); + EXPECT_EQ(num_matches_, 0); + EXPECT_EQ(fpgaDestroyProperties(&filterp), FPGA_OK); +} + +INSTANTIATE_TEST_CASE_P(enum_c, enum_mock_only, + ::testing::ValuesIn(test_platform::mock_platforms({ "skx-p"}))); diff --git a/opae-libs/tests/xfpga/test_error_c.cpp b/opae-libs/tests/xfpga/test_error_c.cpp new file mode 100644 index 0000000..ffa78fd --- /dev/null +++ b/opae-libs/tests/xfpga/test_error_c.cpp @@ -0,0 +1,745 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +extern "C" { +#include "error_int.h" +#include "token_list_int.h" +} + +#include +#include +#include +#include +#include +#include "gtest/gtest.h" +#include "mock/test_system.h" +#include "types_int.h" +#include "sysfs_int.h" +#include "xfpga.h" + + +extern "C" { +int xfpga_plugin_initialize(void); +int xfpga_plugin_finalize(void); +} + +using namespace opae::testing; +const std::string sysfs_fme = + "/sys/class/fpga/intel-fpga-dev.0/intel-fpga-fme.0"; +const std::string dev_fme = "/dev/intel-fpga-fme.0"; +const std::string sysfs_port = + "/sys/class/fpga/intel-fpga-dev.0/intel-fpga-port.0"; +const std::string dev_port = "/dev/intel-fpga-port.0"; + +class error_c_mock_p : public ::testing::TestWithParam { + public: + int delete_errors(std::string, std::string); + + protected: + error_c_mock_p() : filter_(nullptr) {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + tmpsysfs_ = system_->get_root(); + ASSERT_EQ(FPGA_OK, xfpga_plugin_initialize()); + if (sysfs_device_count() > 0) { + const sysfs_fpga_device *device = sysfs_get_device(0); + ASSERT_NE(device, nullptr); + if (device->fme) { + sysfs_fme = std::string(device->fme->sysfs_path); + dev_fme = std::string("/dev/") + std::string(device->fme->sysfs_name); + } + if (device->port) { + sysfs_port = std::string(device->port->sysfs_path); + dev_port = std::string("/dev/") + std::string(device->port->sysfs_name); + } + } + memset(&fake_port_token_, 0, sizeof(fake_port_token_)); + strncpy(fake_port_token_.sysfspath, + sysfs_port.c_str(), sysfs_port.size() + 1); + strncpy(fake_port_token_.devpath, + dev_port.c_str(), dev_port.size() + 1); + fake_port_token_.magic = FPGA_TOKEN_MAGIC; + fake_port_token_.device_instance = 0; + fake_port_token_.subdev_instance = 0; + fake_port_token_.errors = nullptr; + + memset(&fake_fme_token_, 0, sizeof(fake_fme_token_)); + strncpy(fake_fme_token_.sysfspath, + sysfs_fme.c_str(), sysfs_fme.size() + 1); + strncpy(fake_fme_token_.devpath, + dev_fme.c_str(), dev_fme.size() + 1); + fake_fme_token_.magic = FPGA_TOKEN_MAGIC; + fake_fme_token_.device_instance = 0; + fake_fme_token_.subdev_instance = 0; + fake_fme_token_.errors = nullptr; + } + + virtual void TearDown() override { + if (fake_fme_token_.errors) { + free_error_list(fake_fme_token_.errors); + } + if (fake_port_token_.errors) { + free_error_list(fake_port_token_.errors); + } + if (filter_) { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + filter_ = nullptr; + } + token_cleanup(); + tmpsysfs_ = ""; + xfpga_plugin_finalize(); + system_->finalize(); + } + + void free_error_list(struct error_list *p) { + while (p) { + struct error_list *q = p->next; + free(p); + p = q; + } + } + + fpga_properties filter_; + std::string tmpsysfs_; + test_platform platform_; + test_system *system_; + _fpga_token fake_fme_token_; + _fpga_token fake_port_token_; + std::string sysfs_fme; + std::string dev_fme; + std::string sysfs_port; + std::string dev_port; +}; + +int error_c_mock_p::delete_errors(std::string fpga_type, std::string filename) { + int result; + std::string fme_sysfspath; + std::string port_sysfspath; + std::string cmd; + + if (tmpsysfs_.length() < 2) { + fme_sysfspath = tmpsysfs_ + sysfs_fme + "/" + filename; + port_sysfspath = tmpsysfs_ + sysfs_port + "/" + filename; + } else { + fme_sysfspath = tmpsysfs_ + "/" + sysfs_fme + "/" + filename; + port_sysfspath = tmpsysfs_ + "/" + sysfs_port + "/" + filename; + } + + if (fpga_type.compare("fme") == 0) { + cmd = "rm -rf " + fme_sysfspath; + goto remove; + } else if (fpga_type.compare("port") == 0) { + cmd = "rm -rf " + port_sysfspath; + goto remove; + } else { + return -1; + } + +remove: + result = std::system(cmd.c_str()); + (void)result; + return 1; +} + +/** + * @test error_01 + * + * @brief When passed a valid AFU token, the combination of + * fpgaGetProperties() + * fpgaPropertiesGetNumErrors(), fpgaPropertiesGetErrorInfo() and + * fpgaReadError() is able to print the status of all error + * registers. + * + */ +TEST_P(error_c_mock_p, error_01) { +#ifndef BUILD_ASE + fpga_error_info info; + unsigned int n = 0; + unsigned int i = 0; + uint64_t val = 0; + fpga_token t = &fake_port_token_; + + std::string errpath = sysfs_port + "/errors"; + build_error_list(errpath.c_str(), &fake_port_token_.errors); + + // get number of error registers + ASSERT_EQ(FPGA_OK, xfpga_fpgaGetProperties(t, &filter_)); + auto _prop = (_fpga_properties *)filter_; + SET_FIELD_VALID(_prop, FPGA_PROPERTY_NUM_ERRORS); + ASSERT_EQ(FPGA_OK, fpgaPropertiesGetNumErrors(filter_, &n)); + printf("Found %d PORT error registers\n", n); + + // for each error register, get info and read the current value + for (i = 0; i < n; i++) { + // get info struct for error register + ASSERT_EQ(FPGA_OK, xfpga_fpgaGetErrorInfo(t, i, &info)); + EXPECT_EQ(FPGA_OK, xfpga_fpgaReadError(t, i, &val)); + printf("[%u] %s: 0x%016lX%s\n", i, info.name, val, + info.can_clear ? " (can clear)" : ""); + } + + auto result = delete_errors("port", "errors"); + (void)result; + // for each error register, get info and read the current value + for (i = 0; i < n; i++) { + // get info struct for error register + ASSERT_EQ(FPGA_OK, xfpga_fpgaGetErrorInfo(t, i, &info)); + EXPECT_EQ(FPGA_EXCEPTION, xfpga_fpgaReadError(t, i, &val)); + printf("[%u] %s: 0x%016lX%s\n", i, info.name, val, + info.can_clear ? " (can clear)" : ""); + } +#endif +} + +/** + * @test error_02 + * + * @brief When passed a valid FME token, the combination of + * fpgaGetProperties() + * fpgaPropertiesGetNumErrors(), fpgaPropertiesGetErrorInfo() and + * fpgaReadError() is able to print the status of all error + * registers. + * + */ +TEST_P(error_c_mock_p, error_02) { +#ifndef BUILD_ASE + fpga_error_info info; + unsigned int n = 0; + unsigned int i = 0; + uint64_t val = 0; + fpga_token t = &fake_fme_token_; + + std::string errpath = sysfs_fme + "/errors"; + build_error_list(errpath.c_str(), &fake_fme_token_.errors); + + // get number of error registers + ASSERT_EQ(FPGA_OK, xfpga_fpgaGetProperties(t, &filter_)); + auto _prop = (_fpga_properties *)filter_; + SET_FIELD_VALID(_prop, FPGA_PROPERTY_NUM_ERRORS); + ASSERT_EQ(FPGA_OK, fpgaPropertiesGetNumErrors(filter_, &n)); + printf("Found %d FME error registers\n", n); + + // for each error register, get info and read the current value + for (i = 0; i < n; i++) { + // get info struct for error register + ASSERT_EQ(FPGA_OK, xfpga_fpgaGetErrorInfo(t, i, &info)); + EXPECT_EQ(FPGA_OK, xfpga_fpgaReadError(t, i, &val)); + printf("[%u] %s: 0x%016lX%s\n", i, info.name, val, + info.can_clear ? " (can clear)" : ""); + } + + auto result = delete_errors("fme", "errors"); + (void)result; + for (i = 0; i < n; i++) { + // get info struct for error register + ASSERT_EQ(FPGA_OK, xfpga_fpgaGetErrorInfo(t, i, &info)); + EXPECT_NE(FPGA_OK, xfpga_fpgaReadError(t, i, &val)); + printf("[%u] %s: 0x%016lX%s\n", i, info.name, val, + info.can_clear ? " (can clear)" : ""); + } +#endif +} + +/** + * @test error_03 + * + * @brief When passed a valid AFU token for an AFU with PORT errors, + * fpgaReadError() will report the correct error, and + * fpgaClearError() will clear it. + * + */ +TEST_P(error_c_mock_p, error_03) { + std::fstream clear_file; + std::ofstream error_file; + std::string clear_name = tmpsysfs_ + sysfs_port + "/errors/clear"; + std::string error_name = tmpsysfs_ + sysfs_port + "/errors/errors"; + uint64_t clear_val; + + fpga_error_info info; + unsigned int n = 0; + unsigned int i = 0; + uint64_t val = 0; + fpga_token t = &fake_port_token_; + + std::string errpath = sysfs_port + "/errors"; + build_error_list(errpath.c_str(), &fake_port_token_.errors); + + // get number of error registers + ASSERT_EQ(FPGA_OK, xfpga_fpgaGetProperties(t, &filter_)); + auto _prop = (_fpga_properties *)filter_; + SET_FIELD_VALID(_prop, FPGA_PROPERTY_NUM_ERRORS); + ASSERT_EQ(FPGA_OK, fpgaPropertiesGetNumErrors(filter_, &n)); + printf("Found %d PORT error registers\n", n); + + // for each error register, get info and read the current value + for (i = 0; i < n; i++) { + // get info struct for error register + ASSERT_EQ(FPGA_OK, xfpga_fpgaGetErrorInfo(t, i, &info)); + EXPECT_EQ(FPGA_OK, xfpga_fpgaReadError(t, i, &val)); + ASSERT_EQ(val, 0); + } + + // ------------- MAKE SURE CLEAR FILE IS 0 ------------ + clear_file.open(clear_name); + ASSERT_EQ(1, clear_file.is_open()); + clear_file >> clear_val; + clear_file.close(); + ASSERT_EQ(clear_val, 0); + + // ------------- INJECT PORT ERROR -------------------- + error_file.open(error_name); + ASSERT_EQ(1, error_file.is_open()); + error_file << "0x42" << std::endl; + error_file.close(); + + // for each error register, get info and read the current value + for (i = 0; i < n; i++) { + // get info struct for error register + ASSERT_EQ(FPGA_OK, xfpga_fpgaGetErrorInfo(t, i, &info)); + EXPECT_EQ(FPGA_OK, xfpga_fpgaReadError(t, i, &val)); + // if error, try to clear it (and check result) + if (val != 0) { + printf("[%u] %s: 0x%016lX%s\n", i, info.name, val, + info.can_clear ? " (can clear)" : ""); + EXPECT_EQ(FPGA_OK, xfpga_fpgaClearError(t, i)); + // check if value was written to clear file + clear_file.open(clear_name.c_str()); + clear_file >> std::hex >> clear_val; + clear_file.close(); + ASSERT_EQ(clear_val, val); + } + } + + // --------------- WRITE 0 TO CLEAR AND ERROR FILES (CLEAN UP) ------------- + error_file.open(error_name); + error_file << "0x0" << std::endl; + error_file.close(); + clear_file.open(clear_name); + clear_file << "0x0" << std::endl; + clear_file.close(); +} + +/** + * @test error_04 + * + * @brief When passed a valid AFU token for an AFU with PORT errors, + * fpgaReadError() will report the correct error, and + * fpgaClearAllErrors() will clear it. + * + */ +TEST_P(error_c_mock_p, error_04) { + std::fstream clear_file; + std::ofstream error_file; + std::string clear_name = tmpsysfs_ + sysfs_port + "/errors/clear"; + std::string error_name = tmpsysfs_ + sysfs_port + "/errors/errors"; + uint64_t clear_val; + + fpga_error_info info; + unsigned int n = 0; + unsigned int i = 0; + uint64_t val = 0; + fpga_token t = &fake_port_token_; + + std::string errpath = sysfs_port + "/errors"; + build_error_list(errpath.c_str(), &fake_port_token_.errors); + + // get number of error registers + ASSERT_EQ(FPGA_OK, xfpga_fpgaGetProperties(t, &filter_)); + auto _prop = (_fpga_properties *)filter_; + SET_FIELD_VALID(_prop, FPGA_PROPERTY_NUM_ERRORS); + ASSERT_EQ(FPGA_OK, fpgaPropertiesGetNumErrors(filter_, &n)); + printf("Found %d PORT error registers\n", n); + + // for each error register, get info and read the current value + for (i = 0; i < n; i++) { + // get info struct for error register + ASSERT_EQ(FPGA_OK, xfpga_fpgaGetErrorInfo(t, i, &info)); + EXPECT_EQ(FPGA_OK, xfpga_fpgaReadError(t, i, &val)); + ASSERT_EQ(val, 0); + } + + // ------------- MAKE SURE CLEAR FILE IS 0 ------------ + clear_file.open(clear_name); + ASSERT_EQ(1, clear_file.is_open()); + clear_file >> clear_val; + clear_file.close(); + ASSERT_EQ(clear_val, 0); + + // ------------- INJECT PORT ERROR -------------------- + error_file.open(error_name); + ASSERT_EQ(1, error_file.is_open()); + error_file << "0x42" << std::endl; + error_file.close(); + + // for each error register, get info and read the current value + for (i = 0; i < n; i++) { + // get info struct for error register + EXPECT_EQ(FPGA_OK, xfpga_fpgaGetErrorInfo(t, i, &info)); + EXPECT_EQ(FPGA_OK, xfpga_fpgaReadError(t, i, &val)); + // if error, try to clear it (and check result) + if (val != 0) { + printf("[%u] %s: 0x%016lX%s\n", i, info.name, val, + info.can_clear ? " (can clear)" : ""); + EXPECT_EQ(FPGA_OK, xfpga_fpgaClearAllErrors(t)); + // check if value was written to clear file + clear_file.open(clear_name); + clear_file >> std::hex >> clear_val; + clear_file.close(); + EXPECT_EQ(clear_val, val); + } + } + + // --------------- WRITE 0 TO CLEAR AND ERROR FILES (CLEAN UP) ------------- + error_file.open(error_name); + error_file << "0x0" << std::endl; + error_file.close(); + clear_file.open(clear_name); + clear_file << "0x0" << std::endl; + clear_file.close(); +} + +/** + * @test error_05 + * + * @brief When passed a valid AFU token for an AFU with PORT errors, + * fpgaReadError() will report the correct error, and + * fpgaClearError() will clear it. + * + */ +TEST_P(error_c_mock_p, error_05) { + unsigned int n = 0; + fpga_token t = &fake_port_token_; + + std::string errpath = sysfs_port + "/errors"; + build_error_list(errpath.c_str(), &fake_port_token_.errors); + + // get number of error registers + ASSERT_EQ(FPGA_OK, xfpga_fpgaGetProperties(t, &filter_)); + auto _prop = (_fpga_properties *)filter_; + SET_FIELD_VALID(_prop, FPGA_PROPERTY_NUM_ERRORS); + ASSERT_EQ(FPGA_OK, fpgaPropertiesGetNumErrors(filter_, &n)); + printf("Found %d PORT error registers\n", n); + + struct error_list *p = fake_port_token_.errors; + p->info.can_clear = false; + EXPECT_EQ(FPGA_NOT_SUPPORTED, xfpga_fpgaClearError(t, 0)); +} + +/** + * @test error_06 + * + * @brief When passed a valid FME token, + * fpgaReadError() will report the correct error, and + * fpgaClearError() will clear it. + * + */ +TEST_P(error_c_mock_p, error_06) { + fpga_error_info info; + unsigned int n = 0; + unsigned int i = 0; + fpga_token t = &fake_fme_token_; + + std::string errpath = sysfs_fme + "/errors"; + build_error_list(errpath.c_str(), &fake_fme_token_.errors); + + // get number of error registers + ASSERT_EQ(FPGA_OK, xfpga_fpgaGetProperties(t, &filter_)); + auto _prop = (_fpga_properties *)filter_; + SET_FIELD_VALID(_prop, FPGA_PROPERTY_NUM_ERRORS); + // ASSERT_EQ(FPGA_OK, xfpga_fpgaPropertiesGetNumErrors(filter_, &n)); + ASSERT_EQ(FPGA_OK, fpgaPropertiesGetNumErrors(filter_, &n)); + printf("Found %d FME error registers\n", n); + + // for each error register, get info and read the current value + for (i = 0; i < n; i++) { + // get info struct for error register + ASSERT_EQ(FPGA_OK, xfpga_fpgaGetErrorInfo(t, i, &info)); + // if error, try to clear it (and check result) + if (info.can_clear) { + EXPECT_EQ(FPGA_OK, xfpga_fpgaClearError(t, i)); + } + } + + free_error_list(fake_fme_token_.errors); + + // set error list to null + fake_fme_token_.errors = nullptr; + EXPECT_EQ(FPGA_NOT_FOUND, xfpga_fpgaClearError(t, 0)); +} +/** + * @test error_07 + * + * @brief When passed a valid FME token, + * and delete error removes errors dir + * fpgaReadError() and fpgaClearError will + * returns FPGA_EXCEPTION + * + */ +TEST_P(error_c_mock_p, error_07) { + fpga_error_info info; + fpga_token t = &fake_fme_token_; + uint32_t num_errors = 0, i = 0; + + std::string errpath = sysfs_fme + "/errors"; + // build errors and immediately remove errors dir + build_error_list(errpath.c_str(), &fake_fme_token_.errors); + + ASSERT_EQ(FPGA_OK, xfpga_fpgaGetProperties(t, &filter_)); + ASSERT_EQ(fpgaPropertiesGetNumErrors(filter_, &num_errors), FPGA_OK); + ASSERT_NE(num_errors, 0) << "No errors to clear"; + for (i = 0; i < num_errors; i++) { + ASSERT_EQ(xfpga_fpgaGetErrorInfo(t, i, &info), FPGA_OK); + if (info.can_clear) { + auto ret = delete_errors("fme", "errors"); + // get the clearable error + if (ret) { + EXPECT_EQ(FPGA_EXCEPTION, xfpga_fpgaClearError(t, i)); + } + break; + } + } + EXPECT_NE(i, num_errors) << "Did not attempt to clear errors"; +} + +/** + * @test error_08 + + * fpgaReadError() will report the correct error, and + * fpgaClearError() will clear it. + * + */ +TEST_P(error_c_mock_p, error_08) { + unsigned int n = 0; + fpga_token t = &fake_port_token_; + + std::string errpath = sysfs_port + "/errors"; + build_error_list(errpath.c_str(), &fake_port_token_.errors); + + // get number of error registers + ASSERT_EQ(FPGA_OK, xfpga_fpgaGetProperties(t, &filter_)); + auto _prop = (_fpga_properties *)filter_; + SET_FIELD_VALID(_prop, FPGA_PROPERTY_NUM_ERRORS); + ASSERT_EQ(FPGA_OK, fpgaPropertiesGetNumErrors(filter_, &n)); + printf("Found %d PORT error registers\n", n); + + EXPECT_EQ(FPGA_OK, xfpga_fpgaClearAllErrors(t)); +} + +/** + * @test error_09 + * + * @brief When passed a valid FME token, + * fpgaReadError() will report the correct error, and + * fpgaClearError() will clear it. + * + */ +TEST_P(error_c_mock_p, error_09) { + unsigned int n = 0; + fpga_token t = &fake_fme_token_; + + std::string errpath = sysfs_fme + "/errors"; + build_error_list(errpath.c_str(), &fake_fme_token_.errors); + + // get number of error registers + ASSERT_EQ(FPGA_OK, xfpga_fpgaGetProperties(t, &filter_)); + auto _prop = (_fpga_properties *)filter_; + SET_FIELD_VALID(_prop, FPGA_PROPERTY_NUM_ERRORS); + ASSERT_EQ(FPGA_OK, fpgaPropertiesGetNumErrors(filter_, &n)); + printf("Found %d PORT error registers\n", n); + + EXPECT_EQ(FPGA_OK, xfpga_fpgaClearAllErrors(t)); +} + +/** + * @test error_12 + * @brief When passed an invalid token magic, + * xfpga_fpgaClearAllErrors() should return FPGA_INVALID_PARAM. + */ +TEST_P(error_c_mock_p, error_12) { + auto fme = token_add(sysfs_fme.c_str(), dev_fme.c_str()); + ASSERT_NE(fme, nullptr); + auto port = token_add(sysfs_port.c_str(), dev_port.c_str()); + ASSERT_NE(port, nullptr); + auto parent = token_get_parent(port); + EXPECT_EQ(parent, fme); + auto tok = (struct _fpga_token *)parent; + + tok->magic = FPGA_TOKEN_MAGIC; + EXPECT_EQ(FPGA_OK, xfpga_fpgaClearAllErrors(parent)); + tok->magic = 0x123; + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaClearAllErrors(parent)); +} + +INSTANTIATE_TEST_CASE_P(error_c, error_c_mock_p, + ::testing::ValuesIn(test_platform::mock_platforms({ "skx-p","dcp-rc","dcp-vc" }))); + +class error_c_p : public error_c_mock_p {}; + +/** + * @test error_10 + * + * @brief When passed an invalid token magic, + * xfpga_fpgaReadError() should return FPGA_INVALID_PARAM. + * when token doesn't have errpath + * xfpga_fpgaReadError() should return FPGA_NOT_FOUND. + * + */ +TEST_P(error_c_p, error_10) { + auto fme = token_add(sysfs_fme.c_str(), dev_fme.c_str()); + ASSERT_NE(fme, nullptr); + auto port = token_add(sysfs_port.c_str(), dev_port.c_str()); + ASSERT_NE(port, nullptr); + auto parent = token_get_parent(port); + EXPECT_EQ(parent, fme); + auto tok = (struct _fpga_token *)parent; + + uint64_t val = 0; + tok->magic = 0x123; + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaReadError(parent, 0, &val)); + + tok->magic = FPGA_TOKEN_MAGIC; + EXPECT_EQ(FPGA_OK, xfpga_fpgaReadError(parent, 0, &val)); + EXPECT_EQ(FPGA_NOT_FOUND, xfpga_fpgaReadError(parent, 1000, &val)); +} + +/** + * @test error_11 + * + * @brief When passed an invalid token magic, + * xfpga_fpgaClearError() should return FPGA_INVALID_PARAM. + * when token doesn't have errpath + * xfpga_fpgaClearError() should return FPGA_NOT_FOUND. + * + */ +TEST_P(error_c_p, error_11) { + auto fme = token_add(sysfs_fme.c_str(), dev_fme.c_str()); + ASSERT_NE(fme, nullptr); + auto port = token_add(sysfs_port.c_str(), dev_port.c_str()); + ASSERT_NE(port, nullptr); + auto parent = token_get_parent(port); + EXPECT_EQ(parent, fme); + auto tok = (struct _fpga_token *)parent; + + EXPECT_EQ(FPGA_NOT_FOUND, xfpga_fpgaClearError(parent, 1000)); + tok->magic = 0x123; + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaClearError(parent, 0)); +} + +/** + * @test error_13 + * @brief When passed an invalid token magic, + * xfpga_fpgaClearAllErrors() should return FPGA_INVALID_PARAM. + * when token doesn't have errpath + * xfpga_fpgaClearAllErrors() should return FPGA_NOT_FOUND. + */ +TEST_P(error_c_p, error_13) { + auto fme = token_add(sysfs_fme.c_str(), dev_fme.c_str()); + ASSERT_NE(fme, nullptr); + auto port = token_add(sysfs_port.c_str(), dev_port.c_str()); + ASSERT_NE(port, nullptr); + auto parent = token_get_parent(port); + EXPECT_EQ(parent, fme); + auto tok = (struct _fpga_token *)parent; + + struct fpga_error_info info; + tok->magic = FPGA_TOKEN_MAGIC; + EXPECT_EQ(FPGA_NOT_FOUND, xfpga_fpgaGetErrorInfo(parent, 1000, &info)); + tok->magic = 0x123; + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaGetErrorInfo(parent, 0, &info)); +} + +INSTANTIATE_TEST_CASE_P(error_c, error_c_p, + ::testing::ValuesIn(test_platform::platforms({ "skx-p","dcp-rc","dcp-vc" }))); + +/** + * @test error_01 + * + * @brief When passed an NULL token + * xfpga_fpgaReadError() should return FPGA_INVALID_PARAM. + * xfpga_fpgaClearError() should return FPGA_INVALID_PARAM. + * xfpga_fpgaClearAllErrors() should return FPGA_INVALID_PARAM. + * + */ +TEST(error_c, error_01) { + fpga_token tok = NULL; + uint64_t val = 0; + + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaReadError(tok, 0, &val)); + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaClearError(tok, 0)); + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaClearAllErrors(tok)); + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaGetErrorInfo(tok, 0, NULL)); +} + +/** + * @test error_06 + * + * @brief When passed in invalid errors path to build_error_list, + * the function returns 0 for file doesn't exist. + * + */ +TEST(error_c, error_06) { + struct _fpga_token _t; + strncpy(_t.sysfspath, sysfs_port.c_str(), sysfs_port.size() + 1); + strncpy(_t.devpath, dev_port.c_str(), dev_port.size() + 1); + _t.magic = FPGA_TOKEN_MAGIC; + _t.errors = nullptr; + + std::string invalid_errpath = sysfs_port + "/errorss"; + auto result = build_error_list(invalid_errpath.c_str(), &_t.errors); + EXPECT_EQ(result, 0); +} + +/** + * @test error_07 + * + * @brief When passed pathname longer than FILENAME_MAX + * build_error_list() should return and not build anything + * + *@note Must set env-variable LIBOPAE_LOG=1 to run this test. + * + */ +TEST(error_c, error_07) { + struct error_list *el = NULL; + std::string lpn(FILENAME_MAX + 1, 'a'); + std::string exptout("path too long"); + + char *loglv = secure_getenv("LIBOPAE_LOG"); + if (loglv && atoi(loglv) > 0) { + testing::internal::CaptureStdout(); + + build_error_list(lpn.c_str(), &el); + + std::string actout = testing::internal::GetCapturedStdout(); + EXPECT_NE(std::string::npos, actout.find(exptout)); + } + + EXPECT_EQ(NULL, el); +} diff --git a/opae-libs/tests/xfpga/test_events_c.cpp b/opae-libs/tests/xfpga/test_events_c.cpp new file mode 100644 index 0000000..db62afe --- /dev/null +++ b/opae-libs/tests/xfpga/test_events_c.cpp @@ -0,0 +1,1331 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#include + +extern "C" { +fpga_result send_fme_event_request(fpga_handle, fpga_event_handle, int); +fpga_result send_port_event_request(fpga_handle, fpga_event_handle, int); +fpga_result send_uafu_event_request(fpga_handle, fpga_event_handle, uint32_t, int); +fpga_result check_interrupts_supported(fpga_handle, fpga_objtype*); +fpga_result driver_register_event(fpga_handle, fpga_event_type, fpga_event_handle, uint32_t); +fpga_result driver_unregister_event(fpga_handle, fpga_event_type, fpga_event_handle); +int xfpga_plugin_initialize(void); +int xfpga_plugin_finalize(void); +} + +#include "intel-fpga.h" +#include +#include +#include +#include +#include +#include +#include "types_int.h" +#include "gtest/gtest.h" +#include "mock/test_system.h" +#include "mock/fpgad_control.h" + +#include "error_int.h" +#include "xfpga.h" +#include +#include +#include +#include +#include +#include + +#undef FPGA_MSG +#define FPGA_MSG(fmt, ...) \ + printf("MOCK " fmt "\n", ## __VA_ARGS__) + +#undef FPGA_ERR +#define FPGA_ERR(fmt, ...) \ + printf("MOCK ERROR " fmt "\n", ## __VA_ARGS__) + +using namespace opae::testing; + +static std::string sysfs_fme = "/sys/class/fpga/intel-fpga-dev.0/intel-fpga-fme.0"; +static std::string dev_fme = "/dev/intel-fpga-fme.0"; +static std::string sysfs_port = "/sys/class/fpga/intel-fpga-dev.0/intel-fpga-port.0"; +static std::string dev_port = "/dev/intel-fpga-port.0"; +static bool gEnableIRQ = false; + +static void get_path(const std::string object_type, fpga_handle handle){ + auto h = (struct _fpga_handle*)handle; + auto tok = (struct _fpga_token*)h->token; + if (object_type.compare("fme") == 0) + { + sysfs_fme = tok->sysfspath; + dev_fme = tok->devpath; + } + else if (object_type.compare("port") == 0) + { + sysfs_port = tok->sysfspath; + dev_port = tok->devpath; + } +} + +int port_info(mock_object * m, int request, va_list argp){ + int retval = -1; + errno = EINVAL; + UNUSED_PARAM(m); + UNUSED_PARAM(request); + struct fpga_port_info *pinfo = va_arg(argp, struct fpga_port_info *); + if (!pinfo) { + FPGA_MSG("pinfo is NULL"); + goto out_EINVAL; + } + if (pinfo->argsz != sizeof(*pinfo)) { + FPGA_MSG("wrong structure size"); + goto out_EINVAL; + } + pinfo->flags = 0; + pinfo->num_regions = 1; + pinfo->num_umsgs = 8; + if (gEnableIRQ) { + pinfo->capability = FPGA_PORT_CAP_ERR_IRQ | FPGA_PORT_CAP_UAFU_IRQ; + pinfo->num_uafu_irqs = 1; + } else { + pinfo->capability = 0; + pinfo->num_uafu_irqs = 0; + } + retval = 0; + errno = 0; +out: + va_end(argp); + return retval; + +out_EINVAL: + retval = -1; + errno = EINVAL; + goto out; +} + +int fme_info(mock_object * m, int request, va_list argp){ + int retval = -1; + errno = EINVAL; + UNUSED_PARAM(m); + UNUSED_PARAM(request); + struct fpga_fme_info *fme_info = va_arg(argp, struct fpga_fme_info *); + if (!fme_info) { + FPGA_MSG("fme_info is NULL"); + goto out_EINVAL; + } + if (fme_info->argsz != sizeof(*fme_info)) { + FPGA_MSG("wrong structure size"); + goto out_EINVAL; + } + if (fme_info->flags != 0) { + FPGA_MSG("unexpected flags %u", fme_info->flags); + goto out_EINVAL; + } + if (fme_info->capability != 0) { + FPGA_MSG("unexpected capability %u", fme_info->capability); + goto out_EINVAL; + } + fme_info->capability = gEnableIRQ ? FPGA_FME_CAP_ERR_IRQ : 0; + retval = 0; + errno = 0; +out: + va_end(argp); + return retval; + +out_EINVAL: + retval = -1; + errno = EINVAL; + goto out; +} + +int set_port_irq(mock_object * m, int request, va_list argp){ + int retval = -1; + errno = EINVAL; + UNUSED_PARAM(m); + UNUSED_PARAM(request); + struct fpga_port_err_irq_set *port_irq = va_arg(argp, struct fpga_port_err_irq_set *); + if (!port_irq) { + FPGA_MSG("port_irq is NULL"); + goto out_EINVAL; + } + if (port_irq->argsz != sizeof(*port_irq)) { + FPGA_MSG("wrong structure size"); + goto out_EINVAL; + } + if (port_irq->flags != 0) { + FPGA_MSG("unexpected flags %u", port_irq->flags); + goto out_EINVAL; + } + if (gEnableIRQ && port_irq->evtfd >= 0) { + uint64_t data = 1; + // Write to the eventfd to signal one IRQ event. + if (write(port_irq->evtfd, &data, sizeof(data)) != sizeof(data)) { + FPGA_ERR("IRQ write < 8 bytes"); + } + } + retval = 0; + errno = 0; +out: + va_end(argp); + return retval; + +out_EINVAL: + retval = -1; + errno = EINVAL; + goto out; +} + +int set_fme_irq(mock_object * m, int request, va_list argp){ + int retval = -1; + errno = EINVAL; + UNUSED_PARAM(m); + UNUSED_PARAM(request); + struct fpga_fme_err_irq_set *fme_irq = va_arg(argp, struct fpga_fme_err_irq_set *); + if (!fme_irq) { + FPGA_MSG("fme_irq is NULL"); + goto out_EINVAL; + } + if (fme_irq->argsz != sizeof(*fme_irq)) { + FPGA_MSG("wrong structure size"); + goto out_EINVAL; + } + if (fme_irq->flags != 0) { + FPGA_MSG("unexpected flags %u", fme_irq->flags); + goto out_EINVAL; + } + if (gEnableIRQ && fme_irq->evtfd >= 0) { + uint64_t data = 1; + // Write to the eventfd to signal one IRQ event. + if (write(fme_irq->evtfd, &data, sizeof(data)) != sizeof(data)) { + FPGA_ERR("IRQ write < 8 bytes"); + } + } + retval = 0; + errno = 0; +out: + va_end(argp); + return retval; + +out_EINVAL: + retval = -1; + errno = EINVAL; + goto out; +} + +int set_uport_irq(mock_object * m, int request, va_list argp){ + int retval = -1; + errno = EINVAL; + UNUSED_PARAM(m); + UNUSED_PARAM(request); + struct fpga_port_uafu_irq_set *uafu_irq = + va_arg(argp, struct fpga_port_uafu_irq_set *); + if (!uafu_irq) { + FPGA_MSG("uafu_irq is NULL"); + goto out_EINVAL; + } + if (uafu_irq->argsz < sizeof(*uafu_irq)) { + FPGA_MSG("wrong structure size"); + goto out_EINVAL; + } + if (uafu_irq->flags != 0) { + FPGA_MSG("unexpected flags %u", uafu_irq->flags); + goto out_EINVAL; + } + if (gEnableIRQ) { + uint32_t i; + uint64_t data = 1; + // Write to each eventfd to signal one IRQ event. + for (i = 0 ; i < uafu_irq->count ; ++i) { + if (uafu_irq->evtfd[i] >= 0) + if (write(uafu_irq->evtfd[i], &data, sizeof(data)) != + sizeof(data)) { + FPGA_ERR("IRQ write < 8 bytes"); + } + } + } + retval = 0; + errno = 0; +out: + va_end(argp); + return retval; + +out_EINVAL: + retval = -1; + errno = EINVAL; + goto out; +} + +class events_p : public ::testing::TestWithParam, + public fpgad_control { + protected: + events_p() + : tokens_dev_{{nullptr, nullptr}}, + tokens_accel_{{nullptr, nullptr}}, + handle_dev_(nullptr), + handle_accel_(nullptr) {} + + virtual void SetUp() override { + std::string platform_key = GetParam(); + ASSERT_TRUE(test_platform::exists(platform_key)); + platform_ = test_platform::get(platform_key); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + ASSERT_EQ(FPGA_OK, xfpga_plugin_initialize()); + + ASSERT_EQ(xfpga_fpgaGetProperties(nullptr, &filter_dev_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetDeviceID(filter_dev_, + platform_.devices[0].device_id), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_dev_, FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(xfpga_fpgaEnumerate(&filter_dev_, 1, tokens_dev_.data(), tokens_dev_.size(), + &num_matches_dev_), FPGA_OK); + ASSERT_GT(num_matches_dev_, 0); + + ASSERT_EQ(xfpga_fpgaGetProperties(nullptr, &filter_accel_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetDeviceID(filter_accel_, + platform_.devices[0].device_id), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_accel_, FPGA_ACCELERATOR), FPGA_OK); + ASSERT_EQ(xfpga_fpgaEnumerate(&filter_accel_, 1, tokens_accel_.data(), + tokens_accel_.size(), &num_matches_), FPGA_OK); + ASSERT_GT(num_matches_, 0); + ASSERT_EQ(xfpga_fpgaOpen(tokens_dev_[0], &handle_dev_, 0), FPGA_OK); + ASSERT_EQ(xfpga_fpgaOpen(tokens_accel_[0], &handle_accel_, 0), FPGA_OK); + + get_path("fme", handle_dev_); + get_path("port", handle_accel_); + + ASSERT_EQ(xfpga_fpgaCreateEventHandle(&eh_), FPGA_OK); + + fpgad_start(); + + uint32_t i; + for (i = 0 ; i < num_matches_dev_ ; ++i) { + fpgad_watch(tokens_dev_[i]); + } + for (i = 0 ; i < num_matches_ ; ++i) { + fpgad_watch(tokens_accel_[i]); + } + } + + virtual void TearDown() override { + fpgad_stop(); + + EXPECT_EQ(xfpga_fpgaDestroyEventHandle(&eh_), FPGA_OK); + + EXPECT_EQ(fpgaDestroyProperties(&filter_dev_), FPGA_OK); + EXPECT_EQ(fpgaDestroyProperties(&filter_accel_), FPGA_OK); + + if (handle_dev_) { + EXPECT_EQ(xfpga_fpgaClose(handle_dev_), FPGA_OK); + handle_dev_ = nullptr; + } + + if (handle_accel_) { + EXPECT_EQ(xfpga_fpgaClose(handle_accel_), FPGA_OK); + handle_accel_ = nullptr; + } + + for (auto &t : tokens_dev_) { + if (t) { + EXPECT_EQ(FPGA_OK, xfpga_fpgaDestroyToken(&t)); + t = nullptr; + } + } + + for (auto &t : tokens_accel_) { + if (t) { + EXPECT_EQ(FPGA_OK, xfpga_fpgaDestroyToken(&t)); + t = nullptr; + } + } + xfpga_plugin_finalize(); + system_->finalize(); + } + + fpga_properties filter_dev_; + fpga_properties filter_accel_; + std::array tokens_dev_; + std::array tokens_accel_; + fpga_handle handle_dev_; + fpga_handle handle_accel_; + uint32_t num_matches_dev_; + uint32_t num_matches_; + test_platform platform_; + test_system *system_; + fpga_event_handle eh_; +}; + +/* + * @test event_01 + * + * @brief When the fpga_event_handle pointer to + * fpgaCreateEventHandle() is NULL, the function returns + * FPGA_INVALID_PARAM. + * + */ +TEST(events, event_01) { + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaCreateEventHandle(NULL)); +} + +/** + * @test event_02 + * + * @brief When the fpga_event_handle pointer to + * fpgaDestroyEventHandle() is NULL, the function returns + * FPGA_INVALID_PARAM. + * + */ +TEST(events, event_02) { + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaDestroyEventHandle(NULL)); +} + +/** + * @test event_03 + * + * @brief Tests fpgaRegisterEvent()'s ability to detect invalid + * arguments. When the handle is NULL or otherwise invalid, + * FPGA_INVALID_PARAM. When the handle has an invalid token, + * FPGA_INVALID_PARAM. When the handle's token describes a + * device for which the given event does't apply, + * FPGA_INVALID_PARAM. + * + */ +TEST(events, event_03) { + fpga_event_type e = FPGA_EVENT_ERROR; + fpga_event_handle eh; + + EXPECT_EQ(FPGA_OK, xfpga_fpgaCreateEventHandle(&eh)); + + // NULL handle. + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaRegisterEvent(NULL, e, eh, 0)); + + // handle with bad magic. + struct _fpga_handle _h; + struct _fpga_token _t; + + // token setup + strncpy(_t.sysfspath, sysfs_port.c_str(), sysfs_port.size() + 1); + strncpy(_t.devpath, dev_port.c_str(), dev_port.size() + 1); + _t.magic = FPGA_TOKEN_MAGIC; + _t.errors = nullptr; + std::string errpath = sysfs_port + "/errors"; + build_error_list(errpath.c_str(), &_t.errors); + + memset(&_h, 0, sizeof(_h)); + _h.token = &_t; + _h.magic = FPGA_INVALID_MAGIC; + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaRegisterEvent(&_h, e, eh, 0)); + + // handle with bad token. + _t.magic = FPGA_INVALID_MAGIC; + _h.magic = FPGA_HANDLE_MAGIC; + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaRegisterEvent(&_h, e, eh, 0)); + + // token/event mismatch. + strncpy(_t.sysfspath, sysfs_fme.c_str(), sysfs_fme.size() + 1); + strncpy(_t.devpath, dev_fme.c_str(), dev_fme.size() + 1); + _t.magic = FPGA_TOKEN_MAGIC; + _t.errors = nullptr; + errpath = sysfs_fme + "/errors"; + build_error_list(errpath.c_str(), &_t.errors); + + EXPECT_EQ(FPGA_INVALID_PARAM, + xfpga_fpgaRegisterEvent(&_h, FPGA_EVENT_INTERRUPT, eh, 0)); + + EXPECT_EQ(FPGA_OK, xfpga_fpgaDestroyEventHandle(&eh)); +} + +/** + * @test event_04 + * + * @brief Tests fpgaUnregisterEvent()'s ability to detect invalid + * arguments. When the handle is NULL or otherwise invalid, + * FPGA_INVALID_PARAM. When the handle has an invalid token, + * FPGA_INVALID_PARAM. When the handle's token describes a + * device for which the given event does't apply, + * FPGA_INVALID_PARAM. + * + */ +TEST(events, event_04) { + fpga_event_type e = FPGA_EVENT_ERROR; + fpga_event_handle eh; + + EXPECT_EQ(FPGA_OK, xfpga_fpgaCreateEventHandle(&eh)); + + // NULL handle. + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaUnregisterEvent(NULL, e, eh)); + + // handle with bad magic. + struct _fpga_handle _h; + struct _fpga_token _t; + + // token setup + strncpy(_t.sysfspath, sysfs_port.c_str(), sysfs_port.size() + 1); + strncpy(_t.devpath, dev_port.c_str(), dev_port.size() + 1); + _t.magic = FPGA_TOKEN_MAGIC; + _t.errors = nullptr; + std::string errpath = sysfs_port + "/errors"; + build_error_list(errpath.c_str(), &_t.errors); + + memset(&_h, 0, sizeof(_h)); + _h.token = &_t; + _h.magic = FPGA_INVALID_MAGIC; + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaUnregisterEvent(&_h, e, eh)); + + // handle with bad token. + _t.magic = FPGA_INVALID_MAGIC; + _h.magic = FPGA_HANDLE_MAGIC; + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaUnregisterEvent(&_h, e, eh)); + + // token/event mismatch. + strncpy(_t.sysfspath, sysfs_fme.c_str(), sysfs_fme.size() + 1); + strncpy(_t.devpath, dev_fme.c_str(), dev_fme.size() + 1); + _t.magic = FPGA_TOKEN_MAGIC; + _t.errors = nullptr; + errpath = sysfs_fme + "/errors"; + build_error_list(errpath.c_str(), &_t.errors); + + EXPECT_EQ(FPGA_INVALID_PARAM, + xfpga_fpgaUnregisterEvent(&_h, FPGA_EVENT_INTERRUPT, eh)); + + EXPECT_EQ(FPGA_OK, xfpga_fpgaDestroyEventHandle(&eh)); +} + +/** + * @test event_drv_08 + * + * @brief When passed an event handle with an invalid magic + * fpgaDestroyEventHandle() returns FPGA_INVALID_PARAM. + * + */ +TEST(events, event_drv_08) { + fpga_event_handle bad_handle; + EXPECT_EQ(FPGA_OK, xfpga_fpgaCreateEventHandle(&bad_handle)); + struct _fpga_event_handle *h = (struct _fpga_event_handle *) bad_handle; + + // Invalid Event Handle magic + h->magic=0x0; + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaDestroyEventHandle(&bad_handle)); + + // reset event handle magic and destroy + h->magic=FPGA_EVENT_HANDLE_MAGIC; + EXPECT_EQ(FPGA_OK, xfpga_fpgaDestroyEventHandle(&bad_handle)); +} + +/** + * @test event_drv_09 + * + * @brief When passed an event handle with an invalid fd + * fpgaDestroyEventHandle() returns FPGA_INVALID_PARAM. + * + */ +TEST(events, event_drv_09) { + fpga_event_handle bad_handle; + EXPECT_EQ(FPGA_OK, xfpga_fpgaCreateEventHandle(&bad_handle)); + struct _fpga_event_handle *h = (struct _fpga_event_handle *) bad_handle; + + // Invalid fd + auto fddev = h->fd; + h->fd = -1; + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaDestroyEventHandle(&bad_handle)); + + // Reset fd to destroy event handle + h->fd = fddev; + EXPECT_EQ(FPGA_OK, xfpga_fpgaDestroyEventHandle(&bad_handle)); +} + +/** + * @test event_drv_10 + * + * @brief When passed an event handle with an invalid magic + * fpgaGetOSObjectFromEventHandle() returns FPGA_INVALID_PARAM. + * + */ +TEST(events, event_drv_10) { + fpga_event_handle bad_handle; + int fd; + EXPECT_EQ(FPGA_OK, xfpga_fpgaCreateEventHandle(&bad_handle)); + struct _fpga_event_handle *h = (struct _fpga_event_handle *) bad_handle; + + // Invalid event handle magic + h->magic=0x0; + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaGetOSObjectFromEventHandle(bad_handle, &fd)); + + // Reset event handle magic + h->magic=FPGA_EVENT_HANDLE_MAGIC; + EXPECT_EQ(FPGA_OK, xfpga_fpgaGetOSObjectFromEventHandle(bad_handle, &fd)); + EXPECT_EQ(FPGA_OK, xfpga_fpgaDestroyEventHandle(&bad_handle)); +} + +/** + * @test register_event + * + * @brief When a valid fpga_event_handle and event types + * are passed to fpgaRegisterEvent and fpgaUnregisterEvent. + * both API calls return FPGA_OK. + */ +TEST_P(events_p, register_event) { + fpga_result res; + gEnableIRQ = true; + system_->register_ioctl_handler(FPGA_FME_GET_INFO, fme_info); + + ASSERT_EQ(res = xfpga_fpgaRegisterEvent(handle_dev_, FPGA_EVENT_ERROR, eh_, 0), FPGA_OK) + << "\tEVENT TYPE: ERROR, RESULT: " << fpgaErrStr(res); + EXPECT_EQ(res = xfpga_fpgaUnregisterEvent(handle_dev_, FPGA_EVENT_ERROR, eh_), FPGA_OK) + << "\tRESULT: " << fpgaErrStr(res); +} + +/** + * @test event_drv_11 + * + * @brief When passed an event handle with an invalid magic + * xfpga_fpgaUnregisterEvent() returns FPGA_INVALID_PARAM. + * + */ +TEST_P(events_p, event_drv_11) { + fpga_event_handle bad_handle = nullptr; + EXPECT_EQ(FPGA_OK, xfpga_fpgaCreateEventHandle(&bad_handle)); + struct _fpga_event_handle *h = (struct _fpga_event_handle *) bad_handle; + // Invalid event handle magic + auto valid_magic = h->magic; + h->magic = 0x0; + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaUnregisterEvent(handle_accel_, FPGA_EVENT_INTERRUPT, bad_handle)); + + h->magic = valid_magic; + EXPECT_EQ(xfpga_fpgaDestroyEventHandle(&bad_handle), FPGA_OK); +} + +/** + * @test event_drv_12 + * + * @brief When passed an event handle with an invalid magic + * xfpga_fpgaRegisterEvent() returns FPGA_INVALID_PARAM. + * + */ +TEST_P(events_p, event_drv_12) { + fpga_event_handle bad_handle = nullptr; + EXPECT_EQ(FPGA_OK, xfpga_fpgaCreateEventHandle(&bad_handle)); + struct _fpga_event_handle *h = (struct _fpga_event_handle *) bad_handle; + + auto valid_magic = h->magic; + // Invalid event handle magic + h->magic = 0x0; + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaRegisterEvent(handle_accel_, FPGA_EVENT_INTERRUPT, bad_handle, 0)); + h->magic = valid_magic; + EXPECT_EQ(xfpga_fpgaDestroyEventHandle(&bad_handle), FPGA_OK); +} + +/** + * @test create_destory_invalid + * @brief Given a malloc failure, fpgaCreateEventHandle returns + * FPGA_NO_MEMORY. + */ +TEST_P(events_p, create_destroy_invalid) { + // fail malloc to check edge case + EXPECT_EQ(xfpga_fpgaDestroyEventHandle(&eh_), FPGA_OK); + test_system::instance()->invalidate_malloc(); + + auto res = xfpga_fpgaCreateEventHandle(&eh_); + EXPECT_EQ(FPGA_NO_MEMORY,res); + ASSERT_EQ(xfpga_fpgaCreateEventHandle(&eh_), FPGA_OK); +} + +/** + * @test irq_event_04 + * + * @brief Given a driver with IRQ support
    + * when fpgaRegisterEvent is called with
    + * an invalid handle
    + * then the call fails with FPGA_INVALID_PARAM.
    + * Repeat for fpgaUnregisterEvent.
    + * + */ +TEST_P(events_p, irq_event_04) { + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaRegisterEvent(NULL, FPGA_EVENT_INTERRUPT, + eh_, 0)); + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaUnregisterEvent(NULL, FPGA_EVENT_INTERRUPT, + eh_)); +} + +/** + * @test irq_event_05 + * + * @brief Given a driver with IRQ support
    + * when fpgaRegisterEvent is called with
    + * an invalid event handle
    + * then the call fails with FPGA_INVALID_PARAM.
    + * Repeat for fpgaUnregisterEvent.
    + * Repeat for fpgaDestroyEventHandle.
    + * + */ +TEST_P(events_p, irq_event_05) { + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaRegisterEvent(handle_accel_, FPGA_EVENT_INTERRUPT, + NULL, 0)); + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaUnregisterEvent(handle_accel_, FPGA_EVENT_INTERRUPT, + NULL)); + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaDestroyEventHandle(NULL)); +} + +/** + * @test irq_event_06 + * + * @brief Given a driver with IRQ support
    + * when fpgaRegisterEvent is called for
    + * an FPGA_DEVICE and FPGA_EVENT_INTERRUPT
    + * then the call fails with FPGA_INVALID_PARAM.
    + * Repeat for fpgaUnregisterEvent.
    + */ +TEST_P(events_p, irq_event_06) { + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaRegisterEvent(handle_dev_, FPGA_EVENT_INTERRUPT, + eh_, 0)); + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaUnregisterEvent(handle_dev_, FPGA_EVENT_INTERRUPT, + eh_)); +} + +INSTANTIATE_TEST_CASE_P(events, events_p, + ::testing::ValuesIn(test_platform::platforms({ "skx-p","dcp-rc" }))); + + +class events_dcp_p : public events_p {}; + +/** + * @test send_port_event_request + * @brief When passed a valid event handle, handle and flag. + * It returns FPGA_OK for dcp only. + */ +TEST_P(events_dcp_p, invalid_port_event_request){ + int port_op = FPGA_IRQ_ASSIGN; + auto res = send_port_event_request(handle_accel_,eh_,port_op); + EXPECT_EQ(FPGA_OK, res) << "\t result is " << res; + + gEnableIRQ = false; + system_->register_ioctl_handler(FPGA_PORT_GET_INFO, port_info); + res = send_port_event_request(handle_accel_,eh_,port_op); + EXPECT_EQ(FPGA_OK, res); +} + +/** + * @test send_fme_event_request + * @brief When passed a valid event handle, handle and flag. + * It returns FPGA_OK for dcp only. + */ +TEST_P(events_dcp_p, invalid_fme_event_request){ + int fme_op = FPGA_IRQ_ASSIGN; + auto res = send_fme_event_request(handle_dev_,eh_,fme_op); + EXPECT_EQ(FPGA_OK, res) << "\t result is " << res; + + gEnableIRQ = false; + system_->register_ioctl_handler(FPGA_FME_GET_INFO, fme_info); + res = send_fme_event_request(handle_dev_,eh_,fme_op); + EXPECT_EQ(FPGA_OK, res); +} + +INSTANTIATE_TEST_CASE_P(events, events_dcp_p, + ::testing::ValuesIn(test_platform::hw_platforms({"dcp-rc" }))); + + +class events_mcp_p : public events_p {}; + +/** + * @test send_port_event_request + * @brief When passed a valid event handle, handle and flag. + * It returns FPGA_NOT_SUPPORTED if interrupt is not + * supported or ioctl fails. + */ +TEST_P(events_mcp_p, invalid_port_event_request){ + int port_op = FPGA_IRQ_ASSIGN; + auto res = send_port_event_request(handle_accel_,eh_,port_op); + EXPECT_EQ(FPGA_NOT_SUPPORTED, res) << "\t result is " << res; + + gEnableIRQ = false; + system_->register_ioctl_handler(FPGA_PORT_GET_INFO, port_info); + res = send_port_event_request(handle_accel_,eh_,port_op); + EXPECT_EQ(FPGA_NOT_SUPPORTED, res); +} + +/** + * @test send_fme_event_request + * @brief When passed a valid event handle, handle and flag. + * It returns FPGA_NOT_SUPPORTED if interrupt is not + * supported or ioctl fails. + */ +TEST_P(events_mcp_p, invalid_fme_event_request){ + int fme_op = FPGA_IRQ_ASSIGN; + auto res = send_fme_event_request(handle_dev_,eh_,fme_op); + EXPECT_EQ(FPGA_NOT_SUPPORTED,res) << "\t result is " << res; + + gEnableIRQ = false; + system_->register_ioctl_handler(FPGA_FME_GET_INFO, fme_info); + res = send_fme_event_request(handle_dev_,eh_,fme_op); + EXPECT_EQ(FPGA_NOT_SUPPORTED,res); +} + +INSTANTIATE_TEST_CASE_P(events, events_mcp_p, + ::testing::ValuesIn(test_platform::platforms({"skx-p" }))); + + +class events_mock_p : public events_p { +}; + +/** + * @test register_event_02 + * + * @brief When a valid fpga_event_handle and event types + * are passed to fpgaRegisterEvent and fpgaUnregisterEvent. + * both API calls return FPGA_OK. + */ +TEST_P(events_mock_p, register_event_02) { + fpga_result res; + ASSERT_EQ(res = xfpga_fpgaRegisterEvent(handle_dev_, FPGA_EVENT_POWER_THERMAL, eh_, 0), + FPGA_OK) + << "\tEVENT TYPE: ERROR, RESULT: " << fpgaErrStr(res); + EXPECT_EQ(res = xfpga_fpgaUnregisterEvent(handle_dev_, FPGA_EVENT_POWER_THERMAL, eh_), + FPGA_OK) + << "\tRESULT: " << fpgaErrStr(res); +} + +/** + * @test send_fme_event_request + * + * @brief When passed a valid event handle, handle + * with FPGA_IRQ_ASSIGN flag. + * The function return FPGA_OK. + * + */ +TEST_P(events_mock_p, valid_fme_event_request){ + int fme_op = FPGA_IRQ_ASSIGN; + + gEnableIRQ = true; + system_->register_ioctl_handler(FPGA_FME_GET_INFO, fme_info); + auto res = send_fme_event_request(handle_dev_,eh_,fme_op); + EXPECT_EQ(FPGA_OK,res); +} + +/** + * @test send_port_event_request + * @brief When passed a valid event handle and handle + * with FPGA_IRQ_ASSIGN flag. The function + * returns FPGA_OK. + */ +TEST_P(events_mock_p, valid_port_event_request){ + int port_op = FPGA_IRQ_ASSIGN; + + gEnableIRQ = true; + system_->register_ioctl_handler(FPGA_PORT_GET_INFO, port_info); + auto res = send_port_event_request(handle_accel_,eh_,port_op); + EXPECT_EQ(FPGA_OK,res); +} + +/** + * @test send_port_event_request + * @brief When passed a valid event handle and handle + * with FPGA_IRQ_DEASSIGN flag. The function + * returns FPGA_OK. + */ +TEST_P(events_mock_p, valid_port_event_request_01){ + int port_op = FPGA_IRQ_DEASSIGN; + + gEnableIRQ = true; + system_->register_ioctl_handler(FPGA_PORT_GET_INFO, port_info); + auto res = send_port_event_request(handle_accel_,eh_,port_op); + EXPECT_EQ(FPGA_OK,res); +} + +/** + * @test send_fme_event_request + * + * @brief When passed a valid event handle and handle + * with FPGA_IRQ_DEASSIGN flag. The function + * returns FPGA_OK. + * + */ +TEST_P(events_mock_p, valid_fme_event_request_01){ + int fme_op = FPGA_IRQ_DEASSIGN; + + gEnableIRQ = true; + system_->register_ioctl_handler(FPGA_FME_GET_INFO, fme_info); + auto res = send_fme_event_request(handle_dev_,eh_,fme_op); + EXPECT_EQ(FPGA_OK,res); +} + +/** + * @test send_uafu_event_request + * @brief When passed a valid event handle and handle + * with FPGA_IRQ_ASSIGN flag. The function + * returns FPGA_OK. + */ +TEST_P(events_mock_p, valid_uafu_event_request){ + int port_op = FPGA_IRQ_ASSIGN; + + gEnableIRQ = true; + system_->register_ioctl_handler(FPGA_PORT_GET_INFO, port_info); + auto res = send_uafu_event_request(handle_dev_,eh_,0,port_op); + EXPECT_EQ(FPGA_OK,res); +} + +/** + * @test send_uafu_event_request + * @brief When passed a valid event handle and handle + * with FPGA_IRQ_DEASSIGN flag. The function + * returns FPGA_OK. + */ +TEST_P(events_mock_p, valid_uafu_event_request_01){ + int port_op = FPGA_IRQ_DEASSIGN; + + gEnableIRQ = true; + system_->register_ioctl_handler(FPGA_PORT_GET_INFO, port_info); + auto res = send_uafu_event_request(handle_dev_,eh_,0,port_op); + EXPECT_EQ(FPGA_OK,res); +} + +/** + * @test send_uafu_event_request + * @brief When passed a valid event handle, handle and port params + * but an invalid interrupt num. It returns FPGA_INVALID_PARAM. + */ +TEST_P(events_mock_p, invalid_uafu_event_request_03){ + int port_op = FPGA_IRQ_ASSIGN; + gEnableIRQ = true; + + system_->register_ioctl_handler(FPGA_PORT_GET_INFO, port_info); + auto res = send_uafu_event_request(handle_dev_,eh_,2,port_op); + EXPECT_EQ(FPGA_INVALID_PARAM,res); +} + +/** + * @test afu_driver_register_event + * @brief Given invalid flags to driver_register_event, it + * returns FPGA_INVALID_PARAM. FPGA_EVENT_POWER_THERMAL + * is not supported. + */ +TEST_P(events_mock_p, afu_driver_register_event){ + int port_op = FPGA_IRQ_ASSIGN; + + // Valid params + gEnableIRQ = true; + system_->register_ioctl_handler(FPGA_PORT_GET_INFO, port_info); + auto res = driver_register_event(handle_accel_, FPGA_EVENT_ERROR, eh_, 0); + EXPECT_EQ(FPGA_OK,res); + + // Invalid num_uafu_irqs + system_->register_ioctl_handler(FPGA_PORT_GET_INFO, port_info); + res = driver_register_event(handle_accel_, FPGA_EVENT_INTERRUPT, eh_, port_op); + EXPECT_EQ(FPGA_INVALID_PARAM,res); + + // Not supported event type + res = driver_register_event(handle_accel_, FPGA_EVENT_POWER_THERMAL, eh_, 0); + EXPECT_EQ(FPGA_NOT_SUPPORTED,res); +} + +/** + * @test fme_driver_register_event + * @brief Given invalid flags to driver_register_event, it + * returns FPGA_INVALID_PARAM. + */ +TEST_P(events_mock_p, fme_driver_register_event){ + // Invalid ioctl + gEnableIRQ = true; + system_->register_ioctl_handler(FPGA_FME_GET_INFO, fme_info); + auto res = driver_register_event(handle_dev_, FPGA_EVENT_ERROR, eh_, 0); + EXPECT_EQ(FPGA_OK,res); + + res = driver_register_event(handle_dev_, FPGA_EVENT_INTERRUPT, eh_, 0); + EXPECT_EQ(FPGA_INVALID_PARAM,res); +} + +/** + * @test fme_driver_unregister_event + * @brief Given invalid event type to fme, driver_unregister_event + * returns FPGA_INVALID_PARAM. + */ +TEST_P(events_mock_p, fme_driver_unregister_event){ + gEnableIRQ = true; + system_->register_ioctl_handler(FPGA_FME_GET_INFO, fme_info); + auto res = driver_unregister_event(handle_dev_, FPGA_EVENT_ERROR, eh_); + EXPECT_EQ(FPGA_OK,res); + + // Not supported event_type + res = driver_unregister_event(handle_dev_, FPGA_EVENT_INTERRUPT, eh_); + EXPECT_EQ(FPGA_INVALID_PARAM,res); +} + +/** + * @test event_drv_13 + * + * @brief When register a valid event handle, FPGA_EVENT_INTERRUPT + * xfpga_fpgaRegisterEvent() returns FPGA_OK. + * + */ +TEST_P(events_mock_p, event_drv_13) { + fpga_event_handle bad_handle; + EXPECT_EQ(FPGA_OK, xfpga_fpgaCreateEventHandle(&bad_handle)); + + // Reset event handle magic + EXPECT_EQ(FPGA_OK, xfpga_fpgaRegisterEvent(handle_accel_, FPGA_EVENT_INTERRUPT, bad_handle, 0)); + + // Destroy event handle + auto res = xfpga_fpgaDestroyEventHandle(&bad_handle); + EXPECT_EQ(FPGA_OK, res); +} + +/** + * @test event_drv_14 + * + * @brief When passed an event handle with an invalid magic + * xfpga_fpgaUnregisterEvent() returns FPGA_INVALID_PARAM. + * + */ +TEST_P(events_mock_p, event_drv_14) { + fpga_event_handle bad_handle; + EXPECT_EQ(FPGA_OK, xfpga_fpgaCreateEventHandle(&bad_handle)); + + // Valid magic and ioctl + gEnableIRQ = true; + system_->register_ioctl_handler(FPGA_PORT_GET_INFO, port_info); + EXPECT_EQ(FPGA_OK, xfpga_fpgaUnregisterEvent(handle_accel_, FPGA_EVENT_INTERRUPT, bad_handle)); + + // Destory event handle + auto res = xfpga_fpgaDestroyEventHandle(&bad_handle); + EXPECT_EQ(FPGA_OK, res); +} + +/** + * @test send_fme_event_request + * @brief When passed a valid event handle but invalid fme params. + * It returns FPGA_INVALID_PARAM + */ +TEST_P(events_mock_p, invalid_fme_event_request_01){ + int fme_op = 0; + auto res = send_fme_event_request(handle_dev_,eh_,fme_op); + EXPECT_EQ(FPGA_INVALID_PARAM,res); + + fme_op = FPGA_IRQ_ASSIGN; + system_->register_ioctl_handler(FPGA_FME_GET_INFO, dummy_ioctl<-1,EINVAL>); + + res = send_fme_event_request(handle_dev_,eh_,fme_op); + EXPECT_EQ(FPGA_INVALID_PARAM,res); + + gEnableIRQ = true; + system_->register_ioctl_handler(FPGA_FME_GET_INFO, fme_info); + system_->register_ioctl_handler(FPGA_FME_ERR_SET_IRQ, dummy_ioctl<-1,EINVAL>); + res = send_fme_event_request(handle_dev_,eh_,fme_op); + EXPECT_EQ(FPGA_INVALID_PARAM,res); +} + +/** + * @test send_port_event_request + * + * @brief When passed a valid event handle but invalid port params. + * It returns FPGA_INVALID_PARAM + * + */ +TEST_P(events_mock_p, invalid_port_event_request_01){ + int port_op = 0; + auto res = send_port_event_request(handle_dev_,eh_,port_op); + EXPECT_EQ(FPGA_INVALID_PARAM,res); + + port_op = FPGA_IRQ_ASSIGN; + system_->register_ioctl_handler(FPGA_PORT_GET_INFO, dummy_ioctl<-1,EINVAL>); + + res = send_port_event_request(handle_dev_,eh_,port_op); + EXPECT_EQ(FPGA_INVALID_PARAM,res); + + gEnableIRQ = true; + system_->register_ioctl_handler(FPGA_PORT_GET_INFO, port_info); + system_->register_ioctl_handler(FPGA_PORT_ERR_SET_IRQ, dummy_ioctl<-1,EINVAL>); + res = send_port_event_request(handle_dev_,eh_,port_op); + EXPECT_EQ(FPGA_INVALID_PARAM,res); +} + +/** + * @test send_uafu_event_request + * @brief When passed a valid event handle but invalid port params. + * It returns FPGA_INVALID_PARAM. When ioctl fails, + * it returns FPGA_EXCEPTION. + */ +TEST_P(events_mock_p, invalid_uafu_event_request_01){ + // invalid port operation + int port_op = 0; + auto res = send_uafu_event_request(handle_dev_,eh_,0,port_op); + EXPECT_EQ(FPGA_INVALID_PARAM,res); + + // Invalid ioctl + port_op = FPGA_IRQ_ASSIGN; + system_->register_ioctl_handler(FPGA_PORT_GET_INFO, dummy_ioctl<-1,EINVAL>); + res = send_uafu_event_request(handle_dev_,eh_,0,port_op); + EXPECT_EQ(FPGA_INVALID_PARAM,res); +} + +/** + * @test send_uafu_event_request + * @brief When passed a valid event handle, handle and port params. + * It returns FPGA_EXCEPTION when ioctl fails. + */ +TEST_P(events_mock_p, invalid_uafu_event_request_02){ + int port_op = FPGA_IRQ_ASSIGN; + auto res = send_uafu_event_request(handle_dev_,eh_,0,port_op); + EXPECT_EQ(FPGA_NOT_SUPPORTED,res) << "\t result is " << res; + + gEnableIRQ = false; + system_->register_ioctl_handler(FPGA_PORT_GET_INFO, port_info); + res = send_uafu_event_request(handle_dev_,eh_,0,port_op); + EXPECT_EQ(FPGA_NOT_SUPPORTED,res); + + gEnableIRQ = true; + system_->register_ioctl_handler(FPGA_PORT_GET_INFO, port_info); + system_->register_ioctl_handler(FPGA_PORT_UAFU_SET_IRQ, dummy_ioctl<-1,EINVAL>); + res = send_uafu_event_request(handle_dev_,eh_,0,port_op); + EXPECT_EQ(FPGA_EXCEPTION,res); +} + +/** + * @test fme_interrupts_check + * @brief When irq is not supported and register invalid ioctl, + * check_interrupts_supported returns FPGA_NOT_SUPPORTED + * or FPGA_EXCEPTION. + */ +TEST_P(events_mock_p, fme_interrupts_check){ + fpga_objtype obj; + auto h = (struct _fpga_handle*)handle_dev_; + auto t = (struct _fpga_token*)h->token; + + // no fme_info capability + gEnableIRQ = false; + system_->register_ioctl_handler(FPGA_FME_GET_INFO, fme_info); + auto res = check_interrupts_supported(handle_dev_,&obj); + EXPECT_EQ(FPGA_NOT_SUPPORTED,res); + + // Value input + gEnableIRQ = true; + system_->register_ioctl_handler(FPGA_FME_GET_INFO, fme_info); + res = check_interrupts_supported(handle_dev_,&obj); + EXPECT_EQ(FPGA_OK,res); + + // interrupt ioctl + system_->register_ioctl_handler(FPGA_FME_GET_INFO, dummy_ioctl<-1,EINVAL>); + res = check_interrupts_supported(handle_dev_,&obj); + EXPECT_EQ(FPGA_EXCEPTION,res); + + // change sysfspath + strncpy(t->sysfspath, "null" , 5); + res = check_interrupts_supported(handle_dev_,&obj); + EXPECT_NE(FPGA_OK,res); +} + +/** + * @test afu_interrupts_check + * @brief When irq is not supported and register invalid ioctl, + * check_interrupts_supported returns FPGA_NOT_SUPPORTED + * or FPGA_EXCEPTION. + */ +TEST_P(events_mock_p, afu_interrupts_check){ + fpga_objtype obj; + auto h = (struct _fpga_handle*)handle_accel_; + auto t = (struct _fpga_token*)h->token; + + // no fme_info capability + gEnableIRQ = false; + system_->register_ioctl_handler(FPGA_PORT_GET_INFO, port_info); + auto res = check_interrupts_supported(handle_accel_,&obj); + EXPECT_EQ(FPGA_NOT_SUPPORTED,res); + + // Value input + gEnableIRQ = true; + system_->register_ioctl_handler(FPGA_PORT_GET_INFO, port_info); + res = check_interrupts_supported(handle_accel_,&obj); + EXPECT_EQ(FPGA_OK,res); + + // interrupt ioctl + system_->register_ioctl_handler(FPGA_PORT_GET_INFO, dummy_ioctl<-1,EINVAL>); + res = check_interrupts_supported(handle_accel_,&obj); + EXPECT_EQ(FPGA_INVALID_PARAM,res); + + // change sysfspath + strncpy(t->sysfspath, "null", 5); + res = check_interrupts_supported(handle_accel_,&obj); + EXPECT_NE(FPGA_OK,res); +} + +/** + * @test afu_driver_unregister_event + * @brief Given invalid ioctls, driver_unregister_event returns + * FPGA_INVALID_PARAM. + */ +TEST_P(events_mock_p, afu_driver_unregister_event){ + // Invalid ioctl + gEnableIRQ = true; + system_->register_ioctl_handler(FPGA_PORT_GET_INFO, port_info); + system_->register_ioctl_handler(FPGA_PORT_ERR_SET_IRQ, dummy_ioctl<-1,EINVAL>); + auto res = driver_unregister_event(handle_accel_, FPGA_EVENT_ERROR, eh_); + EXPECT_EQ(FPGA_INVALID_PARAM,res); + + system_->register_ioctl_handler(FPGA_PORT_UAFU_SET_IRQ, dummy_ioctl<-1,EINVAL>); + res = driver_unregister_event(handle_accel_, FPGA_EVENT_INTERRUPT, eh_); + EXPECT_EQ(FPGA_EXCEPTION,res); + + // Not supported event_type + res = driver_unregister_event(handle_accel_, FPGA_EVENT_POWER_THERMAL, eh_); + EXPECT_EQ(FPGA_NOT_SUPPORTED,res); +} + +/** + * @test irq_event_01 + * + * @brief Given a driver with IRQ support
    + * when fpgaRegisterEvent is called for
    + * an FPGA_DEVICE and FPGA_EVENT_ERROR
    + * then the call is successful and
    + * we can receive interrupt events on
    + * the OS-specific object from the event handle.
    + */ +TEST_P(events_mock_p, irq_event_01) { + gEnableIRQ = true; + system_->register_ioctl_handler(FPGA_FME_GET_INFO, fme_info); + system_->register_ioctl_handler(FPGA_FME_ERR_SET_IRQ, set_fme_irq); + + ASSERT_EQ(FPGA_OK, xfpga_fpgaRegisterEvent(handle_dev_, FPGA_EVENT_ERROR, + eh_, 0)); + int res; + int fd = -1; + + EXPECT_EQ(FPGA_OK, xfpga_fpgaGetOSObjectFromEventHandle(eh_, &fd)); + EXPECT_GE(fd, 0); + + struct pollfd poll_fd; + int maxpolls = 20; + + poll_fd.fd = fd; + poll_fd.events = POLLIN | POLLPRI; + poll_fd.revents = 0; + + do + { + res = poll(&poll_fd, 1, 1000); + ASSERT_GE(res, 0); + --maxpolls; + ASSERT_GT(maxpolls, 0); + } while(res == 0); + + EXPECT_EQ(res, 1); + EXPECT_NE(poll_fd.revents, 0); + + EXPECT_EQ(FPGA_OK, xfpga_fpgaUnregisterEvent(handle_dev_, FPGA_EVENT_ERROR, + eh_)); +} + +/** + * @test irq_event_02 + * + * @brief Given a driver with IRQ support
    + * when fpgaRegisterEvent is called for
    + * an FPGA_ACCELERATOR and FPGA_EVENT_ERROR
    + * then the call is successful and
    + * we can receive interrupt events on
    + * the OS-specific object from the event handle.
    + * + */ +TEST_P(events_mock_p, irq_event_02) { + gEnableIRQ = true; + system_->register_ioctl_handler(FPGA_PORT_GET_INFO, port_info); + system_->register_ioctl_handler(FPGA_PORT_ERR_SET_IRQ, set_port_irq); + + ASSERT_EQ(FPGA_OK, xfpga_fpgaRegisterEvent(handle_accel_, FPGA_EVENT_ERROR, eh_, 0)); + + int res; + int fd = -1; + + EXPECT_EQ(FPGA_OK, xfpga_fpgaGetOSObjectFromEventHandle(eh_, &fd)); + EXPECT_GE(fd, 0); + + struct pollfd poll_fd; + int maxpolls = 20; + + poll_fd.fd = fd; + poll_fd.events = POLLIN | POLLPRI; + poll_fd.revents = 0; + + do + { + res = poll(&poll_fd, 1, 1000); + ASSERT_GE(res, 0); + --maxpolls; + ASSERT_GT(maxpolls, 0); + } while(res == 0); + + EXPECT_EQ(res, 1); + EXPECT_NE(poll_fd.revents, 0); + + EXPECT_EQ(FPGA_OK, xfpga_fpgaUnregisterEvent(handle_accel_, FPGA_EVENT_ERROR, eh_)); +} + +/** + * @test irq_event_03 + * + * @brief Given a driver with IRQ support
    + * when fpgaRegisterEvent is called for
    + * an FPGA_ACCELERATOR and FPGA_EVENT_INTERRUPT
    + * then the call is successful and
    + * we can receive interrupt events on
    + * the OS-specific object from the event handle.
    + * + */ +TEST_P(events_mock_p, irq_event_03) { + gEnableIRQ = true; + system_->register_ioctl_handler(FPGA_PORT_GET_INFO, port_info); + system_->register_ioctl_handler(FPGA_PORT_UAFU_SET_IRQ, set_uport_irq); + + ASSERT_EQ(FPGA_OK, xfpga_fpgaRegisterEvent(handle_accel_, FPGA_EVENT_INTERRUPT, + eh_, 0)); + + int res; + int fd = -1; + + EXPECT_EQ(FPGA_OK, xfpga_fpgaGetOSObjectFromEventHandle(eh_, &fd)); + EXPECT_GE(fd, 0); + + struct pollfd poll_fd; + int maxpolls = 20; + + poll_fd.fd = fd; + poll_fd.events = POLLIN | POLLPRI; + poll_fd.revents = 0; + + do + { + res = poll(&poll_fd, 1, 1000); + ASSERT_GE(res, 0); + --maxpolls; + ASSERT_GT(maxpolls, 0); + } while(res == 0); + + EXPECT_EQ(res, 1); + EXPECT_NE(poll_fd.revents, 0); + + EXPECT_EQ(FPGA_OK, xfpga_fpgaUnregisterEvent(handle_accel_, FPGA_EVENT_INTERRUPT, + eh_)); +} + +INSTANTIATE_TEST_CASE_P(events, events_mock_p, + ::testing::ValuesIn(test_platform::mock_platforms({ "skx-p","dcp-rc" }))); diff --git a/opae-libs/tests/xfpga/test_manage_c.cpp b/opae-libs/tests/xfpga/test_manage_c.cpp new file mode 100644 index 0000000..47ee96a --- /dev/null +++ b/opae-libs/tests/xfpga/test_manage_c.cpp @@ -0,0 +1,52 @@ +// Copyright(c) 2017-2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#include "mock/test_system.h" +#include "xfpga.h" +#include "gtest/gtest.h" + +using namespace opae::testing; + +/** + * @test manage_01 + * + * @brief Verifies xfpga_fpgaAssignToInterface returns + * FPGA_NOT_SUPPORTED + */ +TEST(manage_c, manage_01) { + auto res = xfpga_fpgaAssignToInterface(NULL,NULL,0,0); + EXPECT_EQ(res,FPGA_NOT_SUPPORTED); +} + +/** + * @test manage_02 + * + * @brief Verifies xfpga_fpgaReleaseFromInterface returns + * FPGA_NOT_SUPPORTED + */ +TEST(manage_c, manage_02) { + auto res = xfpga_fpgaReleaseFromInterface(NULL,NULL); + EXPECT_EQ(res,FPGA_NOT_SUPPORTED); +} diff --git a/opae-libs/tests/xfpga/test_metadata_c.cpp b/opae-libs/tests/xfpga/test_metadata_c.cpp new file mode 100644 index 0000000..c47570e --- /dev/null +++ b/opae-libs/tests/xfpga/test_metadata_c.cpp @@ -0,0 +1,466 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +extern "C" { +#include "token_list_int.h" +fpga_result get_interface_id(fpga_handle, uint64_t*, uint64_t*); +int xfpga_plugin_initialize(void); +int xfpga_plugin_finalize(void); +} + +#include +#include +#include +#include "gtest/gtest.h" +#include "mock/test_system.h" +#include "xfpga.h" +#include "sysfs_int.h" + +using namespace opae::testing; + +class metadata_c + : public ::testing::TestWithParam { + protected: + metadata_c() + : handle_(nullptr), + tokens_{{nullptr, nullptr}} {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + ASSERT_EQ(xfpga_plugin_initialize(), FPGA_OK); + + ASSERT_EQ(xfpga_fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetVendorID(filter_, platform_.devices[0].vendor_id), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetDeviceID(filter_, platform_.devices[0].device_id), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), FPGA_OK); + bitstream_valid_ = system_->assemble_gbs_header(platform_.devices[0]); + mdata_ = platform_.devices[0].mdata; + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + if (handle_ != nullptr) { EXPECT_EQ(xfpga_fpgaClose(handle_), FPGA_OK); } + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(xfpga_fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + xfpga_plugin_finalize(); + system_->finalize(); + } + + fpga_handle handle_; + std::array tokens_; + fpga_properties filter_; + uint32_t num_matches_; + test_platform platform_; + test_system *system_; + std::string mdata_; + std::vector bitstream_valid_; +}; + +uint8_t bitstream_null[10] = "abcd"; +uint8_t bitstream_invalid_guid[] = "Xeon\xb7GBSv001\53\02\00\00{\"version\": 640, \"afu-image\":\ + {\"clock-frequency-high\": 312, \"clock-frequency-low\": 156, \ + \"power\": 50, \"interface-uuid\": \"1a422218-6dba-448e-b302-425cbcde1406\", \ + \"magic-no\": 488605312, \"accelerator-clusters\": [{\"total-contexts\":1,\ + \"name\": \"nlb_400\", \"accelerator-type-uuid\":\ + \"d8424dc4-a4a3-c413-f89e-433683f9040b\"}]}, \"platform-name\": \"MCP\"}"; +uint8_t bitstream_metadata_size[] = "XeonFPGA\xb7GBSv001S"; +uint8_t bitstream_empty[] = "XeonFPGA\xb7GBSv001"; +uint8_t bitstream_metadata_length[] = "XeonFPGA\xb7GBSv001S {\"version/\": 640, \"afu-image\": \ + {\"clock-frequency-high\": 312, \"clock-frequency-low\": 156,\ + \"power\": 50, \"interface-uuid\": \"1a422218-6dba-448e-b302-425cbcde1406\", \ + \"magic-no\": 488605312, \"accelerator-clusters\"\ + : [{\"total-contexts\": 1, \"name\": \"nlb_400\", \"accelerator-type-uuid\": \ + \"d8424dc4-a4a3-c413-f89e-433683f9040b\"}]}, \"platform-name\": \"MCP\"}"; +uint8_t bitstream_no_gbs_version[] = "XeonFPGA\xb7GBSv001\53\02\00\00{\"version99\": 640, \"afu-image\": \ + {\"clock-frequency-high\": 312, \"clock-frequency-low\": 156, \ + \"power\": 50, \"interface-uuid\": \"1a422218-6dba-448e-b302-425cbcde1406\", \ + \"magic-no\": 488605312, \"accelerator-clusters\": [{\"total-contexts\": 1, \ + \"name\": \"nlb_400\", \"accelerator-type-uuid\":\ + \"d8424dc4-a4a3-c413-f89e-433683f9040b\"}]}, \"platform-name\": \"MCP\"}"; +uint8_t bitstream_no_afu_image[] = "XeonFPGA\xb7GBSv001\53\02\00\00{\"version\": 640 }, \"platform-name\": \"MCP\"}"; +uint8_t bitstream_no_interface_id[] = "XeonFPGA\xb7GBSv001\53\02\00\00{\"version\": 640, \"afu-image\": \ + {\"clock-frequency-high\": 312, \"clock-frequency-low\": 156,\ + \"power\": 50, \"magic-no\": 488605312, \"accelerator-clusters\": \ + [{\"total-contexts\": 1, \"name\": \"nlb_400\", \"accelerator-type-uuid\":\ + \"d8424dc4-a4a3-c413-f89e-433683f9040b\"}]}, \"platform-name\": \"MCP\"}"; +uint8_t bitstream_invalid_interface_id[] = "XeonFPGA\xb7GBSv001\53\02\00\00{\"version\": 640, \"afu-image\": \ + {\"clock-frequency-high\": 312, \"clock-frequency-low\": 156, \ + \"power\": 50, \"interface-uuid\": \"a422218-6dba-448e-b302-425cbcde1406\", \ + \"magic-no\": 488605312, \"accelerator-clusters\": [{\"total-contexts\": 1,\ + \"name\": \"nlb_400\", \"accelerator-type-uuid\":\ + \"d8424dc4-a4a3-c413-f89e-433683f9040b\"}]}, \"platform-name\": \"MCP\"}"; +uint8_t bitstream_mismatch_interface_id[] = "XeonFPGA\xb7GBSv001\53\02\00\00{\"version\": 640, \"afu-image\": \ + {\"clock-frequency-high\": 312, \"clock-frequency-low\": 156, \ + \"power\": 50, \"interface-uuid\": \"00000000-6dba-448e-b302-425cbcde1406\", \ + \"magic-no\": 488605312, \"accelerator-clusters\": [{\"total-contexts\": 1,\ + \"name\": \"nlb_400\", \"accelerator-type-uuid\":\ + \"d8424dc4-a4a3-c413-f89e-433683f9040b\"}]}, \"platform-name\": \"MCP\"}"; +uint8_t bitstream_error_interface_id[] = "XeonFPGA\xb7GBSv001\53\02\00\00{\"version\": 640, \"afu-image\": \ + {\"clock-frequency-high\": 312, \"clock-frequency-low\": 156, \ + \"power\": 50, \"interface-uuid\": \"00000000-6dba-448e-b302-425cbcde1406\", \ + \"magic-no\": 488605312, \"accelerator-clusters\": [{\"total-contexts\": 1,\ + \"name\": \"nlb_400\", \"accelerator-type-uuid\":\ + \"d8424dc4-a4a3-c413-f89e-433683f9040b\"}]}, \"platform-name\": \"MCP\"}"; +uint8_t bitstream_no_accelerator_id[] = "XeonFPGA\xb7GBSv001\53\02\00\00{\"version\": 640, \"afu-image\": \ + {\"clock-frequency-high\": 312, \"clock-frequency-low\": 156, \ + \"power\": 50, \"interface-uuid\": \"1a422218-6dba-448e-b302-425cbcde1406\", \ + \"magic-no\": 488605312, \"accelerator-clusters\":\ + [{\"total-contexts\": 1, \"name\": \"nlb_400\"}]}, \"platform-name\": \"MCP\"}"; +uint8_t bitstream_invalid_length[] = "XeonFPGA\xb7GBSv001\00\00\00\00{\"version\": 640, \"afu-image\":\ + {\"clock-frequency-high\": 312, \"clock-frequency-low\": 156, \ + \"power\": 50, \"interface-uuid\": \"1a422218-6dba-448e-b302-425cbcde1406\", \ + \"magic-no\": 488605312, \"accelerator-clusters\": [{\"total-contexts\":1,\ + \"name\": \"nlb_400\", \"accelerator-type-uuid\":\ + \"d8424dc4-a4a3-c413-f89e-433683f9040b\"}]}, \"platform-name\": \"MCP\"}"; +uint8_t bitstream_no_accelerator[] = "XeonFPGA\xb7GBSv001\53\02\00\00{\"version\": 640, \"afu-image\":\ + {\"clock-frequency-high\": 312, \"clock-frequency-low\": 156, \ + \"power\": 50, \"interface-uuid\": \"1a422218-6dba-448e-b302-425cbcde1406\", \ + \"magic-no\": 488605312}, \"platform-name\": \"MCP\"}"; +uint8_t bitstream_no_magic_no[] = "XeonFPGA\xb7GBSv001\53\02\00\00{\"version\": 640, \"afu-image\": \ + {\"clock-frequency-high\": 312, \"clock-frequency-low\": 156, \ + \"power\": 50, \"interface-uuid\": \"1a422218-6dba-448e-b302-425cbcde1406\", \ + \"magic-no99\": 488605312, \"accelerator-clusters\": [{\"total-contexts\": 1,\ + \"name\": \"nlb_400\", \"accelerator-type-uuid\":\ + \"d8424dc4-a4a3-c413-f89e-433683f9040b\"}]}, \"platform-name\": \"MCP\"}"; +uint8_t bitstream_invalid_magic_no[] = "XeonFPGA\xb7GBSv001\53\02\00\00{\"version\": 640, \"afu-image\": \ + {\"clock-frequency-high\": 312, \"clock-frequency-low\": 156, \ + \"power\": 50, \"interface-uuid\": \"1a422218-6dba-448e-b302-425cbcde1406\", \ + \"magic-no\": 000000000, \"accelerator-clusters\": [{\"total-contexts\": 1,\ + \"name\": \"nlb_400\", \"accelerator-type-uuid\":\ + \"d8424dc4-a4a3-c413-f89e-433683f9040b\"}]}, \"platform-name\": \"MCP\"}"; +uint8_t bitstream_invalid_json[] = "XeonFPGA\xb7GBSv001\53\02\00\00{\"version\": \"afu-image\":\ + {\"clock-frequency-high\": 312, \"clock-frequency-low\": 156, \ + \"power\": 50, \"interface-uuid\": \"1a422218-6dba-448e-b302-425cbcde1406\", \ + \"magic-no\": 488605312}, \"platform-name\": \"MCP\"}"; + +/** +* @test read_gbs_metadata +* @brief Tests: read_gbs_metadata +* @details read_gbs_metadata returns BS metadata +* Then the return value is FPGA_OK +*/ +TEST_P(metadata_c, read_gbs_metadata) { + struct gbs_metadata gbs_metadata; + + // Invalid input parameters - null bitstream and metadata + fpga_result result = read_gbs_metadata(NULL, NULL); + EXPECT_NE(result, FPGA_OK); + + // Invalid input parameter - null bitstream + result = read_gbs_metadata(NULL, &gbs_metadata); + EXPECT_NE(result, FPGA_OK); + + // Invalid input parameter - null metadata + result = read_gbs_metadata(bitstream_null, NULL); + EXPECT_NE(result, FPGA_OK); + + // Invalid input bitstream + result = read_gbs_metadata(bitstream_null, &gbs_metadata); + EXPECT_NE(result, FPGA_OK); + + // Invalid bitstream metadata size + result = read_gbs_metadata(bitstream_metadata_size, &gbs_metadata); + EXPECT_NE(result, FPGA_OK); + + // Zero metadata length with no data + result = read_gbs_metadata(bitstream_empty, &gbs_metadata); + EXPECT_NE(result, FPGA_OK); + + // Invalid metadata length + result = read_gbs_metadata(bitstream_metadata_length, &gbs_metadata); + EXPECT_NE(result, FPGA_OK); + + // Invalid input bitstream - no GBS version + result = read_gbs_metadata(bitstream_no_gbs_version, &gbs_metadata); + EXPECT_NE(result, FPGA_OK); + + // Valid metadata + result = read_gbs_metadata(bitstream_valid_.data(), &gbs_metadata); + EXPECT_EQ(result, FPGA_OK); + + test_system::instance()->invalidate_malloc(); + + // Valid metadata - malloc fail + result = read_gbs_metadata(bitstream_valid_.data(), &gbs_metadata); + EXPECT_EQ(result, FPGA_NO_MEMORY); + + // Invalid metadata afu-image node + result = read_gbs_metadata(bitstream_no_afu_image, &gbs_metadata); + EXPECT_NE(result, FPGA_OK); + + // Invalid metadata interface-uuid + result = read_gbs_metadata(bitstream_no_interface_id, &gbs_metadata); + EXPECT_NE(result, FPGA_OK); + + // Invalid metadata afu-uuid + result = read_gbs_metadata(bitstream_no_accelerator_id, &gbs_metadata); + EXPECT_NE(result, FPGA_OK); + + // Invalid input bitstream + result = read_gbs_metadata(bitstream_invalid_length, &gbs_metadata); + EXPECT_NE(result, FPGA_OK); + + // Invalid input bitstream - no accelerator clusters + result = read_gbs_metadata(bitstream_no_accelerator, &gbs_metadata); + EXPECT_NE(result, FPGA_OK); + + // Invalid input bitstream - invalid json + result = read_gbs_metadata(bitstream_invalid_json, &gbs_metadata); + EXPECT_EQ(result, FPGA_INVALID_PARAM); +} + + + +/** +* @test validate_bitstream_metadata_neg +* @brief Tests: validate_bitstream_metadata +* @details validate_bitstream_metadata validates BS metadata +* Returns FPGA_OK if metadata is valid +*/ +TEST_P(metadata_c, validate_bitstream_metadata_neg) { + fpga_result result; + + ASSERT_EQ(FPGA_OK, xfpga_fpgaOpen(tokens_[0], &handle_, 0)); + + test_system::instance()->invalidate_malloc(); + + // Valid metadata - malloc fail + result = validate_bitstream_metadata(handle_, bitstream_valid_.data()); + EXPECT_EQ(result, FPGA_NO_MEMORY); + + // Invalid input bitstream + result = validate_bitstream_metadata(handle_, bitstream_invalid_guid); + EXPECT_NE(result, FPGA_OK); + + // Empty metadata + result = validate_bitstream_metadata(handle_, bitstream_empty); + EXPECT_EQ(result, FPGA_OK); + + // Invalid metadata size + result = validate_bitstream_metadata(handle_, bitstream_metadata_size); + EXPECT_EQ(result, FPGA_EXCEPTION); + + // Invalid metadata - no magic-no + result = validate_bitstream_metadata(handle_, bitstream_no_magic_no); + EXPECT_EQ(result, FPGA_INVALID_PARAM); + + // Invalid metadata - invalid interface id + result = validate_bitstream_metadata(handle_, bitstream_invalid_interface_id); + EXPECT_NE(result, FPGA_OK); + + // Invalid metadata - interface ID check failed + result = validate_bitstream_metadata(handle_, bitstream_mismatch_interface_id); + EXPECT_NE(result, FPGA_OK); + + // Invalid metadata - interface ID check failed + result = validate_bitstream_metadata(handle_, bitstream_error_interface_id); + EXPECT_NE(result, FPGA_OK); + + // Invalid metadata - no afu-image + result = validate_bitstream_metadata(handle_, bitstream_no_afu_image); + EXPECT_EQ(result, FPGA_INVALID_PARAM); + + // Invalid metadata - invalid magic-no + result = validate_bitstream_metadata(handle_, bitstream_invalid_magic_no); + EXPECT_EQ(result, FPGA_NOT_FOUND); +} + +/** +* @test get_bitstream_header_len +* @brief Tests: get_bitstream_header_len +* @details get_bitstream_header_len returns bitstream header length. +*/ +TEST_P(metadata_c, get_bitstream_header_len) { + int len; + + // Valid metadata + len = get_bitstream_header_len(bitstream_valid_.data()); + EXPECT_EQ(len, mdata_.size() + sizeof(fpga_guid) + sizeof(uint32_t)); + + // Invalid guid + len = get_bitstream_header_len(bitstream_invalid_guid); + EXPECT_EQ(len, -1); + + // Null bitstream + len = get_bitstream_header_len(NULL); + EXPECT_EQ(len, -1); +} + +/** +* @test get_bitstream_json_len +* @brief Tests: get_bitstream_json_len +* @details get_bitstream_json_len returns json length. +*/ +TEST_P(metadata_c, get_bitstream_json_len) { + int len; + + // Valid metadata + len = get_bitstream_json_len(bitstream_valid_.data()); + EXPECT_EQ(len, mdata_.size()); + + // Invalid metadata + len = get_bitstream_json_len(bitstream_invalid_guid); + EXPECT_EQ(len, -1); + + // Null bitstream + len = get_bitstream_json_len(NULL); + EXPECT_EQ(len, -1); +} + +/** +* @test get_interface_id_01 +* @brief Tests: get_interface_id +* @details Given invalid params, the function returns FPGA_INVALID_PARAM +*/ +TEST_P(metadata_c, get_interface_id_01) { + uint64_t id_l; + uint64_t id_h; + auto _token = (struct _fpga_token *)tokens_[0]; + ASSERT_EQ(FPGA_OK, xfpga_fpgaOpen(tokens_[0], &handle_, 0)); + + // Invalid object type + _token->magic = 0x123; + auto res = get_interface_id(handle_, &id_l, &id_h); + EXPECT_EQ(res, FPGA_INVALID_PARAM); + + _token->magic = FPGA_TOKEN_MAGIC; + + res = get_interface_id(handle_, nullptr, nullptr); + EXPECT_EQ(res, FPGA_INVALID_PARAM); +} + +/** +* @test get_interface_id_02 +* @brief Tests: get_interface_id +* @details Given invalid params, the function returns FPGA_INVALID_PARAM +*/ +TEST_P(metadata_c, get_interface_id_02) { + uint64_t id_l; + uint64_t id_h; + ASSERT_EQ(FPGA_OK, xfpga_fpgaOpen(tokens_[0], &handle_, 0)); + struct _fpga_handle *handle = (struct _fpga_handle *)handle_; + + handle->token = NULL; + auto res = get_interface_id(handle_, &id_l, &id_h); + EXPECT_EQ(res, FPGA_INVALID_PARAM); +} + +/** +* @test get_interface_id_03 +* @brief Tests: get_interface_id +* @details Given invalid params, the function returns FPGA_EXCEPTION +*/ +TEST_P(metadata_c, get_interface_id_03) { + std::string sysfs_fme = "/sys/class/fpga/intel-fpga-dev.0/intel-fpga-fme.01"; + uint64_t id_l; + uint64_t id_h; + auto _token = (struct _fpga_token *)tokens_[0]; + ASSERT_EQ(FPGA_OK, xfpga_fpgaOpen(tokens_[0], &handle_, 0)); + + // invalid file + strncpy(_token->sysfspath, sysfs_fme.c_str(), sysfs_fme.size() + 1); + auto res = get_interface_id(handle_, &id_l, &id_h); + EXPECT_EQ(res, FPGA_EXCEPTION); +} + +INSTANTIATE_TEST_CASE_P(metadata, metadata_c, ::testing::ValuesIn(test_platform::keys(true))); +class metadata_mock_c : public metadata_c {}; + +/** +* @test validate_metadata +* @brief Tests: validate_bitstream_metadata +* @details validate_bitstream_metadata validates BS metadata +* Returns FPGA_OK if metadata is valid +*/ +TEST_P(metadata_mock_c, validate_bitstream_metadata) { + fpga_result result; + + ASSERT_EQ(FPGA_OK, xfpga_fpgaOpen(tokens_[0], &handle_, 0)); + + result = validate_bitstream_metadata(handle_, bitstream_valid_.data()); + EXPECT_EQ(result, FPGA_OK); +} + +INSTANTIATE_TEST_CASE_P(metadata, metadata_mock_c, + ::testing::ValuesIn(test_platform::mock_platforms({ "skx-p-dfl0_patchset2", "skx-p" }))); + +class metadata_mock_rc_c : public metadata_c {}; + +uint8_t bitstream_rc_guid[] = "XeonFPGA\xb7GBSv001\53\02\00\00 {\"version\": 640, \"afu-image\":\ + {\"clock-frequency-high\": 312, \"clock-frequency-low\": 156, \ + \"power\": 50, \"interface-uuid\": \"F64E598B-EA11-517F-A28E-7BC65D885104\", \ + \"magic-no\": 488605312, \"accelerator-clusters\": [{\"total-contexts\":1,\ + \"name\": \"nlb_400\", \"accelerator-type-uuid\":\ + \"F64E598B-EA11-517F-A28E-7BC65D885104\"}]}, \"platform-name\": \"RC\"}"; + +/** +* @test validate_metadata +* @brief Tests: validate_bitstream_metadata +* @details validate_bitstream_metadata validates BS metadata +* Returns FPGA_OK if metadata is valid +*/ +TEST_P(metadata_mock_rc_c, validate_bitstream_metadata_rc) { + fpga_result result; + + ASSERT_EQ(FPGA_OK, xfpga_fpgaOpen(tokens_[0], &handle_, 0)); + + result = validate_bitstream_metadata(handle_, bitstream_rc_guid); + EXPECT_EQ(result, FPGA_OK); +} + +INSTANTIATE_TEST_CASE_P(metadata, metadata_mock_rc_c, + ::testing::ValuesIn(test_platform::mock_platforms({"dcp-rc","dcp-rc-dfl0_patchset2"}))); + + +class metadata_hw_c : public metadata_c {}; + +/** +* @test validate_metadata +* @brief Tests: validate_bitstream_metadata +* @details validate_bitstream_metadata validates BS metadata +* Returns FPGA_OK if metadata is valid +*/ +TEST_P(metadata_hw_c, validate_bitstream_metadata) { + fpga_result result; + + ASSERT_EQ(FPGA_OK, xfpga_fpgaOpen(tokens_[0], &handle_, 0)); + + result = validate_bitstream_metadata(handle_, bitstream_valid_.data()); + EXPECT_EQ(result, FPGA_OK); +} + +INSTANTIATE_TEST_CASE_P(metadata, metadata_hw_c, + ::testing::ValuesIn(test_platform::hw_platforms({"skx-p", "dcp-rc"}))); diff --git a/opae-libs/tests/xfpga/test_metrics_c.cpp b/opae-libs/tests/xfpga/test_metrics_c.cpp new file mode 100644 index 0000000..cc69a38 --- /dev/null +++ b/opae-libs/tests/xfpga/test_metrics_c.cpp @@ -0,0 +1,589 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +extern "C" { + +#include +#include +#include "intel-fpga.h" +#include "types_int.h" +#include "sysfs_int.h" +#include "metrics/metrics_int.h" +#include "metrics/vector.h" +#include "opae_int.h" +} + +#include +#include + +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include "gtest/gtest.h" +#include "mock/test_system.h" +#include "token_list_int.h" +#include "xfpga.h" +#include "mock/test_utils.h" + +#undef FPGA_MSG +#define FPGA_MSG(fmt, ...) \ + printf("MOCK " fmt "\n", ## __VA_ARGS__) + +extern "C" { +int xfpga_plugin_initialize(void); +int xfpga_plugin_finalize(void); +} + +using namespace opae::testing; + +int mmio_ioctl(mock_object *m, int request, va_list argp) { + int retval = -1; + errno = EINVAL; + UNUSED_PARAM(m); + UNUSED_PARAM(request); + struct fpga_port_region_info *rinfo = + va_arg(argp, struct fpga_port_region_info *); + if (!rinfo) { + FPGA_MSG("rinfo is NULL"); + goto out_EINVAL; + } + if (rinfo->argsz != sizeof(*rinfo)) { + FPGA_MSG("wrong structure size"); + goto out_EINVAL; + } + if (rinfo->index > 1) { + FPGA_MSG("unsupported MMIO index"); + goto out_EINVAL; + } + if (rinfo->padding != 0) { + FPGA_MSG("unsupported padding"); + goto out_EINVAL; + } + rinfo->flags = FPGA_REGION_READ | FPGA_REGION_WRITE | FPGA_REGION_MMAP; + rinfo->size = 0x40000; + rinfo->offset = 0; + retval = 0; + errno = 0; + +out: + return retval; + +out_EINVAL: + retval = -1; + errno = EINVAL; + goto out; +} + +class metrics_c_p : public ::testing::TestWithParam { + protected: + metrics_c_p() : tokens_{{nullptr, nullptr}}, handle_(nullptr) {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + ASSERT_EQ(xfpga_plugin_initialize(), FPGA_OK); + ASSERT_EQ(xfpga_fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), FPGA_OK); + ASSERT_GT(num_matches_, 0); + ASSERT_EQ(xfpga_fpgaOpen(tokens_[0], &handle_, 0), FPGA_OK); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(xfpga_fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + if (handle_) { + EXPECT_EQ(xfpga_fpgaClose(handle_), FPGA_OK); + handle_ = nullptr; + } + xfpga_plugin_finalize(); + system_->finalize(); + } + + std::array tokens_; + fpga_handle handle_; + fpga_properties filter_; + uint32_t num_matches_; + test_platform platform_; + test_system *system_; +}; + +/** +* @test test_metric_01 +* @brief Tests: xfpga_fpgaGetNumMetrics +* @details Validates get number metrics +* +*/ +TEST_P(metrics_c_p, test_metric_01) { + // get number of metrics + uint64_t num_metrics; + EXPECT_EQ(FPGA_OK, xfpga_fpgaGetNumMetrics(handle_, &num_metrics)); + + // NULL input parameters + EXPECT_NE(FPGA_OK, xfpga_fpgaGetNumMetrics(handle_, NULL)); + + EXPECT_NE(FPGA_OK, xfpga_fpgaGetNumMetrics(NULL, &num_metrics)); + + struct _fpga_handle *_handle = (struct _fpga_handle *)handle_; + + int fddev = _handle->fddev; + _handle->fddev = -1; + + EXPECT_NE(FPGA_OK, xfpga_fpgaGetNumMetrics(handle_, &num_metrics)); + _handle->fddev = fddev; +} + +/** +* @test test_metric_02 +* @brief Tests: xfpga_fpgaGetMetricsInfo +* @details Validates get metrics info +* +*/ +TEST_P(metrics_c_p, test_metric_02) { + struct _fpga_handle *_handle = NULL; + uint64_t num_metrics; + + EXPECT_EQ(FPGA_OK, xfpga_fpgaGetNumMetrics(handle_, &num_metrics)); + + struct fpga_metric_info *fpga_metric_info = (struct fpga_metric_info *)calloc( + sizeof(struct fpga_metric_info), num_metrics); + + EXPECT_EQ(FPGA_OK, + xfpga_fpgaGetMetricsInfo(handle_, fpga_metric_info, &num_metrics)); + + EXPECT_NE(FPGA_OK, + xfpga_fpgaGetMetricsInfo(NULL, fpga_metric_info, &num_metrics)); + + EXPECT_NE(FPGA_OK, xfpga_fpgaGetMetricsInfo(handle_, NULL, &num_metrics)); + + _handle = (struct _fpga_handle *)handle_; + + int fddev = _handle->fddev; + _handle->fddev = -1; + + EXPECT_NE(FPGA_OK, + xfpga_fpgaGetMetricsInfo(handle_, fpga_metric_info, &num_metrics)); + + _handle->fddev = fddev; + + free(fpga_metric_info); +} + +/** +* @test test_metric_03 +* @brief Tests: xfpga_fpgaGetMetricsByIndex +* @details Validates get metric value by index +* +*/ +TEST_P(metrics_c_p, test_metric_03) { + struct _fpga_handle *_handle = NULL; + + uint64_t id_array[] = {1, 5, 30, 35, 10}; + + struct fpga_metric *metric_array = + (struct fpga_metric *)calloc(sizeof(struct fpga_metric), 5); + + EXPECT_EQ(FPGA_OK, + xfpga_fpgaGetMetricsByIndex(handle_, id_array, 5, metric_array)); + + EXPECT_NE(FPGA_OK, + xfpga_fpgaGetMetricsByIndex(NULL, id_array, 5, metric_array)); + + EXPECT_NE(FPGA_OK, + xfpga_fpgaGetMetricsByIndex(handle_, NULL, 5, metric_array)); + + EXPECT_NE(FPGA_OK, xfpga_fpgaGetMetricsByIndex(handle_, id_array, 5, NULL)); + + _handle = (struct _fpga_handle *)handle_; + int fddev = _handle->fddev; + + _handle->fddev = -1; + EXPECT_NE(FPGA_OK, + xfpga_fpgaGetMetricsByIndex(handle_, id_array, 5, metric_array)); + + _handle->fddev = fddev; + + free(metric_array); +} + +/** +* @test test_metric_03 +* @brief Tests: xfpga_fpgaGetMetricsByName +* @details Validates get metric value by name +* +*/ +TEST_P(metrics_c_p, test_metric_04) { + struct _fpga_handle *_handle = NULL; + + const char *metric_string[2] = {"power_mgmt:consumed", + "performance:fabric:port0:mmio_read"}; + uint64_t array_size = 2; + + struct fpga_metric *metric_array_search = + (struct fpga_metric *)calloc(sizeof(struct fpga_metric), array_size); + + xfpga_fpgaGetMetricsByName(handle_, (char **)metric_string, array_size, + metric_array_search); + + const char *metric_string_invalid[2] = { + "power_mgmtconsumed1", "performance1:fabric:port0:mmio_read1"}; + struct fpga_metric *metric_array_search_invalid = + (struct fpga_metric *)calloc(sizeof(struct fpga_metric), array_size); + + xfpga_fpgaGetMetricsByName(handle_, (char **)metric_string_invalid, + array_size, metric_array_search_invalid); + + free(metric_array_search_invalid); + + EXPECT_NE(FPGA_OK, + xfpga_fpgaGetMetricsByName(NULL, (char **)metric_string, array_size, + metric_array_search)); + + EXPECT_NE(FPGA_OK, xfpga_fpgaGetMetricsByName(handle_, NULL, array_size, + metric_array_search)); + + EXPECT_NE(FPGA_OK, xfpga_fpgaGetMetricsByName(handle_, (char **)metric_string, + array_size, NULL)); + + EXPECT_NE(FPGA_OK, xfpga_fpgaGetMetricsByName(handle_, (char **)metric_string, + 0, metric_array_search)); + + _handle = (struct _fpga_handle *)handle_; + + int fddev = _handle->fddev; + _handle->fddev = -1; + + EXPECT_NE(FPGA_OK, + xfpga_fpgaGetMetricsByName(handle_, (char **)metric_string, + array_size, metric_array_search)); + + _handle->fddev = fddev; + free(metric_array_search); +} + +INSTANTIATE_TEST_CASE_P(metrics_c, metrics_c_p, + ::testing::ValuesIn(test_platform::mock_platforms({"skx-p"}))); + +/** +* @brief metrics afu gtest fixture +* +*/ +class metrics_afu_c_p : public ::testing::TestWithParam { + protected: + metrics_afu_c_p() + : tokens_{{nullptr, nullptr}}, + handle_(nullptr) {} + + void create_metric_bbb_dfh(); + void create_metric_bbb_csr(); + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + ASSERT_EQ(xfpga_plugin_initialize(), FPGA_OK); + ASSERT_EQ(xfpga_fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), FPGA_OK); + ASSERT_EQ(xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), FPGA_OK); + ASSERT_GT(num_matches_, 0); + ASSERT_EQ(xfpga_fpgaOpen(tokens_[0], &handle_, 0), FPGA_OK); + + system_->register_ioctl_handler(FPGA_PORT_GET_REGION_INFO, mmio_ioctl); + which_mmio_ = 0; + uint64_t *mmio_ptr = nullptr; + EXPECT_EQ(xfpga_fpgaMapMMIO(handle_, which_mmio_, &mmio_ptr), FPGA_OK); + EXPECT_NE(mmio_ptr, nullptr); + } + + virtual void TearDown() override { + EXPECT_EQ(xfpga_fpgaUnmapMMIO(handle_, which_mmio_), FPGA_OK); + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(xfpga_fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + if (handle_) { + EXPECT_EQ(xfpga_fpgaClose(handle_), FPGA_OK); + handle_ = nullptr; + } + + xfpga_plugin_finalize(); + system_->finalize(); + } + + uint32_t which_mmio_; + std::array tokens_; + fpga_handle handle_; + fpga_properties filter_; + uint32_t num_matches_; + test_platform platform_; + test_system *system_; +}; + +void metrics_afu_c_p::create_metric_bbb_dfh() { + struct DFH dfh; + dfh.id = 0x1; + dfh.revision = 0; + dfh.next_header_offset = 0x100; + dfh.eol = 1; + dfh.reserved = 0; + dfh.type = 0x1; + + printf("------dfh.csr = %lx \n", dfh.csr); + EXPECT_EQ(FPGA_OK, xfpga_fpgaWriteMMIO64(handle_, 0, 0x0, dfh.csr)); + + EXPECT_EQ(FPGA_OK, + xfpga_fpgaWriteMMIO64(handle_, 0, 0x8, 0xf89e433683f9040b)); + EXPECT_EQ(FPGA_OK, + xfpga_fpgaWriteMMIO64(handle_, 0, 0x10, 0xd8424dc4a4a3c413)); + + struct DFH dfh_bbb = {0}; + + dfh_bbb.type = 0x2; + dfh_bbb.id = 0x1; + dfh_bbb.revision = 0; + dfh_bbb.next_header_offset = 0x000; + dfh_bbb.eol = 1; + dfh_bbb.reserved = 0; + printf("------dfh_bbb.csr = %lx \n", dfh_bbb.csr); + + EXPECT_EQ(FPGA_OK, xfpga_fpgaWriteMMIO64(handle_, 0, 0x100, dfh_bbb.csr)); + + EXPECT_EQ(FPGA_OK, + xfpga_fpgaWriteMMIO64(handle_, 0, 0x108, 0x9D73E8F258E9E3D7)); + EXPECT_EQ(FPGA_OK, + xfpga_fpgaWriteMMIO64(handle_, 0, 0x110, 0x87816958C1484CD0)); +} + +void metrics_afu_c_p::create_metric_bbb_csr() { + struct metric_bbb_group group_csr = {0}; + struct metric_bbb_value value_csr = {0}; + + group_csr.eol = 0; + group_csr.group_id = 0x2; + group_csr.units = 0x2; + group_csr.next_group_offset = 0x30; + + EXPECT_EQ(FPGA_OK, xfpga_fpgaWriteMMIO64(handle_, 0, 0x120, group_csr.csr)); + printf("------group_csr.csr = %lx \n", group_csr.csr); + + value_csr.eol = 0x0; + value_csr.counter_id = 0xa; + value_csr.value = 0x99; + + EXPECT_EQ(FPGA_OK, xfpga_fpgaWriteMMIO64(handle_, 0, 0x128, value_csr.csr)); + printf("------value_csr.csr = %lx \n", value_csr.csr); + + value_csr.eol = 0x1; + value_csr.counter_id = 0xb; + value_csr.value = 0x89; + + EXPECT_EQ(FPGA_OK, xfpga_fpgaWriteMMIO64(handle_, 0, 0x130, value_csr.csr)); + printf("------value_csr.csr = %lx \n", value_csr.csr); + + // second group + group_csr.eol = 1; + group_csr.group_id = 0x3; + group_csr.units = 0x3; + group_csr.next_group_offset = 0x0; + + EXPECT_EQ(FPGA_OK, + xfpga_fpgaWriteMMIO64(handle_, 0, 0x120 + 0x30, group_csr.csr)); + printf("------group_csr.csr = %lx \n", group_csr.csr); + // second value + value_csr.eol = 0x0; + value_csr.counter_id = 0xc; + value_csr.value = 0x79; + + EXPECT_EQ(FPGA_OK, + xfpga_fpgaWriteMMIO64(handle_, 0, 0x120 + 0x38, value_csr.csr)); + printf("------value_csr.csr = %lx \n", value_csr.csr); + + value_csr.eol = 0x1; + value_csr.counter_id = 0xd; + value_csr.value = 0x69; + + EXPECT_EQ(FPGA_OK, + xfpga_fpgaWriteMMIO64(handle_, 0, 0x120 + 0x40, value_csr.csr)); + printf("------value_csr.csr = %lx \n", value_csr.csr); +} + +/** +* @test test_afc_metric_01 +* @brief Tests: xfpga_fpgaGetNumMetrics +* xfpga_fpgaGetNumMetrics functions +* @details Validates AFU get number metrics & +* get metrics info +* +*/ +TEST_P(metrics_afu_c_p, test_afc_metric_01) { + create_metric_bbb_dfh(); + create_metric_bbb_csr(); + + uint64_t num_metrics = 0; + + EXPECT_EQ(FPGA_OK, xfpga_fpgaGetNumMetrics(handle_, &num_metrics)); + printf("num_metrics =%ld \n", num_metrics); + + struct fpga_metric_info *fpga_metric_info = (struct fpga_metric_info *)calloc( + sizeof(struct fpga_metric_info), num_metrics); + + EXPECT_EQ(FPGA_OK, + xfpga_fpgaGetMetricsInfo(handle_, fpga_metric_info, &num_metrics)); + + free(fpga_metric_info); +} + +/** +* @test test_afc_metric_02 +* @brief Tests: xfpga_fpgaGetNumMetrics +* xfpga_fpgaGetNumMetrics +* xfpga_fpgaGetMetricsByIndex functions +* @details Validates no AFU metrics +* +*/ +TEST_P(metrics_afu_c_p, test_afc_metric_02) { + uint64_t num_metrics = 0; + auto handle = (struct _fpga_handle*)handle_; + + EXPECT_NE(FPGA_OK, xfpga_fpgaGetNumMetrics(handle_, &num_metrics)); + printf("num_metrics =%ld \n", num_metrics); + EXPECT_EQ(FPGA_OK, free_fpga_enum_metrics_vector(handle)); + + // No AFU metrics + struct fpga_metric_info *fpga_metric_info = (struct fpga_metric_info *)calloc( + sizeof(struct fpga_metric_info), num_metrics); + + EXPECT_NE(FPGA_OK, + xfpga_fpgaGetMetricsInfo(handle_, fpga_metric_info, &num_metrics)); + free(fpga_metric_info); + + EXPECT_EQ(FPGA_OK, free_fpga_enum_metrics_vector(handle)); + + // No AFU metrics + uint64_t id_array[] = {1, 2, 3}; + struct fpga_metric *metric_array = + (struct fpga_metric *)calloc(sizeof(struct fpga_metric), 3); + + EXPECT_NE(FPGA_OK, + xfpga_fpgaGetMetricsByIndex(handle_, id_array, 3, metric_array)); + + free(metric_array); +} + +/** +* @test test_afc_metric_03 +* @brief Tests: xfpga_fpgaGetMetricsByIndex functions +* @details Validates AFU get metric value by index +* +*/ +TEST_P(metrics_afu_c_p, test_afc_metric_03) { + create_metric_bbb_dfh(); + create_metric_bbb_csr(); + + // valid index + uint64_t id_array[] = {1, 2, 3}; + struct fpga_metric *metric_array = + (struct fpga_metric *)calloc(sizeof(struct fpga_metric), 3); + + EXPECT_EQ(FPGA_OK, + xfpga_fpgaGetMetricsByIndex(handle_, id_array, 3, metric_array)); + + EXPECT_NE(FPGA_OK, + xfpga_fpgaGetMetricsByIndex(handle_, id_array, 0, metric_array)); + + free(metric_array); + + // invalid index + uint64_t id_array_invalid[] = {10, 20, 30}; + struct fpga_metric *metric_array_invalid = + (struct fpga_metric *)calloc(sizeof(struct fpga_metric), 3); + + EXPECT_NE(FPGA_OK, xfpga_fpgaGetMetricsByIndex(handle_, id_array_invalid, 3, + metric_array_invalid)); + + free(metric_array_invalid); +} + +/** +* @test test_afc_metric_03 +* @brief Tests: xfpga_fpgaGetMetricsByName functions +* @details Validates AFU get metric value by name +* +*/ +TEST_P(metrics_afu_c_p, test_afc_metric_04) { + create_metric_bbb_dfh(); + create_metric_bbb_csr(); + + // valid afu Metrics name + const char *metric_string[2] = {"AFU:2:a", "AFU:3:b"}; + uint64_t array_size = 2; + + struct fpga_metric *metric_array_search = + (struct fpga_metric *)calloc(sizeof(struct fpga_metric), array_size); + + EXPECT_EQ(FPGA_OK, + xfpga_fpgaGetMetricsByName(handle_, (char **)metric_string, + array_size, metric_array_search)); + + free(metric_array_search); + + // invalid afu Metrics name + const char *metric_string_invalid[2] = {"power_mgmt:consumed", + "performance:fabric:port0:mmio_read"}; + + metric_array_search = + (struct fpga_metric *)calloc(sizeof(struct fpga_metric), array_size); + + EXPECT_NE(FPGA_OK, + xfpga_fpgaGetMetricsByName(handle_, (char **)metric_string_invalid, + array_size, metric_array_search)); + + free(metric_array_search); +} +INSTANTIATE_TEST_CASE_P(metrics_c, metrics_afu_c_p, + ::testing::ValuesIn(test_platform::mock_platforms({"skx-p"}))); diff --git a/opae-libs/tests/xfpga/test_metrics_max10_c.cpp b/opae-libs/tests/xfpga/test_metrics_max10_c.cpp new file mode 100644 index 0000000..14379e4 --- /dev/null +++ b/opae-libs/tests/xfpga/test_metrics_max10_c.cpp @@ -0,0 +1,221 @@ +// Copyright(c) 2019-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +extern "C" { +#include +#include +#include "metrics/metrics_int.h" +#include "metrics/metrics_max10.h" +#include "metrics/vector.h" +#include "opae_int.h" +#include "types_int.h" +} + +#include +#include + +#include +#include "gtest/gtest.h" +#include "sysfs_int.h" +#include "mock/test_system.h" +#include "mock/test_utils.h" +#include "token_list_int.h" +#include "xfpga.h" + +extern "C" { +int xfpga_plugin_initialize(void); +int xfpga_plugin_finalize(void); +} + +using namespace opae::testing; + +class metrics_max10_c_p : public ::testing::TestWithParam { + protected: + metrics_max10_c_p() : tokens_{{nullptr, nullptr}}, handle_(nullptr) {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + ASSERT_EQ(xfpga_plugin_initialize(), FPGA_OK); + ASSERT_EQ(xfpga_fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), + FPGA_OK); + ASSERT_GT(num_matches_, 0); + ASSERT_EQ(xfpga_fpgaOpen(tokens_[0], &handle_, 0), FPGA_OK); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(xfpga_fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + if (handle_ != nullptr) { + EXPECT_EQ(xfpga_fpgaClose(handle_), FPGA_OK); + handle_ = nullptr; + } + xfpga_plugin_finalize(); + system_->finalize(); + } + + std::array tokens_; + fpga_handle handle_; + fpga_properties filter_; + uint32_t num_matches_; + test_platform platform_; + test_system *system_; +}; + +/** +* @test test_metric_max10_1 +* @brief Tests: read_sensor_sysfs_file +* @details When the parameters are valid read_sensor_sysfs_file +* reads system attributes +* When the parameters are invalid read_sensor_sysfs_file +* retuns error. +* +*/ +TEST_P(metrics_max10_c_p, test_metric_max10_1) { + uint32_t tot_bytes_ret; + void *buf = NULL; + char file[] = "name"; + char sysfs[] = + "/sys/class/fpga/intel-fpga-dev.0/intel-fpga-fme.0/spi-altera.0.auto/" + "spi_master/spi0/spi0.0/sensor2"; + + EXPECT_NE(read_sensor_sysfs_file(NULL, file, &buf, &tot_bytes_ret), FPGA_OK); + + EXPECT_NE(read_sensor_sysfs_file(sysfs, NULL, &buf, &tot_bytes_ret), FPGA_OK); + + EXPECT_NE(read_sensor_sysfs_file(sysfs, NULL, &buf, NULL), FPGA_OK); + + EXPECT_EQ(read_sensor_sysfs_file(sysfs, file, &buf, &tot_bytes_ret), FPGA_OK); + + EXPECT_NE(read_sensor_sysfs_file(sysfs, "test", &buf, &tot_bytes_ret), + FPGA_OK); + + if (buf) free(buf); +} + +/** +* @test test_metric_max10_2 +* @brief Tests: enum_max10_metrics_info +* @details When the parameters are valid enum_max10_metrics_info +* eunum max10 metrics and add to vector +* When the parameters are invalid enum_max10_metrics_info +* retuns error. +* +*/ +TEST_P(metrics_max10_c_p, test_metric_max10_2) { + struct _fpga_handle *_handle = (struct _fpga_handle *)handle_; + fpga_metric_vector vector; + uint64_t metric_num = 0; + + EXPECT_EQ(FPGA_OK, fpga_vector_init(&vector)); + + EXPECT_EQ(FPGA_OK, enum_max10_metrics_info(_handle, &vector, &metric_num, + FPGA_HW_DCP_VC)); + + EXPECT_NE(FPGA_OK, + enum_max10_metrics_info(_handle, &vector, NULL, FPGA_HW_DCP_VC)); + + EXPECT_NE(FPGA_OK, enum_max10_metrics_info(NULL, &vector, &metric_num, + FPGA_HW_DCP_VC)); + + EXPECT_NE(FPGA_OK, enum_max10_metrics_info(_handle, NULL, &metric_num, + FPGA_HW_DCP_VC)); + + EXPECT_NE(FPGA_OK, + enum_max10_metrics_info(_handle, &vector, NULL, FPGA_HW_DCP_VC)); + + EXPECT_EQ(FPGA_OK, enum_max10_metrics_info(_handle, &vector, &metric_num, + FPGA_HW_UNKNOWN)); + + EXPECT_EQ(FPGA_OK, fpga_vector_free(&vector)); +} +INSTANTIATE_TEST_CASE_P(metrics_max10_c, metrics_max10_c_p, + ::testing::ValuesIn(test_platform::mock_platforms({"dcp-vc"}))); + +class metrics_invalid_max10_c_p : public metrics_max10_c_p {}; + +/** +* @test test_metric_max10_3 +* @brief Tests: enum_max10_metrics_info +* @details When the parameters are valid and run on not supportd platform +* enum_max10_metrics_info retuns error. +* +*/ +TEST_P(metrics_invalid_max10_c_p, test_metric_max10_3) { + struct _fpga_handle *_handle = (struct _fpga_handle *)handle_; + fpga_metric_vector vector; + uint64_t metric_num = 0; + + EXPECT_EQ(FPGA_OK, fpga_vector_init(&vector)); + + EXPECT_NE(FPGA_OK, enum_max10_metrics_info(_handle, &vector, &metric_num, + FPGA_HW_DCP_VC)); + + EXPECT_EQ(FPGA_OK, fpga_vector_free(&vector)); +} +INSTANTIATE_TEST_CASE_P(metrics_max10_c, metrics_invalid_max10_c_p, + ::testing::ValuesIn(test_platform::mock_platforms({"dcp-rc"}))); + + +class metrics_max10_vc_c_p : public metrics_max10_c_p { +protected: + metrics_max10_vc_c_p() {} +}; + +/** +* @test test_metric_max10_4 +* @brief Tests: read_max10_value +* @details When passed with valid argument fn reads metric values
    +* When passed with invalid argument return +* FPGA_INVALID_PARAM
    +*/ +TEST_P(metrics_max10_vc_c_p, test_metric_max10_4) { + + struct _fpga_handle *_handle = (struct _fpga_handle *)handle_; + EXPECT_EQ(FPGA_OK, enum_fpga_metrics(handle_)); + + struct fpga_metric fpga_metric; + + EXPECT_EQ(FPGA_OK, + get_fme_metric_value(handle_, &(_handle->fpga_enum_metric_vector), + 1, &fpga_metric)); + + double dvalue = 0; + EXPECT_EQ(FPGA_INVALID_PARAM, read_max10_value(NULL, &dvalue)); +} +INSTANTIATE_TEST_CASE_P(metrics_max10_c, metrics_max10_vc_c_p, + ::testing::ValuesIn(test_platform::mock_platforms({ "dcp-vc" }))); diff --git a/opae-libs/tests/xfpga/test_metrics_utils_c.cpp b/opae-libs/tests/xfpga/test_metrics_utils_c.cpp new file mode 100644 index 0000000..83885e1 --- /dev/null +++ b/opae-libs/tests/xfpga/test_metrics_utils_c.cpp @@ -0,0 +1,790 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +extern "C" { + +#include +#include +#include "types_int.h" +#include "metrics/metrics_int.h" +#include "metrics/vector.h" +#include "opae_int.h" +} + +#include +#include + +#include +#include +#include +#include +#include +#include +#include +#include +#include "gtest/gtest.h" +#include "mock/test_system.h" +#include "mock/test_utils.h" +#include "token_list_int.h" +#include "xfpga.h" +#include "sysfs_int.h" + +extern "C" { +int xfpga_plugin_initialize(void); +int xfpga_plugin_finalize(void); +} + +using namespace opae::testing; + +class metrics_utils_c_p : public ::testing::TestWithParam { + protected: + metrics_utils_c_p() + : tokens_{{nullptr, nullptr}}, + handle_(nullptr) {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + ASSERT_EQ(xfpga_plugin_initialize(), FPGA_OK); + ASSERT_EQ(xfpga_fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), FPGA_OK); + ASSERT_GT(num_matches_, 0); + ASSERT_EQ(xfpga_fpgaOpen(tokens_[0], &handle_, 0), FPGA_OK); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(xfpga_fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + if (handle_ != nullptr) { + EXPECT_EQ(xfpga_fpgaClose(handle_), FPGA_OK); + handle_ = nullptr; + } + xfpga_plugin_finalize(); + system_->finalize(); + } + + std::array tokens_; + fpga_handle handle_; + fpga_properties filter_; + uint32_t num_matches_; + test_platform platform_; + test_system *system_; +}; + +/** + * @test opaec + * @brief Tests: sysfs_path_is_dir + * @details Validates input path as directory
    + * + */ +TEST_P(metrics_utils_c_p, test_metric_utils_100) { + char group_sysfs[FPGA_METRIC_STR_SIZE] = {0}; + + EXPECT_NE(FPGA_OK, metric_sysfs_path_is_dir(NULL)); + + EXPECT_NE(FPGA_OK, metric_sysfs_path_is_dir(group_sysfs)); + + EXPECT_NE(FPGA_OK, metric_sysfs_path_is_dir( + (const char *)"/tmp/class/fpga/intel-fpga-dev.0/" + "intel-fpga-fme.0/bitstream_id")); + + EXPECT_NE(FPGA_OK, metric_sysfs_path_is_dir( + (const char *)"/tmp/class/fpga/intel-fpga-dev.0/" + "intel-fpga-fme.0/bitstream_id1")); + + std::string sysclass_path = + system_->get_sysfs_path(std::string("/sys/class/fpga/intel-fpga-dev.0")); + + EXPECT_EQ(FPGA_OK, + metric_sysfs_path_is_dir((const char *)sysclass_path.c_str())); +} + +/** + * @test opaec + * @brief Tests: sysfs_path_is_file + * @details Validates input path as directory
    + * + */ +TEST_P(metrics_utils_c_p, test_metric_utils_101) { + char metric_sysfs[FPGA_METRIC_STR_SIZE] = {0}; + size_t len; + + EXPECT_NE(FPGA_OK, metric_sysfs_path_is_file(NULL)); + + EXPECT_NE(FPGA_OK, metric_sysfs_path_is_file(metric_sysfs)); + + EXPECT_NE(FPGA_OK, metric_sysfs_path_is_file( + (const char *)"/tmp/class/fpga/intel-fpga-dev.0/intel-fpga-fme.0/")); + + EXPECT_NE(FPGA_OK, metric_sysfs_path_is_file( + (const char *)"/tmp/class/fpga/intel-fpga-dev.0/" + "intel-fpga-fme.0/bitstream_id1")); + + std::string sysclass_path = + system_->get_sysfs_path(std::string("/sys/class/fpga/intel-fpga-dev.0")); + + strncpy(metric_sysfs, sysclass_path.c_str(), sysclass_path.size() + 1); + strncat(metric_sysfs, "/", 2); + len = strnlen("intel-fpga-fme.0/bitstream_id", sizeof(metric_sysfs) - (sysclass_path.size() + 1)); + strncat(metric_sysfs, "intel-fpga-fme.0/bitstream_id", len + 1); + + printf("sysclass_path %s \n", sysclass_path.c_str()); + printf("metric_sysfs %s \n", metric_sysfs); + + EXPECT_EQ(FPGA_OK, metric_sysfs_path_is_file((const char *)metric_sysfs)); +} + +/** + * @test opaec + * @brief Tests: add_metric_vector + * @details Validates add to metric vector
    + * + */ +TEST_P(metrics_utils_c_p, test_metric_utils_102) { + char group_name[FPGA_METRIC_STR_SIZE] = {"power_mgmt"}; + char group_sysfs[FPGA_METRIC_STR_SIZE] = { + "tmp/class/fpga/intel-fpga-dev.0/intel-fpga-fme.0/"}; + + char metrics_name[FPGA_METRIC_STR_SIZE] = {"consumed"}; + char metrics_sysfs[FPGA_METRIC_STR_SIZE] = { + "/tmp/class/fpga/intel-fpga-dev.0/intel-fpga-fme.0/"}; + + char qualifier_name[FPGA_METRIC_STR_SIZE] = {"power_mgmt"}; + char metric_units[FPGA_METRIC_STR_SIZE] = {"watts"}; + + fpga_metric_vector metric_vector; + + EXPECT_NE(FPGA_OK, add_metric_vector(NULL, 0, qualifier_name, group_name, + group_sysfs, metrics_name, metrics_sysfs, + metric_units, FPGA_METRIC_DATATYPE_INT, + FPGA_METRIC_TYPE_POWER, FPGA_HW_MCP, 0)); + + EXPECT_NE(FPGA_OK, add_metric_vector(&metric_vector, 0, NULL, group_name, + group_sysfs, metrics_name, metrics_sysfs, + metric_units, FPGA_METRIC_DATATYPE_INT, + FPGA_METRIC_TYPE_POWER, FPGA_HW_MCP, 0)); + + EXPECT_NE(FPGA_OK, add_metric_vector(&metric_vector, 0, qualifier_name, NULL, + group_sysfs, metrics_name, metrics_sysfs, + metric_units, FPGA_METRIC_DATATYPE_INT, + FPGA_METRIC_TYPE_POWER, FPGA_HW_MCP, 0)); + + EXPECT_NE(FPGA_OK, + add_metric_vector(&metric_vector, 0, qualifier_name, group_name, + NULL, metrics_name, metrics_sysfs, metric_units, + FPGA_METRIC_DATATYPE_INT, FPGA_METRIC_TYPE_POWER, + FPGA_HW_MCP, 0)); + + EXPECT_NE(FPGA_OK, + add_metric_vector(&metric_vector, 0, qualifier_name, group_name, + group_sysfs, NULL, metrics_sysfs, metric_units, + FPGA_METRIC_DATATYPE_INT, FPGA_METRIC_TYPE_POWER, + FPGA_HW_MCP, 0)); + + EXPECT_NE(FPGA_OK, + add_metric_vector(&metric_vector, 0, qualifier_name, group_name, + group_sysfs, metrics_name, NULL, metric_units, + FPGA_METRIC_DATATYPE_INT, FPGA_METRIC_TYPE_POWER, + FPGA_HW_MCP, 0)); + + EXPECT_NE(FPGA_OK, + add_metric_vector(&metric_vector, 0, qualifier_name, group_name, + group_sysfs, metrics_name, metrics_sysfs, NULL, + FPGA_METRIC_DATATYPE_INT, FPGA_METRIC_TYPE_POWER, + FPGA_HW_MCP, 0)); + + EXPECT_EQ(FPGA_OK, fpga_vector_init(&metric_vector)); + + EXPECT_EQ(FPGA_OK, + add_metric_vector(&metric_vector, 0, qualifier_name, group_name, + group_sysfs, metrics_name, metrics_sysfs, + metric_units, FPGA_METRIC_DATATYPE_INT, + FPGA_METRIC_TYPE_POWER, FPGA_HW_MCP, 0)); + + EXPECT_EQ(FPGA_OK, fpga_vector_free(&metric_vector)); +} + +/** + * @test opaec + * @brief Tests: enum_thermalmgmt_metrics + * @details Validates enumeration of thermal metrics
    + * + */ +TEST_P(metrics_utils_c_p, test_metric_utils_103) { + char group_sysfs[FPGA_METRIC_STR_SIZE] = { + "/tmp/class/fpga/intel-fpga-dev.0/intel-fpga-fme.0"}; + char group_sysfs_invalid[FPGA_METRIC_STR_SIZE] = {0}; + + fpga_metric_vector vector; + uint64_t metric_id = 0; + size_t len; + + EXPECT_NE(FPGA_OK, enum_thermalmgmt_metrics(NULL, &metric_id, group_sysfs, + FPGA_HW_MCP)); + + EXPECT_NE(FPGA_OK, + enum_thermalmgmt_metrics(&vector, &metric_id, NULL, FPGA_HW_MCP)); + + EXPECT_NE(FPGA_OK, + enum_thermalmgmt_metrics(&vector, NULL, group_sysfs, FPGA_HW_MCP)); + + EXPECT_NE(FPGA_OK, + enum_thermalmgmt_metrics(&vector, &metric_id, group_sysfs_invalid, + FPGA_HW_MCP)); + + EXPECT_EQ(FPGA_OK, fpga_vector_init(&vector)); + + std::string sysclass_path = + system_->get_sysfs_path(std::string("/sys/class/fpga/intel-fpga-dev.0")); + + strncpy(group_sysfs, sysclass_path.c_str(), sysclass_path.size() + 1); + strncat(group_sysfs, "/", 2); + len = strnlen("intel-fpga-fme.0/thermal_mgmt/*", sizeof(group_sysfs) - (sysclass_path.size() + 1)); + strncat(group_sysfs, "intel-fpga-fme.0/thermal_mgmt/*", len + 1); + + printf("sysclass_path %s \n", sysclass_path.c_str()); + printf("metric_sysfs %s \n", group_sysfs); + + EXPECT_EQ(FPGA_OK, enum_thermalmgmt_metrics(&vector, &metric_id, group_sysfs, + FPGA_HW_MCP)); + + EXPECT_EQ(FPGA_OK, fpga_vector_free(&vector)); +} + +/** + * @test opaec + * @brief Tests: enum_powermgmt_metrics + * @details Validates enumeration of power metrics
    + * + * + */ +TEST_P(metrics_utils_c_p, test_metric_utils_104) { + char group_sysfs[FPGA_METRIC_STR_SIZE] = { + "/tmp/class/fpga/intel-fpga-dev.0/intel-fpga-fme.0"}; + char group_sysfs_invalid[FPGA_METRIC_STR_SIZE] = {0}; + uint64_t metric_id = 0; + fpga_metric_vector vector; + size_t len; + + EXPECT_NE(FPGA_OK, + enum_powermgmt_metrics(NULL, &metric_id, group_sysfs, FPGA_HW_MCP)); + + EXPECT_NE(FPGA_OK, + enum_powermgmt_metrics(&vector, &metric_id, NULL, FPGA_HW_MCP)); + + EXPECT_NE(FPGA_OK, + enum_powermgmt_metrics(&vector, NULL, group_sysfs, FPGA_HW_MCP)); + + EXPECT_NE(FPGA_OK, enum_powermgmt_metrics(&vector, &metric_id, + group_sysfs_invalid, FPGA_HW_MCP)); + + EXPECT_EQ(FPGA_OK, fpga_vector_init(&vector)); + + std::string sysclass_path = + system_->get_sysfs_path(std::string("/sys/class/fpga/intel-fpga-dev.0")); + + strncpy(group_sysfs, sysclass_path.c_str(), sysclass_path.size() + 1); + strncat(group_sysfs, "/", 2); + len = strnlen("intel-fpga-fme.0/power_mgmt/*", sizeof(group_sysfs) - (sysclass_path.size() + 1)); + strncat(group_sysfs, "intel-fpga-fme.0/power_mgmt/*", len + 1); + + printf("sysclass_path %s \n", sysclass_path.c_str()); + printf("metric_sysfs %s \n", group_sysfs); + + EXPECT_EQ(FPGA_OK, enum_powermgmt_metrics(&vector, &metric_id, group_sysfs, + FPGA_HW_MCP)); + + EXPECT_EQ(FPGA_OK, fpga_vector_free(&vector)); +} + +/** + * @test opaec + * @brief Tests: enum_perf_counter_items + * @details Validates enumeration performance counters metrics
    + * + */ +TEST_P(metrics_utils_c_p, test_metric_utils_105) { + char qualifier_name[FPGA_METRIC_STR_SIZE] = {0}; + char group_sysfs[FPGA_METRIC_STR_SIZE] = {0}; + char sysfs_name[FPGA_METRIC_STR_SIZE] = {0}; + uint64_t metric_id = 0; + fpga_metric_vector vector; + size_t len; + + EXPECT_NE(FPGA_OK, enum_perf_counter_items(NULL, &metric_id, qualifier_name, + group_sysfs, sysfs_name, + FPGA_METRIC_TYPE_PERFORMANCE_CTR, + FPGA_HW_MCP)); + + EXPECT_NE(FPGA_OK, enum_perf_counter_items( + &vector, NULL, qualifier_name, group_sysfs, sysfs_name, + FPGA_METRIC_TYPE_PERFORMANCE_CTR, FPGA_HW_MCP)); + + EXPECT_NE(FPGA_OK, enum_perf_counter_items( + &vector, &metric_id, NULL, group_sysfs, sysfs_name, + FPGA_METRIC_TYPE_PERFORMANCE_CTR, FPGA_HW_MCP)); + + EXPECT_NE(FPGA_OK, enum_perf_counter_items( + &vector, &metric_id, qualifier_name, NULL, sysfs_name, + FPGA_METRIC_TYPE_PERFORMANCE_CTR, FPGA_HW_MCP)); + + EXPECT_NE(FPGA_OK, enum_perf_counter_items( + &vector, &metric_id, qualifier_name, group_sysfs, NULL, + FPGA_METRIC_TYPE_PERFORMANCE_CTR, FPGA_HW_MCP)); + + EXPECT_NE(FPGA_OK, enum_perf_counter_items( + &vector, &metric_id, qualifier_name, group_sysfs, NULL, + FPGA_METRIC_TYPE_PERFORMANCE_CTR, FPGA_HW_MCP)); + + EXPECT_NE(FPGA_OK, enum_perf_counter_items( + &vector, &metric_id, qualifier_name, + (char *)"/tmp/class/fpga/intel-fpga-dev.1", sysfs_name, + FPGA_METRIC_TYPE_PERFORMANCE_CTR, FPGA_HW_MCP)); + + EXPECT_EQ(FPGA_OK, fpga_vector_init(&vector)); + + std::string sysclass_path = + system_->get_sysfs_path(std::string("/sys/class/fpga/intel-fpga-dev.0")); + + strncpy(group_sysfs, sysclass_path.c_str(), sysclass_path.size() + 1); + strncat(group_sysfs, "/", 2); + len = strnlen("intel-fpga-fme.0/iperf/", sizeof(group_sysfs) - (sysclass_path.size() + 1)); + strncat(group_sysfs, "intel-fpga-fme.0/iperf/", len + 1); + + printf("sysclass_path %s \n", sysclass_path.c_str()); + printf("metric_sysfs %s \n", group_sysfs); + + EXPECT_EQ(FPGA_OK, enum_perf_counter_items( + &vector, &metric_id, qualifier_name, + (char *)group_sysfs, (char *)"fabric", + FPGA_METRIC_TYPE_PERFORMANCE_CTR, FPGA_HW_MCP)); + + strncpy(group_sysfs, sysclass_path.c_str(), sysclass_path.size() + 1); + strncat(group_sysfs, "/", 2); + len = strnlen("intel-fpga-fme.0/perf/", sizeof(group_sysfs) - (sysclass_path.size() + 1)); + strncat(group_sysfs, "intel-fpga-fme.0/perf/", len + 1); + + printf("sysclass_path %s \n", sysclass_path.c_str()); + printf("metric_sysfs %s \n", group_sysfs); + + EXPECT_NE(FPGA_OK, enum_perf_counter_items( + &vector, &metric_id, qualifier_name, + (char *)group_sysfs, (char *)"port0", + FPGA_METRIC_TYPE_PERFORMANCE_CTR, FPGA_HW_MCP)); + + EXPECT_EQ(FPGA_OK, fpga_vector_free(&vector)); +} + +/** + * @test opaec + * @brief Tests: enum_perf_counter_metrics + * @details Validates enumeration performance counters metrics
    + * + */ +TEST_P(metrics_utils_c_p, test_metric_utils_106) { + char group_sysfs[FPGA_METRIC_STR_SIZE] = {0}; + fpga_metric_vector vector; + uint64_t metric_id = 0; + size_t len; + + EXPECT_NE(FPGA_OK, enum_perf_counter_metrics(NULL, &metric_id, group_sysfs, + FPGA_HW_MCP)); + EXPECT_NE(FPGA_OK, + enum_perf_counter_metrics(&vector, NULL, group_sysfs, FPGA_HW_MCP)); + + EXPECT_NE(FPGA_OK, + enum_perf_counter_metrics(&vector, &metric_id, NULL, FPGA_HW_MCP)); + + EXPECT_EQ(FPGA_OK, fpga_vector_init(&vector)); + + std::string sysclass_path = + system_->get_sysfs_path(std::string("/sys/class/fpga/intel-fpga-dev.0")); + + strncpy(group_sysfs, sysclass_path.c_str(), sysclass_path.size() + 1); + strncat(group_sysfs, "/", 2); + len = strnlen("intel-fpga-fme.0/", sizeof(group_sysfs) - (sysclass_path.size() + 1)); + strncat(group_sysfs, "intel-fpga-fme.0/", len + 1); + + printf("sysclass_path %s \n", sysclass_path.c_str()); + printf("metric_sysfs %s \n", group_sysfs); + + EXPECT_EQ(FPGA_OK, + enum_perf_counter_metrics(&vector, &metric_id, (char *)group_sysfs, + FPGA_HW_MCP)); + + EXPECT_NE(FPGA_OK, + enum_perf_counter_metrics( + &vector, &metric_id, + (char *)"/tmp/class/fpga/intel-fpga-dev.0/intel-fpga-fme.1", + FPGA_HW_MCP)); + + EXPECT_EQ(FPGA_OK, fpga_vector_free(&vector)); +} + +/** + * @test opaec + * @brief Tests: add_metric_info + * @details Validates add metrics info
    + * + */ +TEST_P(metrics_utils_c_p, test_metric_utils_107) { + struct _fpga_enum_metric _enum_metric = { + "power_mgmt", + "/tmp/class/fpga/intel-fpga-dev.0/intel-fpga-fme.0/power_mgmt", + "consumed", + "/tmp/class/fpga/intel-fpga-dev.0/intel-fpga-fme.0/power_mgmt/consumed", + "power_mgmt:consumed", + "watts", + 1, + FPGA_METRIC_DATATYPE_INT, + FPGA_METRIC_TYPE_POWER, + FPGA_HW_MCP, + 0}; + + struct fpga_metric_info fpga_metric_info; + + EXPECT_NE(FPGA_OK, add_metric_info(NULL, &fpga_metric_info)); + EXPECT_NE(FPGA_OK, add_metric_info(&_enum_metric, NULL)); + + EXPECT_EQ(FPGA_OK, add_metric_info(&_enum_metric, &fpga_metric_info)); +} + +/** + * @test opaec + * @brief Tests: enum_fpga_metrics + * @details Validates enumeration fpga metrics
    + * + */ +TEST_P(metrics_utils_c_p, test_metric_utils_109) { + EXPECT_EQ(FPGA_OK, enum_fpga_metrics(handle_)); + + EXPECT_NE(FPGA_OK, enum_fpga_metrics(NULL)); +} + +/** + * @test opaec + * @brief Tests: enum_fpga_metrics + * @details Validates enumeration fpga metrics
    + * + */ +TEST_P(metrics_utils_c_p, test_metric_utils_10) { + struct _fpga_handle *_handle = (struct _fpga_handle *)handle_; + + EXPECT_EQ(FPGA_OK, enum_fpga_metrics(handle_)); + + struct fpga_metric fpga_metric; + + EXPECT_EQ(FPGA_OK, + get_fme_metric_value(handle_, &(_handle->fpga_enum_metric_vector), + 1, &fpga_metric)); + + EXPECT_EQ(FPGA_OK, + get_fme_metric_value(handle_, &(_handle->fpga_enum_metric_vector), + 5, &fpga_metric)); + + EXPECT_EQ(FPGA_OK, + get_fme_metric_value(handle_, &(_handle->fpga_enum_metric_vector), + 10, &fpga_metric)); + + EXPECT_EQ(FPGA_OK, + get_fme_metric_value(handle_, &(_handle->fpga_enum_metric_vector), + 15, &fpga_metric)); + + EXPECT_EQ(FPGA_OK, + get_fme_metric_value(handle_, &(_handle->fpga_enum_metric_vector), + 20, &fpga_metric)); + + EXPECT_EQ(FPGA_OK, + get_fme_metric_value(handle_, &(_handle->fpga_enum_metric_vector), + 25, &fpga_metric)); + + EXPECT_EQ(FPGA_OK, + get_fme_metric_value(handle_, &(_handle->fpga_enum_metric_vector), + 30, &fpga_metric)); + + EXPECT_EQ(FPGA_OK, + get_fme_metric_value(handle_, &(_handle->fpga_enum_metric_vector), + 34, &fpga_metric)); + + EXPECT_EQ(FPGA_OK, + get_fme_metric_value(handle_, &(_handle->fpga_enum_metric_vector), + 31, &fpga_metric)); + + EXPECT_EQ(FPGA_OK, + get_fme_metric_value(handle_, &(_handle->fpga_enum_metric_vector), + 32, &fpga_metric)); + + EXPECT_NE(FPGA_OK, get_fme_metric_value(handle_, NULL, 1, &fpga_metric)); + + EXPECT_NE(FPGA_OK, + get_fme_metric_value(handle_, &(_handle->fpga_enum_metric_vector), + 1, NULL)); +} + +/** + * @test opaec + * @brief Tests: parse_metric_num_name + * @details Validates parse metric string
    + * + */ +TEST_P(metrics_utils_c_p, test_metric_utils_11) { + struct _fpga_handle *_handle = (struct _fpga_handle *)handle_; + + EXPECT_EQ(FPGA_OK, enum_fpga_metrics(_handle)); + + char serach_string[] = {"power_mgmt:consumed"}; + uint64_t metric_id; + EXPECT_EQ(FPGA_OK, parse_metric_num_name((const char *)serach_string, + &(_handle->fpga_enum_metric_vector), + &metric_id)); + + EXPECT_NE(FPGA_OK, + parse_metric_num_name(NULL, &(_handle->fpga_enum_metric_vector), + &metric_id)); + + EXPECT_NE(FPGA_OK, + parse_metric_num_name((const char *)serach_string, + &(_handle->fpga_enum_metric_vector), NULL)); + + EXPECT_NE(FPGA_OK, parse_metric_num_name((const char *)serach_string, NULL, + &metric_id)); + + EXPECT_NE(FPGA_OK, parse_metric_num_name((const char *)"power_mgmt consumed", + &(_handle->fpga_enum_metric_vector), + &metric_id)); +} + +/** + * @test opaec + * @brief Tests: enum_fpga_metrics + * @details Validates delete enum metric
    + * + */ +TEST_P(metrics_utils_c_p, test_metric_utils_12) { + EXPECT_NE(FPGA_OK, free_fpga_enum_metrics_vector(NULL)); + + struct _fpga_handle _handle_invalid; + memset(&_handle_invalid, 0, sizeof(struct _fpga_handle)); + + EXPECT_NE(FPGA_OK, free_fpga_enum_metrics_vector(&_handle_invalid)); +} + +/** + * @test opaec + * @brief Tests: enum_fpga_metrics + * @details Validates delete enum metric
    + * + */ +TEST_P(metrics_utils_c_p, test_metric_utils_13) { + uint64_t value; + + char group_sysfs[FPGA_METRIC_STR_SIZE] = {0}; + size_t len; + + EXPECT_NE(FPGA_OK, get_pwr_thermal_value(group_sysfs, NULL)); + EXPECT_NE(FPGA_OK, get_pwr_thermal_value(NULL, &value)); + + EXPECT_NE(FPGA_OK, get_pwr_thermal_value( + (char *)"/tmp/class/fpga/intel-fpga-dev.0/intel-fpga-fme.1", &value)); + + std::string sysclass_path = + system_->get_sysfs_path(std::string("/sys/class/fpga/intel-fpga-dev.0")); + + strncpy(group_sysfs, sysclass_path.c_str(), sysclass_path.size() + 1); + strncat(group_sysfs, "/", 2); + len = strnlen("intel-fpga-fme.0/power_mgmt/fpga_limit", sizeof(group_sysfs) - (sysclass_path.size() + 1)); + strncat(group_sysfs, "intel-fpga-fme.0/power_mgmt/fpga_limit", len + 1); + + printf("sysclass_path %s \n", sysclass_path.c_str()); + printf("metric_sysfs %s \n", group_sysfs); + + EXPECT_EQ(FPGA_OK, get_pwr_thermal_value(group_sysfs, &value)); + + strncpy(group_sysfs, sysclass_path.c_str(), sysclass_path.size() + 1); + strncat(group_sysfs, "/", 2); + len = strnlen("intel-fpga-fme.0/power_mgmt/xeon_limit", sizeof(group_sysfs) - (sysclass_path.size() + 1)); + strncat(group_sysfs, "intel-fpga-fme.0/power_mgmt/xeon_limit", len + 1); + + printf("sysclass_path %s \n", sysclass_path.c_str()); + printf("metric_sysfs %s \n", group_sysfs); + + EXPECT_EQ(FPGA_OK, get_pwr_thermal_value(group_sysfs, &value)); +} + +TEST_P(metrics_utils_c_p, test_metric_utils_14) { + uint64_t value; + + char group_sysfs[FPGA_METRIC_STR_SIZE] = {0}; + char metric_sysfs[FPGA_METRIC_STR_SIZE] = {0}; + size_t len; + + EXPECT_NE(FPGA_OK, + get_performance_counter_value(group_sysfs, metric_sysfs, NULL)); + EXPECT_NE(FPGA_OK, get_performance_counter_value(NULL, metric_sysfs, &value)); + EXPECT_NE(FPGA_OK, get_performance_counter_value(group_sysfs, NULL, &value)); + + EXPECT_NE(FPGA_OK, get_performance_counter_value( + (char *)"/tmp/class/fpga/intel-fpga-dev.0/intel-fpga-fme.1", + metric_sysfs, &value)); + + std::string sysclass_path = + system_->get_sysfs_path(std::string("/sys/class/fpga/intel-fpga-dev.0")); + + strncpy(group_sysfs, sysclass_path.c_str(), sysclass_path.size() + 1); + strncat(group_sysfs, "/", 2); + len = strnlen("intel-fpga-fme.0/power_mgmt/iperf/cache", + sizeof(group_sysfs) - (sysclass_path.size() + 1)); + strncat(group_sysfs, "intel-fpga-fme.0/power_mgmt/iperf/cache", len + 1); + + printf("sysclass_path %s \n", sysclass_path.c_str()); + printf("metric_sysfs %s \n", group_sysfs); + + strncpy(group_sysfs, sysclass_path.c_str(), sysclass_path.size() + 1); + strncat(group_sysfs, "/", 2); + len = strnlen("intel-fpga-fme.0/power_mgmt/iperf/cache/read_miss1", + sizeof(group_sysfs) - (sysclass_path.size() + 1)); + strncat(group_sysfs, "intel-fpga-fme.0/power_mgmt/iperf/cache/read_miss1", len + 1); + + printf("metric_sysfs %s \n", metric_sysfs); + + EXPECT_NE(FPGA_OK, + get_performance_counter_value(group_sysfs, metric_sysfs, &value)); +} + +TEST_P(metrics_utils_c_p, test_metric_utils_15) { + fpga_objtype objtype; + EXPECT_NE(FPGA_OK, get_fpga_object_type(NULL, &objtype)); + + EXPECT_NE(FPGA_OK, get_fpga_object_type(handle_, NULL)); +} + +INSTANTIATE_TEST_CASE_P(metrics_utils_c, metrics_utils_c_p, + ::testing::ValuesIn(test_platform::mock_platforms({"skx-p"}))); + +class metrics_utils_dcp_c_p : public ::testing::TestWithParam { + protected: + metrics_utils_dcp_c_p() + : tokens_{{nullptr, nullptr}}, + handle_(nullptr) {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + ASSERT_EQ(xfpga_plugin_initialize(), FPGA_OK); + ASSERT_EQ(xfpga_fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), FPGA_OK); + ASSERT_GT(num_matches_, 0); + ASSERT_EQ(xfpga_fpgaOpen(tokens_[0], &handle_, 0), FPGA_OK); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(xfpga_fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + if (handle_ != nullptr) { + EXPECT_EQ(xfpga_fpgaClose(handle_), FPGA_OK); + handle_ = nullptr; + } + xfpga_plugin_finalize(); + system_->finalize(); + } + + std::array tokens_; + fpga_handle handle_; + fpga_properties filter_; + uint32_t num_matches_; + test_platform platform_; + test_system *system_; +}; + +TEST_P(metrics_utils_dcp_c_p, test_metric_utils_12) { + uint64_t metric_id; + + struct _fpga_handle *_handle = (struct _fpga_handle *)handle_; + fpga_metric_vector vector; + + _handle->bmc_handle = metrics_load_bmc_lib(); + ASSERT_NE(_handle->bmc_handle, (void *)nullptr); + + EXPECT_EQ(FPGA_OK, fpga_vector_init(&vector)); + + EXPECT_EQ(FPGA_OK, enum_bmc_metrics_info(_handle, &vector, &metric_id, + FPGA_HW_DCP_RC)); + + EXPECT_EQ(FPGA_OK, fpga_vector_free(&vector)); +} + +TEST_P(metrics_utils_dcp_c_p, test_metric_utils_13) { + struct _fpga_handle *_handle = (struct _fpga_handle *)handle_; + + _handle->bmc_handle = metrics_load_bmc_lib(); + ASSERT_NE(_handle->bmc_handle, (void *)nullptr); + + EXPECT_EQ(FPGA_OK, enum_fpga_metrics(handle_)); +} + +TEST_P(metrics_utils_dcp_c_p, test_metric_utils_14) { + struct _fpga_handle *_handle = (struct _fpga_handle *)handle_; + + _handle->bmc_handle = metrics_load_bmc_lib(); + ASSERT_NE(_handle->bmc_handle, (void *)nullptr); + + EXPECT_EQ(FPGA_OK, enum_fpga_metrics(handle_)); + + struct _fpga_enum_metric _fpga_enum_metric = { + "", "", "", "", "", "", 0, + FPGA_METRIC_DATATYPE_INT, + FPGA_METRIC_TYPE_POWER, + FPGA_HW_UNKNOWN, + 0}; + + struct fpga_metric fpga_metric; + + EXPECT_EQ(FPGA_OK, get_bmc_metrics_values(handle_, &_fpga_enum_metric, &fpga_metric)); +} + +INSTANTIATE_TEST_CASE_P(metrics_utils_c, metrics_utils_dcp_c_p, + ::testing::ValuesIn(test_platform::mock_platforms({"dcp-rc"}))); diff --git a/opae-libs/tests/xfpga/test_metrics_vector_c.cpp b/opae-libs/tests/xfpga/test_metrics_vector_c.cpp new file mode 100644 index 0000000..b843f02 --- /dev/null +++ b/opae-libs/tests/xfpga/test_metrics_vector_c.cpp @@ -0,0 +1,151 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +extern "C" { + +#include +#include +#include "metrics/vector.h" +#include "opae_int.h" +#include "types_int.h" +} + +#include +#include + +#include +#include +#include +#include +#include +#include +#include "gtest/gtest.h" +#include "mock/test_system.h" + +using namespace opae::testing; + +/** + * @test opaec + * @brief Tests: fpga_vector_init + * @details TODO: test needs a valid comment. + */ +TEST(metric_vector, test_metric_vector_01) { + fpga_metric_vector vector; + + // NULL Input parameters + EXPECT_NE(FPGA_OK, fpga_vector_init(NULL)); + + // NULL Input parameters + EXPECT_NE(FPGA_OK, fpga_vector_free(NULL)); + + // NULL Input parameters + EXPECT_NE(FPGA_OK, fpga_vector_total(NULL, NULL)); + + // NULL Input parameters + EXPECT_NE(FPGA_OK, fpga_vector_resize(NULL, 20)); + + // Init vector + EXPECT_EQ(FPGA_OK, fpga_vector_init(&vector)); + + // NULL Input parameters + EXPECT_NE(FPGA_OK, fpga_vector_push(&vector, NULL)); + + // NULL Input parameters + EXPECT_NE(FPGA_OK, fpga_vector_push(NULL, NULL)); + + // Delete with NULL + EXPECT_NE(FPGA_OK, fpga_vector_delete(NULL, 0)); + + EXPECT_EQ(FPGA_OK, fpga_vector_free(&vector)); +} + +/** + * @test opaec + * @brief Tests: fpga_vector_init + * @details TODO: test needs a valid comment. + */ +TEST(metric_vector, test_metric_vector_02) { + fpga_metric_vector metric_vector; + struct _fpga_enum_metric *fpga_metric = NULL; + + fpga_metric = (struct _fpga_enum_metric *)malloc(sizeof(struct _fpga_enum_metric)); + EXPECT_EQ(NULL, !fpga_metric); + + // Init vector + EXPECT_EQ(FPGA_OK, fpga_vector_init(&metric_vector)); + + // push item to vector + EXPECT_EQ(FPGA_OK, fpga_vector_push(&metric_vector, fpga_metric)); + + // Delete vector + EXPECT_NE(FPGA_OK, fpga_vector_delete(NULL, 0)); + EXPECT_NE(FPGA_OK, fpga_vector_delete(&metric_vector, 200)); + + // Get NULL vector + EXPECT_EQ(NULL, fpga_vector_get(NULL, 200)); + + // Get item from vector + EXPECT_EQ(NULL, fpga_vector_get(&metric_vector, 200)); + + // free vector + EXPECT_EQ(FPGA_OK, fpga_vector_free(&metric_vector)); +} + +/** + * @test opaec + * @brief Tests: fpga_vector_init + * @details TODO: test needs a valid comment. + */ +TEST(metric_vector, test_metric_vector_03) { + fpga_metric_vector metric_vector; + uint64_t total; + // Init vector + EXPECT_EQ(FPGA_OK, fpga_vector_init(&metric_vector)); + + struct _fpga_enum_metric *fpga_enum_metric = NULL; + fpga_enum_metric = (struct _fpga_enum_metric *)malloc(sizeof(struct _fpga_enum_metric)); + EXPECT_EQ(NULL, !fpga_enum_metric); + + EXPECT_EQ(FPGA_OK, fpga_vector_push(&metric_vector, fpga_enum_metric)); + + EXPECT_EQ(FPGA_OK, fpga_vector_total(&metric_vector, &total)); + + struct _fpga_enum_metric *fpga_metric_next = NULL; + fpga_metric_next = (struct _fpga_enum_metric *)calloc(sizeof(struct _fpga_enum_metric), 1); + EXPECT_EQ(NULL, !fpga_metric_next); + + // push item to vector + EXPECT_EQ(FPGA_OK, fpga_vector_push(&metric_vector, fpga_metric_next)); + + // Get vector + fpga_vector_get(&metric_vector, 0); + + // Resize vector + EXPECT_EQ(FPGA_OK, fpga_vector_resize(&metric_vector, 200)); + + // free vector + EXPECT_EQ(FPGA_OK, fpga_vector_free(&metric_vector)); +} diff --git a/opae-libs/tests/xfpga/test_mmio_c.cpp b/opae-libs/tests/xfpga/test_mmio_c.cpp new file mode 100644 index 0000000..7ca28a4 --- /dev/null +++ b/opae-libs/tests/xfpga/test_mmio_c.cpp @@ -0,0 +1,496 @@ +// Copyright(c) 2017-2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#include + + +#include "intel-fpga.h" +#include "fpga-dfl.h" +#include "gtest/gtest.h" +#include "mock/test_system.h" +#include +#include +#include +#include +#include + +#include "xfpga.h" +#include "types_int.h" +#include "sysfs_int.h" + +#undef FPGA_MSG +#define FPGA_MSG(fmt, ...) \ + printf("MOCK " fmt "\n", ## __VA_ARGS__) + +extern "C" { +int xfpga_plugin_initialize(void); +int xfpga_plugin_finalize(void); +} + +using namespace opae::testing; + +#ifndef BUILD_ASE + +/* + * On hardware, the mmio map is a hash table. + */ +static bool mmio_map_is_empty(struct wsid_tracker *root) { + if (!root || (root->n_hash_buckets == 0)) + return true; + + for (uint32_t i = 0; i < root->n_hash_buckets; i += 1) { + if (root->table[i]) + return false; + } + + return true; +} + +#else + +/* + * In ASE, the mmio map is a list. + */ +static bool mmio_map_is_empty(struct wsid_map *root) { + return !root; +} + +#endif + + + +int mmio_ioctl(mock_object * m, int request, va_list argp){ + int retval = -1; + errno = EINVAL; + UNUSED_PARAM(m); + UNUSED_PARAM(request); + struct fpga_port_region_info *rinfo = va_arg(argp, struct fpga_port_region_info *); + if (!rinfo) { + FPGA_MSG("rinfo is NULL"); + goto out_EINVAL; + } + if (rinfo->argsz != sizeof(*rinfo)) { + FPGA_MSG("wrong structure size"); + goto out_EINVAL; + } + if (rinfo->index > 1 ) { + FPGA_MSG("unsupported MMIO index"); + goto out_EINVAL; + } + if (rinfo->padding != 0) { + FPGA_MSG("unsupported padding"); + goto out_EINVAL; + } + rinfo->flags = FPGA_REGION_READ | FPGA_REGION_WRITE | FPGA_REGION_MMAP; + rinfo->size = 0x40000; + rinfo->offset = 0; + retval = 0; + errno = 0; +out: + return retval; + +out_EINVAL: + retval = -1; + errno = EINVAL; + goto out; +} + +class mmio_c_p + : public ::testing::TestWithParam { + protected: + mmio_c_p() + : handle_(nullptr), + tokens_{{nullptr, nullptr}} {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + ASSERT_EQ(xfpga_plugin_initialize(), FPGA_OK); + ASSERT_EQ(xfpga_fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), FPGA_OK); + ASSERT_EQ(xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), + FPGA_OK); + ASSERT_EQ(xfpga_fpgaOpen(tokens_[0], &handle_, 0), FPGA_OK); + system_->register_ioctl_handler(FPGA_PORT_GET_REGION_INFO, mmio_ioctl); + system_->register_ioctl_handler(DFL_FPGA_PORT_GET_REGION_INFO, mmio_ioctl); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(xfpga_fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + if (handle_ != nullptr) { EXPECT_EQ(xfpga_fpgaClose(handle_), FPGA_OK); } + xfpga_plugin_finalize(); + system_->finalize(); + } + + fpga_handle handle_; + std::array tokens_; + fpga_properties filter_; + uint32_t num_matches_; + test_platform platform_; + test_system *system_; + const uint64_t CSR_SCRATCHPAD0 = 0x100; + const uint64_t MMIO_OUT_REGION_ADDRESS = 1024 * 1024 * 256;; +}; + + + + +/** +* @test mmio_c_p +* @brief Tests: test_pos_map_mmio +* @details When the parameters are valid and the drivers are loaded: +* xfpga_fpgaMapMMIOPtr initializes handle->mmio_root != NULL +* +*/ +TEST_P (mmio_c_p, test_pos_map_mmio) { + uint64_t * mmio_ptr = NULL; + EXPECT_TRUE(mmio_map_is_empty(((struct _fpga_handle*)handle_)->mmio_root)); + + // Open port device +#ifndef BUILD_ASE + ASSERT_EQ(FPGA_OK, xfpga_fpgaMapMMIO(handle_, 0, &mmio_ptr)); + EXPECT_NE(mmio_ptr,nullptr); + EXPECT_FALSE(mmio_map_is_empty(((struct _fpga_handle*)handle_)->mmio_root)); + EXPECT_EQ(FPGA_OK, xfpga_fpgaUnmapMMIO(handle_, 0)); +#else + // ASE + ASSERT_EQ(FPGA_NOT_SUPPORTED, xfpga_fpgaMapMMIO(handle_, 0, &mmio_ptr)); + EXPECT_TRUE(mmio_map_is_empty(((struct _fpga_handle*)handle_)->mmio_root)); + EXPECT_NE(mmio_ptr,nullptr); +#endif +} + + +/** +* @test mmio_c_p +* @brief Tests: test_neg_map_mmio +* @details When the parameters are valid and the drivers are loaded: +* xfpga_fpgaMapMMIOPtr must fail for non-existent MMIO area, +* xfpga_fpgaUnmapMMIOPtr must fail for non-existent MMIO area. +*/ +TEST_P (mmio_c_p, test_neg_map_mmio) { + uint64_t * mmio_ptr = NULL; + EXPECT_TRUE(mmio_map_is_empty(((struct _fpga_handle*)handle_)->mmio_root)); + + // Open port device +#ifndef BUILD_ASE + EXPECT_NE(FPGA_OK, xfpga_fpgaMapMMIO(handle_, -1, &mmio_ptr)); +#else + EXPECT_EQ(FPGA_NOT_SUPPORTED, xfpga_fpgaMapMMIO(handle_, -1, &mmio_ptr)); +#endif + + // Do not modify mmio_ptr and mmio_root + EXPECT_TRUE(mmio_map_is_empty(((struct _fpga_handle*)handle_)->mmio_root)); + +// Unmap memory range otherwise, will not accept open from same process +#ifndef BUILD_ASE + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaMapMMIO(NULL, 0, NULL)); + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaUnmapMMIO(NULL, 0)); + EXPECT_NE(FPGA_OK, xfpga_fpgaUnmapMMIO(handle_, 0)); +#endif +} + + +/** +* @test mmio_c_p +* @brief Tests: test_port_region_err +* @details When the parameters are invalid and the drivers are loaded: +* FPGA_NO_ACCESS is return when calling xfpga_fpgaMapMMIO +*/ +TEST_P (mmio_c_p, test_port_map_region_err) { + uint64_t * mmio_ptr = NULL; + + system_->register_ioctl_handler(FPGA_PORT_GET_REGION_INFO, dummy_ioctl<-1,EINVAL>); + EXPECT_EQ(FPGA_NO_ACCESS, xfpga_fpgaMapMMIO(handle_,-1,&mmio_ptr)); + + system_->register_ioctl_handler(FPGA_PORT_GET_REGION_INFO, dummy_ioctl<-1,EFAULT>); + EXPECT_EQ(FPGA_NO_ACCESS, xfpga_fpgaMapMMIO(handle_,-1,&mmio_ptr)); + + system_->register_ioctl_handler(FPGA_PORT_GET_REGION_INFO, dummy_ioctl<-1,ENOTSUP>); + EXPECT_EQ(FPGA_NO_ACCESS, xfpga_fpgaMapMMIO(handle_,-1,&mmio_ptr)); + +} + + +TEST_P (mmio_c_p, test_port_unmap_region_err) { + uint64_t * mmio_ptr = NULL; + EXPECT_NE(FPGA_OK, xfpga_fpgaMapMMIO(handle_,-1,&mmio_ptr)); + + system_->register_ioctl_handler(FPGA_PORT_GET_REGION_INFO, dummy_ioctl<-1,EINVAL>); + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaUnmapMMIO(handle_, 0)); + + system_->register_ioctl_handler(FPGA_PORT_GET_REGION_INFO, dummy_ioctl<-1,EFAULT>); + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaUnmapMMIO(handle_, 0)); + + system_->register_ioctl_handler(FPGA_PORT_GET_REGION_INFO, dummy_ioctl<-1,ENOTSUP>); + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaUnmapMMIO(handle_, 0)); +} + + + + +/** +* @test mmio_c_p +* @brief Test: test_pos_read_write_32 +* @details When the parameters are valid and the drivers are loaded: +* xfpga_fpgaWriteMMIO32 must write correct value at given MMIO +* offset. xfpga_fpgaReadMMIO32 must read correct value at given +* MMIO offset. +*/ +TEST_P (mmio_c_p, test_pos_read_write_32) { + uint64_t* mmio_ptr = NULL; + uint32_t value = 0; + uint32_t read_value = 0; + + // Open port device +#ifndef BUILD_ASE + ASSERT_EQ(FPGA_OK, xfpga_fpgaMapMMIO(handle_, 0, &mmio_ptr)); + EXPECT_NE(mmio_ptr,nullptr); +#else + ASSERT_EQ(FPGA_NOT_SUPPORTED, xfpga_fpgaMapMMIO(handle_, 0, &mmio_ptr)); + EXPECT_EQ(mmio_ptr,nullptr); +#endif + + // Write value and check correctness + for (value = 0; value < 100; value += 10) { + EXPECT_EQ(FPGA_OK, xfpga_fpgaWriteMMIO32(handle_, 0, CSR_SCRATCHPAD0, value)); + EXPECT_EQ(FPGA_OK, xfpga_fpgaReadMMIO32(handle_, 0, CSR_SCRATCHPAD0, &read_value)); + EXPECT_EQ(read_value, value); + } + +// Unmap memory range otherwise, will not accept open from same process +#ifndef BUILD_ASE + EXPECT_EQ(FPGA_OK, xfpga_fpgaUnmapMMIO(handle_, 0)); +#endif +} + + +/** +* @test mmio_c_p +* @brief Test: test_neg_read_write_32 +* @details When the parameters are valid and the drivers are loaded: +* xfpga_fpgaWriteMMIO32 must write correct value at given MMIO +* offset. xfpga_fpgaReadMMIO32 must read correct value at given +* MMIO offset. +*/ +TEST_P (mmio_c_p, test_neg_read_write_32) { + uint64_t* mmio_ptr = NULL; + uint32_t value = 0; + uint32_t read_value = 0; + + // Open port device +#ifndef BUILD_ASE + ASSERT_EQ(FPGA_OK, xfpga_fpgaMapMMIO(handle_, 0, &mmio_ptr)); + EXPECT_NE(mmio_ptr,nullptr); +#else + ASSERT_EQ(FPGA_NOT_SUPPORTED, xfpga_fpgaMapMMIO(handle_, 0, &mmio_ptr)); + EXPECT_EQ(mmio_ptr,nullptr); +#endif + + // Check errors for misaligned or out of boundary memory accesses + EXPECT_NE(FPGA_OK, xfpga_fpgaWriteMMIO32(handle_, 0, CSR_SCRATCHPAD0 + 1, value)); + EXPECT_NE(FPGA_OK, xfpga_fpgaReadMMIO32(handle_, 0, CSR_SCRATCHPAD0 + 1, &read_value)); + EXPECT_NE(FPGA_OK, xfpga_fpgaWriteMMIO32(handle_, 0, MMIO_OUT_REGION_ADDRESS, value)); + EXPECT_NE(FPGA_OK, xfpga_fpgaReadMMIO32(handle_, 0, MMIO_OUT_REGION_ADDRESS, &read_value)); + +// Unmap memory range otherwise, will not accept open from same process +#ifndef BUILD_ASE + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaReadMMIO32(NULL, 0, CSR_SCRATCHPAD0, &read_value)); + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaWriteMMIO32(NULL, 0, MMIO_OUT_REGION_ADDRESS, value)); + EXPECT_EQ(FPGA_OK, xfpga_fpgaUnmapMMIO(handle_, 0)); +#endif +} + + +/** +* @test mmio_c_p +* @brief Test: test_pos_read_write_64 +* @details When the parameters are valid and the drivers are loaded: +* xfpga_fpgaWriteMMIO64 must write correct value at given MMIO +* offset. xfpga_fpgaReadMMIO64 must read correct value at given +* MMIO offset. +* +*/ +TEST_P (mmio_c_p, test_mmio_read_write_64) { + uint64_t* mmio_ptr = NULL; + uint64_t value = 0; + uint64_t read_value = 0; + +#ifndef BUILD_ASE + EXPECT_EQ(FPGA_OK, xfpga_fpgaMapMMIO(handle_, 0, &mmio_ptr)); + EXPECT_NE(mmio_ptr,nullptr); +#else + ASSERT_EQ(FPGA_NOT_SUPPORTED, xfpga_fpgaMapMMIO(handle_, 0, &mmio_ptr)); + EXPECT_EQ(mmio_ptr,nullptr); + mmio_ptr = 0; +#endif + + // Write value and check correctness + for (value = 0; value < 100; value += 10) { + EXPECT_EQ(FPGA_OK, xfpga_fpgaWriteMMIO64(handle_, 0, CSR_SCRATCHPAD0, value)); +#ifndef BUILD_ASE + EXPECT_EQ(value,*((volatile uint64_t*)(mmio_ptr + CSR_SCRATCHPAD0 / sizeof(uint64_t)))); +#endif + EXPECT_EQ(FPGA_OK, xfpga_fpgaReadMMIO64(handle_, 0, CSR_SCRATCHPAD0, &read_value)); + EXPECT_EQ(read_value, value); + } + +// Unmap memory range otherwise, will not accept open from same process +#ifndef BUILD_ASE + EXPECT_EQ(FPGA_OK, xfpga_fpgaUnmapMMIO(handle_, 0)); +#endif +} + +/** +* @test mmio_c_p +* @brief Test: test_neg_read_write_64 +* @details When the parameters are valid and the drivers are loaded: +* xfpga_fpgaWriteMMIO64 must fail for misaligned offset. +* xfpga_fpgaReadMMIO64 must fail for misaligned offset. +* xfpga_fpgaWriteMMIO64 must fail for out-of-region offset. +* xfpga_fpgaReadMMIO64 must fail for out-of-region offset. +* +*/ + +TEST_P (mmio_c_p, test_neg_read_write_64) { + uint64_t* mmio_ptr = NULL; + uint64_t value = 0; + uint64_t read_value = 0; + +#ifndef BUILD_ASE + EXPECT_EQ(FPGA_OK, xfpga_fpgaMapMMIO(handle_, 0, &mmio_ptr)); + EXPECT_NE(mmio_ptr,nullptr); +#else + EXPECT_EQ(FPGA_NOT_SUPPORTED, xfpga_fpgaMapMMIO(handle_, 0, &mmio_ptr)); + EXPECT_EQ(mmio_ptr,nullptr); +#endif + + // Check errors for misalinged or out of boundary memory accesses + EXPECT_NE(FPGA_OK, xfpga_fpgaWriteMMIO64(handle_, 0, CSR_SCRATCHPAD0 + 1, value)); + EXPECT_NE(FPGA_OK, xfpga_fpgaReadMMIO64(handle_, 0, CSR_SCRATCHPAD0 + 1, &read_value)); + EXPECT_NE(FPGA_OK, xfpga_fpgaWriteMMIO64(handle_, 0, MMIO_OUT_REGION_ADDRESS, value)); + EXPECT_NE(FPGA_OK, xfpga_fpgaReadMMIO64(handle_, 0, MMIO_OUT_REGION_ADDRESS, &read_value)); + +// Unmap memory range otherwise, will not accept open from same process +#ifndef BUILD_ASE + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaReadMMIO64(NULL, 0, CSR_SCRATCHPAD0, &read_value)); + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaWriteMMIO64(NULL, 0, CSR_SCRATCHPAD0, value)); + EXPECT_EQ(FPGA_OK, xfpga_fpgaUnmapMMIO(handle_, 0)); +#endif +} + + +/** +* @test mmio_c_p +* @brief Test: test_pos_read_write_512 +* @details When the parameters are valid and the drivers are loaded: +* xfpga_fpgaWriteMMIO512 must write correct value at given MMIO +* offset. xfpga_fpgaReadMMIO64 must read correct value at given +* MMIO offset. +*/ +#ifdef TEST_SUPPORTS_AVX512 +TEST_P (mmio_c_p, test_pos_read_write_512) { + uint64_t* mmio_ptr = NULL; + uint64_t read_value = 0; + + uint64_t value[8]; + uint64_t i; + for (i = 0; i < 8; i++) { + value[i] = 0xdeadbeefdecafbad << (i + 1); + } + + // Open port device +#ifndef BUILD_ASE + ASSERT_EQ(FPGA_OK, xfpga_fpgaMapMMIO(handle_, 0, &mmio_ptr)); + EXPECT_NE(mmio_ptr,nullptr); +#else + ASSERT_EQ(FPGA_NOT_SUPPORTED, xfpga_fpgaMapMMIO(handle_, 0, &mmio_ptr)); + EXPECT_EQ(mmio_ptr,nullptr); +#endif + + // Write value and check correctness + for (i = 0; i < 100; i += 10) { + value[0] += i; + value[7] += i; + EXPECT_EQ(FPGA_OK, xfpga_fpgaWriteMMIO512(handle_, 0, CSR_SCRATCHPAD0, value)); + EXPECT_EQ(FPGA_OK, xfpga_fpgaReadMMIO64(handle_, 0, CSR_SCRATCHPAD0, &read_value)); + EXPECT_EQ(read_value, value[0]); + EXPECT_EQ(FPGA_OK, xfpga_fpgaReadMMIO64(handle_, 0, CSR_SCRATCHPAD0 + 56, &read_value)); + EXPECT_EQ(read_value, value[7]); + } + +// Unmap memory range otherwise, will not accept open from same process +#ifndef BUILD_ASE + EXPECT_EQ(FPGA_OK, xfpga_fpgaUnmapMMIO(handle_, 0)); +#endif +} +#endif // TEST_SUPPORTS_AVX512 + + +/** +* @test mmio_c_p +* @brief Test: test_neg_read_write_512 +* @details When the parameters are valid and the drivers are loaded: +* xfpga_fpgaWriteMMIO512 must write correct value at given MMIO +* offset. xfpga_fpgaReadMMIO64 must read correct value at given +* MMIO offset. +*/ +TEST_P (mmio_c_p, test_neg_read_write_512) { + uint64_t* mmio_ptr = NULL; + int64_t value[8] = {0, 0, 0, 0, 0, 0, 0, 0}; + + // Open port device +#ifndef BUILD_ASE + ASSERT_EQ(FPGA_OK, xfpga_fpgaMapMMIO(handle_, 0, &mmio_ptr)); + EXPECT_NE(mmio_ptr,nullptr); +#else + ASSERT_EQ(FPGA_NOT_SUPPORTED, xfpga_fpgaMapMMIO(handle_, 0, &mmio_ptr)); + EXPECT_EQ(mmio_ptr,nullptr); +#endif + + // Check errors for misaligned or out of boundary memory accesses + EXPECT_NE(FPGA_OK, xfpga_fpgaWriteMMIO512(handle_, 0, CSR_SCRATCHPAD0 + 1, value)); + EXPECT_NE(FPGA_OK, xfpga_fpgaWriteMMIO512(handle_, 0, MMIO_OUT_REGION_ADDRESS, value)); + +// Unmap memory range otherwise, will not accept open from same process +#ifndef BUILD_ASE + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaWriteMMIO512(NULL, 0, MMIO_OUT_REGION_ADDRESS, value)); + EXPECT_EQ(FPGA_OK, xfpga_fpgaUnmapMMIO(handle_, 0)); +#endif +} + + +INSTANTIATE_TEST_CASE_P(mmio_c, mmio_c_p, ::testing::ValuesIn(test_platform::keys(true))); diff --git a/opae-libs/tests/xfpga/test_mock_errinj_c.cpp b/opae-libs/tests/xfpga/test_mock_errinj_c.cpp new file mode 100644 index 0000000..902b71b --- /dev/null +++ b/opae-libs/tests/xfpga/test_mock_errinj_c.cpp @@ -0,0 +1,357 @@ +// Copyright(c) 2017-2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include +#include +#include "intel-fpga.h" +#include "gtest/gtest.h" +#include "types_int.h" +#include "mock/test_system.h" +#include +#include +#include +#include "xfpga.h" +#include "fpga-dfl.h" +#include "sysfs_int.h" + +#undef FPGA_MSG +#define FPGA_MSG(fmt, ...) \ + printf("MOCK " fmt "\n", ## __VA_ARGS__) + +extern "C" { +int xfpga_plugin_initialize(void); +int xfpga_plugin_finalize(void); +} + + +using namespace opae::testing; + +int port_release_ioctl(mock_object * m, int request, va_list argp){ + UNUSED_PARAM(m); + UNUSED_PARAM(request); + int retval = -1; + errno = EINVAL; + struct fpga_fme_port_release *port_release = + va_arg(argp, struct fpga_fme_port_release *); + if (!port_release) { + FPGA_MSG("port_release is NULL"); + goto out_EINVAL; + } + if (port_release->argsz != sizeof(*port_release)) { + FPGA_MSG("wrong structure size"); + goto out_EINVAL; + } + if (port_release->flags != 0) { + FPGA_MSG("unexpected flags %u", port_release->flags); + goto out_EINVAL; + } + if (port_release->port_id != 0) { + FPGA_MSG("unexpected port ID %u", port_release->port_id); + goto out_EINVAL; + } + retval = 0; + errno = 0; + +out: + va_end(argp); + return retval; + +out_EINVAL: + retval = -1; + errno = EINVAL; + goto out; +} + +int port_assign_ioctl(mock_object * m, int request, va_list argp){ + UNUSED_PARAM(m); + UNUSED_PARAM(request); + int retval = -1; + errno = EINVAL; + struct fpga_fme_port_assign *port_assign = + va_arg(argp, struct fpga_fme_port_assign *); + if (!port_assign) { + FPGA_MSG("port_assign is NULL"); + goto out_EINVAL; + } + if (port_assign->argsz != sizeof(*port_assign)) { + FPGA_MSG("wrong structure size"); + goto out_EINVAL; + } + if (port_assign->flags != 0) { + FPGA_MSG("unexpected flags %u", port_assign->flags); + goto out_EINVAL; + } + if (port_assign->port_id != 0) { + FPGA_MSG("unexpected port ID %u", port_assign->port_id); + goto out_EINVAL; + } + retval = 0; + errno = 0; +out: + va_end(argp); + return retval; + +out_EINVAL: + retval = -1; + errno = EINVAL; + goto out; +} + +class err_inj_c_p : public ::testing::TestWithParam { + protected: + err_inj_c_p() : tokens_{{nullptr, nullptr}}, + handle_ {nullptr} {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + ASSERT_EQ(xfpga_plugin_initialize(), FPGA_OK); + ASSERT_EQ(xfpga_fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), FPGA_OK); + ASSERT_GT(num_matches_, 0); + // Open port device + ASSERT_EQ(FPGA_OK, xfpga_fpgaOpen(tokens_[0], &handle_, 0)); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + if (handle_) { + ASSERT_EQ(FPGA_OK, xfpga_fpgaClose(handle_)); + handle_ = nullptr; + } + + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(FPGA_OK, xfpga_fpgaDestroyToken(&t)); + t = nullptr; + } + } + xfpga_plugin_finalize(); + system_->finalize(); + } + + std::array tokens_; + fpga_properties filter_; + fpga_handle handle_; + uint32_t num_matches_; + test_platform platform_; + test_system *system_; +}; + + +/** +* @test fpga_mock_errinj_03 +* @brief Tests:fpgaAssignPortToInterface +* @details fpgaAssignPortToInterface given invalid param +* Then the return error code +*/ +TEST_P(err_inj_c_p, fpga_mock_errinj_03) { + int fddev = -1; + + struct _fpga_handle* h = (struct _fpga_handle*)handle_; + fddev = h->fddev; + h->fddev = -1; + + auto res = xfpga_fpgaAssignPortToInterface(handle_, 1, 0, 0); + EXPECT_EQ(FPGA_INVALID_PARAM, res); + + h->fddev = fddev; +} + +/** +* @test fpga_mock_errinj_02 +* @brief Tests:fpgaAssignPortToInterface +* @details fpgaAssignPortToInterface Assign and Release port +* Then the return FPGA_OK +*/ +TEST_P(err_inj_c_p, fpga_mock_errinj_02) { + fpga_result res; + + res = xfpga_fpgaAssignPortToInterface(handle_, 2, 0, 0); + EXPECT_EQ(FPGA_INVALID_PARAM, res); + + system_->register_ioctl_handler(FPGA_FME_PORT_RELEASE, port_release_ioctl); + res = xfpga_fpgaAssignPortToInterface(handle_, 1, 0, 0); + EXPECT_EQ(FPGA_OK, res); + + system_->register_ioctl_handler(FPGA_FME_PORT_ASSIGN, port_assign_ioctl); + res = xfpga_fpgaAssignPortToInterface(handle_, 0, 0, 0); + EXPECT_EQ(FPGA_OK, res); +} + +/** + * @test invalid_max_interface_num + * + * @brief When the interface_num parameter to fpgaAssignPortToInterface + * is greater than FPGA_MAX_INTERFACE_NUM, + * then the function returns FPGA_INVALID_PARAM. + */ +TEST_P(err_inj_c_p, invalid_max_interface_num) { + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaAssignPortToInterface(handle_, 99, 0, 0)); +} + +INSTANTIATE_TEST_CASE_P(err_inj_c, err_inj_c_p, + ::testing::ValuesIn(test_platform::platforms({"skx-p","dcp-rc"}))); + + +class err_inj_c_usd_p : public err_inj_c_p {}; + +/** + * @test dfl_tests + * + * @brief fpgaAssignPortToInterface Assign and Release port are not yet + * supported by the latest upstream-drv. The API calls will return + * FPGA_NOT_SUPPORTED. + */ + +TEST_P(err_inj_c_usd_p, dfl_tests_neg) { + system_->register_ioctl_handler(DFL_FPGA_FME_PORT_RELEASE, dummy_ioctl<-1, ENOTSUP>); + EXPECT_EQ(FPGA_NOT_SUPPORTED, xfpga_fpgaAssignPortToInterface(handle_, 1, 0, 0)); + + system_->register_ioctl_handler(DFL_FPGA_FME_PORT_ASSIGN, dummy_ioctl<-1, ENOTSUP>); + EXPECT_EQ(FPGA_NOT_SUPPORTED, xfpga_fpgaAssignPortToInterface(handle_, 0, 0, 0)); +} + +INSTANTIATE_TEST_CASE_P(err_inj_c, err_inj_c_usd_p, + ::testing::ValuesIn(test_platform::mock_platforms({"skx-p-dfl0"}))); + +class err_inj_c_mock_p : public err_inj_c_p { + protected: + err_inj_c_mock_p() {} +}; + +/** +* @test fpga_mock_errinj_01 +* @brief Tests: fpgaPrepareBuffer and fpgaReleaseBuffer +* @details API allcocats buffer and Release buffer +* Then the return error code +*/ +TEST_P(err_inj_c_mock_p, fpga_mock_errinj_01) { + uint64_t buf_len; + uint64_t* buf_addr; + uint64_t wsid = 1; + + // Allocate a buffer + buf_len = 1024; + EXPECT_EQ(FPGA_OK, xfpga_fpgaPrepareBuffer(handle_, buf_len, (void**) &buf_addr, &wsid, 0)); + + // Release buffer + EXPECT_EQ(FPGA_OK, xfpga_fpgaReleaseBuffer(handle_, wsid)); +} + +/** +* @test fpga_mock_errinj_04 +* @brief Tests: fpgaReset +* @details fpgaReset resets fpga afu +* Then the return error code +*/ +TEST_P(err_inj_c_mock_p, fpga_mock_errinj_04) { + // Reset + system_->register_ioctl_handler(FPGA_PORT_RESET,dummy_ioctl<0,ENOTSUP>); + EXPECT_EQ(FPGA_OK, xfpga_fpgaReset(handle_)); +} + +/** +* @test fpga_mock_errinj_05 +* @brief Tests: fpgaMapMMIO +* @details fpgaMapMMIO maps fpga afu mmio region +* Then the return error code +*/ +TEST_P(err_inj_c_mock_p, fpga_mock_errinj_05) { + uint32_t mmio_num; + uint64_t *mmio_ptr; + + // mmap + mmio_num = 0; + + system_->register_ioctl_handler(FPGA_PORT_GET_REGION_INFO,dummy_ioctl<0,EINVAL>); + EXPECT_NE(FPGA_OK, xfpga_fpgaMapMMIO(handle_, mmio_num, &mmio_ptr)); +} + +/** +* @test fpga_mock_errinj_06 +* @brief Tests:fpgaGetNumUmsg,fpgaSetUmsgAttributes +* fpgaGetUmsgPtr and fpgaTriggerUmsg +* @details API Set,Get and Trigger UMSG +* Then the return error code +*/ +TEST_P(err_inj_c_mock_p, fpga_mock_errinj_06) { + uint64_t *value = 0; + uint64_t *umsg_ptr; + + system_->register_ioctl_handler(FPGA_PORT_GET_INFO, dummy_ioctl<-1,EINVAL>); + // Get Number of UMSG + EXPECT_NE(FPGA_OK, xfpga_fpgaGetNumUmsg(handle_, value)); + + system_->register_ioctl_handler(FPGA_PORT_UMSG_SET_MODE, dummy_ioctl<-1,EINVAL>); + // Set UMSG + EXPECT_NE(FPGA_OK, xfpga_fpgaSetUmsgAttributes(handle_, 0)); + + system_->register_ioctl_handler(FPGA_PORT_UMSG_SET_BASE_ADDR, dummy_ioctl<-1,EINVAL>); + // Get UMSG pointer + EXPECT_NE(FPGA_OK, xfpga_fpgaGetUmsgPtr(handle_, &umsg_ptr)); + + // Trigger UMSG + EXPECT_NE(FPGA_OK, xfpga_fpgaTriggerUmsg(handle_, 0)); +} + +/** +* @test port_to_interface_err +* @brief Tests:fpgaAssignPortToInterface +* @details fpgaAssignPortToInterface Assign and Release port +* Then the return error code +*/ +TEST_P(err_inj_c_mock_p, port_to_interface_err) { + fpga_result res; + + system_->register_ioctl_handler(FPGA_FME_PORT_RELEASE, dummy_ioctl<-1,EINVAL>); + res = xfpga_fpgaAssignPortToInterface(handle_, 1, 0, 0); + EXPECT_EQ(FPGA_INVALID_PARAM, res); + + system_->register_ioctl_handler(FPGA_FME_PORT_ASSIGN, dummy_ioctl<-1,EINVAL>); + res = xfpga_fpgaAssignPortToInterface(handle_, 0, 0, 0); + EXPECT_EQ(FPGA_INVALID_PARAM, res); +} + +INSTANTIATE_TEST_CASE_P(err_inj_c, err_inj_c_mock_p, + ::testing::ValuesIn(test_platform::mock_platforms({ "skx-p","dcp-rc","dcp-vc" }))); + +/** + * @test invalid_handle + * + * @brief When the handle parameter to fpgaAssignPortToInterface + * is NULL, + * then the function returns FPGA_INVALID_PARAM. + */ +TEST(err_inj_c, invalid_handle) { + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaAssignPortToInterface(NULL, 0, 0, 0)); +} diff --git a/opae-libs/tests/xfpga/test_object_c.cpp b/opae-libs/tests/xfpga/test_object_c.cpp new file mode 100644 index 0000000..5955170 --- /dev/null +++ b/opae-libs/tests/xfpga/test_object_c.cpp @@ -0,0 +1,275 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include +#include +#include "gtest/gtest.h" +#include "mock/test_system.h" +#include "types_int.h" +#include "xfpga.h" +#include "sysfs_int.h" + +extern "C" { +int xfpga_plugin_initialize(void); +int xfpga_plugin_finalize(void); +} + +using namespace opae::testing; + +const std::string DATA = + "ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789"; + +class sysobject_p : public ::testing::TestWithParam { + protected: + sysobject_p() + : tokens_{{nullptr, nullptr}}, + handle_(nullptr) {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + ASSERT_EQ(xfpga_plugin_initialize(), FPGA_OK); + fpga_guid fme_guid; + + ASSERT_EQ(uuid_parse(platform_.devices[0].fme_guid, fme_guid), 0); + ASSERT_EQ(xfpga_fpgaGetProperties(nullptr, &dev_filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetGUID(dev_filter_, fme_guid), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(dev_filter_, FPGA_DEVICE), FPGA_OK); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyProperties(&dev_filter_), FPGA_OK); + if (handle_) { + EXPECT_EQ(xfpga_fpgaClose(handle_), FPGA_OK); + handle_ = nullptr; + } + + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(xfpga_fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + xfpga_plugin_finalize(); + system_->finalize(); + } + + std::array tokens_; + fpga_handle handle_; + test_platform platform_; + test_system *system_; + fpga_properties dev_filter_; +}; + +TEST_P(sysobject_p, xfpga_fpgaTokenGetObject) { + uint32_t num_matches = 0; + ASSERT_EQ(xfpga_fpgaEnumerate(&dev_filter_, 1, tokens_.data(), tokens_.size(), + &num_matches), + FPGA_OK); + ASSERT_GT(num_matches, 0); + const char *name = "bitstream_id"; + fpga_object object; + int flags = 0; + EXPECT_EQ(xfpga_fpgaTokenGetObject(tokens_[0], name, &object, flags), + FPGA_OK); + uint64_t bitstream_id = 0; + EXPECT_EQ(xfpga_fpgaObjectRead64(object, &bitstream_id, 0), + FPGA_OK); + EXPECT_EQ(bitstream_id, platform_.devices[0].bbs_id); + EXPECT_EQ(xfpga_fpgaTokenGetObject(tokens_[0], "invalid_name", &object, 0), + FPGA_NOT_FOUND); + EXPECT_EQ(xfpga_fpgaTokenGetObject(tokens_[0], "../../../fpga", &object, 0), + FPGA_INVALID_PARAM); + EXPECT_EQ(xfpga_fpgaDestroyObject(&object), FPGA_OK); +} + +TEST_P(sysobject_p, xfpga_fpgaHandleGetObject) { + uint32_t num_matches = 0; + ASSERT_EQ(xfpga_fpgaEnumerate(&dev_filter_, 1, tokens_.data(), tokens_.size(), + &num_matches), + FPGA_OK); + ASSERT_GT(num_matches, 0); + ASSERT_EQ(xfpga_fpgaOpen(tokens_[0], &handle_, 0), FPGA_OK); + const char *name = "bitstream_id"; + fpga_object object; + int flags = 0; + ASSERT_EQ(xfpga_fpgaHandleGetObject(handle_, name, &object, flags), FPGA_OK); + uint64_t bitstream_id = 0; + EXPECT_EQ(xfpga_fpgaObjectRead64(object, &bitstream_id, 0), + FPGA_OK); + EXPECT_EQ(bitstream_id, platform_.devices[0].bbs_id); + EXPECT_EQ(xfpga_fpgaHandleGetObject(handle_, "invalid_name", &object, 0), + FPGA_NOT_FOUND); + EXPECT_EQ(xfpga_fpgaHandleGetObject(handle_, "../../../fpga", &object, 0), + FPGA_INVALID_PARAM); + EXPECT_EQ(xfpga_fpgaHandleGetObject(handle_, "errors/../../../../fpga", &object, 0), + FPGA_INVALID_PARAM); + + EXPECT_EQ(xfpga_fpgaDestroyObject(&object), FPGA_OK); +} + +TEST_P(sysobject_p, xfpga_fpgaObjectGetObject) { + uint32_t num_matches = 0; + ASSERT_EQ(xfpga_fpgaEnumerate(&dev_filter_, 1, tokens_.data(), tokens_.size(), + &num_matches), + FPGA_OK); + ASSERT_GT(num_matches, 0); + fpga_object err_object, object, non_object; + int flags = 0; + const char *name = "errors"; + EXPECT_EQ(xfpga_fpgaTokenGetObject(tokens_[0], name, &err_object, flags), + FPGA_OK); + ASSERT_EQ(xfpga_fpgaObjectGetObject(err_object, "revision", &object, + flags), + FPGA_OK); + EXPECT_EQ(xfpga_fpgaHandleGetObject(err_object, "../../../fpga", &non_object, 0), + FPGA_INVALID_PARAM); + uint64_t bbs_errors = 0; + EXPECT_EQ(xfpga_fpgaObjectRead64(object, &bbs_errors, 0), + FPGA_OK); + EXPECT_EQ(xfpga_fpgaDestroyObject(&object), FPGA_OK); + EXPECT_EQ(xfpga_fpgaDestroyObject(&err_object), FPGA_OK); +} + +TEST_P(sysobject_p, xfpga_fpgaDestroyObject) { + EXPECT_EQ(xfpga_fpgaDestroyObject(NULL), FPGA_INVALID_PARAM); +} + +INSTANTIATE_TEST_CASE_P(sysobject_c, sysobject_p, + ::testing::ValuesIn(test_platform::platforms({ "skx-p","dcp-rc","dcp-vc" }))); + + +class sysobject_mock_p : public sysobject_p{ + protected: + sysobject_mock_p() {}; +}; + +TEST_P(sysobject_mock_p, xfpga_fpgaObjectRead) { + uint32_t num_matches = 0; + ASSERT_EQ(xfpga_fpgaEnumerate(&dev_filter_, 1, tokens_.data(), tokens_.size(), + &num_matches), + FPGA_OK); + ASSERT_GT(num_matches, 0); + _fpga_token *tk = static_cast<_fpga_token *>(tokens_[0]); + std::string syspath(tk->sysfspath); + syspath += "/testdata"; + auto fp = system_->register_file(syspath); + ASSERT_NE(fp, nullptr) << strerror(errno); + fwrite(DATA.c_str(), DATA.size(), 1, fp); + fflush(fp); + fpga_object object; + int flags = 0; + ASSERT_EQ(xfpga_fpgaTokenGetObject(tokens_[0], "testdata", &object, flags), + FPGA_OK); + std::vector buffer(DATA.size()); + EXPECT_EQ(xfpga_fpgaObjectRead(object, buffer.data(), 0, DATA.size() + 1, 0), + FPGA_INVALID_PARAM); + EXPECT_EQ(xfpga_fpgaObjectRead(object, buffer.data(), 0, 10, FPGA_OBJECT_SYNC), + FPGA_OK); + buffer[10] = '\0'; + EXPECT_STREQ(reinterpret_cast(buffer.data()), + DATA.substr(0, 10).c_str()); + rewind(fp); + std::string c0c0str = "0xc0c0cafe\n"; + uint64_t value = 0; + fwrite(c0c0str.c_str(), c0c0str.size(), 1, fp); + fflush(fp); + fclose(fp); + EXPECT_EQ(xfpga_fpgaObjectRead64(object, &value, FPGA_OBJECT_SYNC), FPGA_OK); + EXPECT_EQ(value, 0xc0c0cafe); + EXPECT_EQ(xfpga_fpgaDestroyObject(&object), FPGA_OK); +} + +TEST_P(sysobject_mock_p, xfpga_fpgaObjectWrite64) { + uint32_t num_matches = 0; + ASSERT_EQ(xfpga_fpgaEnumerate(&dev_filter_, 1, tokens_.data(), tokens_.size(), + &num_matches), + FPGA_OK); + ASSERT_GT(num_matches, 0); + ASSERT_EQ(xfpga_fpgaOpen(tokens_[0], &handle_, 0), FPGA_OK); + _fpga_handle *h = static_cast<_fpga_handle *>(handle_); + _fpga_token *tok = static_cast<_fpga_token *>(h->token); + std::string syspath(tok->sysfspath); + syspath += "/testdata"; + auto fp = system_->register_file(syspath); + fpga_object object; + ASSERT_EQ(xfpga_fpgaHandleGetObject(handle_, "testdata", &object, 0), + FPGA_OK); + EXPECT_EQ(xfpga_fpgaObjectWrite64(object, 0xc0c0cafe, 0), FPGA_OK); + EXPECT_EQ(xfpga_fpgaObjectWrite64(object, 0xc0c0cafe, 0), + FPGA_OK); + + _fpga_object *obj = static_cast<_fpga_object *>(object); + char *path = obj->path; + std::string invalid_path = "test"; + + char *inv_path = new char[invalid_path.length() + 1]; + strcpy(inv_path, invalid_path.c_str()); + + obj->path = inv_path; + + EXPECT_EQ(xfpga_fpgaObjectWrite64(object, 0xc0c0cafe, 0), FPGA_EXCEPTION); + + obj->path = path; + delete[] inv_path; + + rewind(fp); + std::vector buffer(256); + auto result = fread(buffer.data(), buffer.size(), 1, fp); + (void) result; + fclose(fp); + EXPECT_EQ(xfpga_fpgaDestroyObject(&object), FPGA_OK); +} + +TEST_P(sysobject_mock_p, xfpga_fpgaGetSize) { + uint32_t num_matches = 0; + ASSERT_EQ(xfpga_fpgaEnumerate(&dev_filter_, 1, tokens_.data(), tokens_.size(), + &num_matches), + FPGA_OK); + ASSERT_GT(num_matches, 0); + _fpga_token *tk = static_cast<_fpga_token *>(tokens_[0]); + std::string syspath(tk->sysfspath); + syspath += "/testdata"; + auto fp = system_->register_file(syspath); + ASSERT_NE(fp, nullptr) << strerror(errno); + fwrite(DATA.c_str(), DATA.size(), 1, fp); + fflush(fp); + fclose(fp); + fpga_object object; + int flags = 0; + ASSERT_EQ(xfpga_fpgaTokenGetObject(tokens_[0], "testdata", &object, flags), + FPGA_OK); + uint32_t value = 0; + EXPECT_EQ(xfpga_fpgaObjectGetSize(object, &value, 0), FPGA_OK); + EXPECT_EQ(value, DATA.size()); + EXPECT_EQ(xfpga_fpgaDestroyObject(&object), FPGA_OK); +} + +INSTANTIATE_TEST_CASE_P(sysobject_c, sysobject_mock_p, + ::testing::ValuesIn(test_platform::mock_platforms({}))); diff --git a/opae-libs/tests/xfpga/test_open_close_c.cpp b/opae-libs/tests/xfpga/test_open_close_c.cpp new file mode 100644 index 0000000..3c7c0ad --- /dev/null +++ b/opae-libs/tests/xfpga/test_open_close_c.cpp @@ -0,0 +1,406 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +extern "C"{ +#include "token_list_int.h" +} + +#include "gtest/gtest.h" +#include "mock/test_system.h" +#include "xfpga.h" +#include "types_int.h" +#include "opae/mmio.h" +#include "intel-fpga.h" +#include "fpga-dfl.h" +#include "opae/access.h" +#include "linux/ioctl.h" +#include "cstdarg" + +#include "error_int.h" + +extern "C" { +int xfpga_plugin_initialize(void); +int xfpga_plugin_finalize(void); +} + +using namespace opae::testing; + +#ifndef BUILD_ASE +/* + * On hardware, the mmio map is a hash table. + */ +static bool mmio_map_is_empty(struct wsid_tracker *root) { + if (!root || (root->n_hash_buckets == 0)) + return true; + + for (uint32_t i = 0; i < root->n_hash_buckets; i += 1) { + if (root->table[i]) + return false; + } + + return true; +} + +#else +/* + * In ASE, the mmio map is a list. + */ +static bool mmio_map_is_empty(struct wsid_map *root) { + return !root; +} +#endif + +#undef FPGA_MSG +#define FPGA_MSG(fmt, ...) \ + printf("MOCK " fmt "\n", ## __VA_ARGS__) + +int mmio_ioctl(mock_object * m, int request, va_list argp){ + int retval = -1; + errno = EINVAL; + UNUSED_PARAM(m); + UNUSED_PARAM(request); + struct fpga_port_region_info *rinfo = va_arg(argp, struct fpga_port_region_info *); + if (!rinfo) { + FPGA_MSG("rinfo is NULL"); + goto out_EINVAL; + } + if (rinfo->argsz != sizeof(*rinfo)) { + FPGA_MSG("wrong structure size"); + goto out_EINVAL; + } + if (rinfo->index > 1 ) { + FPGA_MSG("unsupported MMIO index"); + goto out_EINVAL; + } + if (rinfo->padding != 0) { + FPGA_MSG("unsupported padding"); + goto out_EINVAL; + } + rinfo->flags = FPGA_REGION_READ | FPGA_REGION_WRITE | FPGA_REGION_MMAP; + rinfo->size = 0x40000; + rinfo->offset = 0; + retval = 0; + errno = 0; +out: + return retval; + +out_EINVAL: + retval = -1; + errno = EINVAL; + goto out; +} + + +class openclose_c_p + : public ::testing::TestWithParam { + protected: + openclose_c_p() + : handle_(nullptr), + tokens_{{nullptr, nullptr}} {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + ASSERT_EQ(xfpga_plugin_initialize(), FPGA_OK); + ASSERT_EQ(xfpga_fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), FPGA_OK); + ASSERT_EQ(xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), + FPGA_OK); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + + for (auto &t : tokens_){ + if (t) { + EXPECT_EQ(FPGA_OK, xfpga_fpgaDestroyToken(&t)); + t = nullptr; + } + } + xfpga_plugin_finalize(); + system_->finalize(); + } + + fpga_handle handle_; + std::array tokens_; + fpga_properties filter_; + uint32_t num_matches_; + test_platform platform_; + test_system *system_; +}; + +/** + * @test open_01 + * + * @brief When the fpga_handle * parameter to xfpga_fpgaOpen is NULL, the + * function returns FPGA_INVALID_PARAM. + */ +TEST_P(openclose_c_p, open_01) { + fpga_result res; + res = xfpga_fpgaOpen(NULL, NULL, 0); + ASSERT_EQ(FPGA_INVALID_PARAM, res); +} + +/** + * @test open_02 + * + * @brief When the fpga_token parameter to xfpga_fpgaOpen is NULL, the + * function returns FPGA_INVALID_PARAM. + */ + +TEST_P(openclose_c_p, open_02) { + fpga_handle handle_; + ASSERT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaOpen(NULL, &handle_, 0)); +} + +/** + * @test open_03 + * + * @brief When the flags parameter to xfpga_fpgaOpen is invalid, the + * function returns FPGA_INVALID_PARAM. + * + */ +TEST_P(openclose_c_p, open_03) { + ASSERT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaOpen(tokens_[0], NULL, 42)); + ASSERT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaOpen(tokens_[0], &handle_, 42)); +} + +/** + * @test open_04 + * + * @brief When the flags parameter to xfpga_fpgaOpen is invalid, the + * function returns FPGA_INVALID_PARAM. + * + */ +TEST_P(openclose_c_p, open_04) { + auto _token = (struct _fpga_token*)tokens_[0]; + auto res = xfpga_fpgaOpen(tokens_[0], &handle_, 42); + ASSERT_EQ(FPGA_INVALID_PARAM, res); + + // Invalid token magic + _token->magic = 0x123; + res = xfpga_fpgaOpen(tokens_[0], &handle_, FPGA_OPEN_SHARED); + ASSERT_EQ(FPGA_INVALID_PARAM, res); + + // Reset token magic + _token->magic = FPGA_TOKEN_MAGIC; +} + +/** + * @test open_05 + * + * @brief When the flags parameter to xfpga_fpgaOpen is invalid, the + * function returns FPGA_INVALID_PARAM and FPGA_NO_DRIVER. + * + */ +TEST_P(openclose_c_p, open_05) { + fpga_result res; + struct _fpga_token* _token = (struct _fpga_token*)tokens_[0]; + + // Invalid flag + res = xfpga_fpgaOpen(tokens_[0], &handle_, 42); + ASSERT_EQ(FPGA_INVALID_PARAM, res); + + handle_ = nullptr; + // Valid flag + res = xfpga_fpgaOpen(tokens_[0], &handle_, FPGA_OPEN_SHARED); + ASSERT_EQ(FPGA_OK, res); + ASSERT_EQ(FPGA_OK, xfpga_fpgaClose(handle_)); + + // Invalid token path + strcpy(_token->devpath,"/dev/intel-fpga-fme.01"); + res = xfpga_fpgaOpen(tokens_[0], &handle_, FPGA_OPEN_SHARED); + ASSERT_EQ(FPGA_NO_DRIVER, res); +} + +/** + * @test open_06 + * + * @brief When the flags parameter to xfpga_fpgaOpen is valid, + * but malloc fails. the function returns FPGA_NO_MEMORY. + * + */ +TEST_P(openclose_c_p, open_06) { + system_->invalidate_malloc(); + auto res = xfpga_fpgaOpen(tokens_[0], &handle_, 0); + ASSERT_EQ(FPGA_NO_MEMORY, res); +} + +/** + * @test close_01 + * + * @brief When the flags parameter to xfpga_fpgaOpen is valid, + * but handle fd is invalid. the function returns + * FPGA_INVALID_PARAM. + * + */ +TEST_P(openclose_c_p, close_01) { + int fddev = -1; + auto res = xfpga_fpgaOpen(tokens_[0], &handle_, 0); + ASSERT_EQ(FPGA_OK, res); + + struct _fpga_handle* _handle = (struct _fpga_handle*)handle_; + + // Invalid handle fd + fddev = _handle->fddev; + _handle->fddev = -1; + res = xfpga_fpgaClose(handle_); + EXPECT_EQ(res, FPGA_INVALID_PARAM); + + // Valid handle fd + _handle->fddev = fddev; + res = xfpga_fpgaClose(handle_); + EXPECT_EQ(res, FPGA_OK); +} + +/** + * @test invalid_close + * + * @brief When the fpga_handle parameter to fpgaClose is NULL, the + * function returns FPGA_INVALID_PARAM. + */ +TEST_P(openclose_c_p, invalid_close) { + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaClose(NULL)); +} + +/** + * @test close_03 + * + * @brief When the flags parameter to xfpga_fpgaOpen is valid, it + * returns FPGA_OK. + * the function returns FPGA_INVALID_PARAM. + * + */ +TEST_P(openclose_c_p, close_03) { + uint64_t * mmio_ptr = nullptr; + auto res = xfpga_fpgaOpen(tokens_[0], &handle_, 0); + ASSERT_EQ(FPGA_OK, res); + + // Register valid ioctl + system_->register_ioctl_handler(FPGA_PORT_GET_REGION_INFO, mmio_ioctl); + system_->register_ioctl_handler(DFL_FPGA_PORT_GET_REGION_INFO, mmio_ioctl); + EXPECT_TRUE(mmio_map_is_empty(((struct _fpga_handle*)handle_)->mmio_root)); + + ASSERT_EQ(FPGA_OK, xfpga_fpgaMapMMIO(handle_, 0, &mmio_ptr)); + EXPECT_NE(mmio_ptr,nullptr); + + res = xfpga_fpgaClose(handle_); + EXPECT_EQ(res, FPGA_OK); +} + +INSTANTIATE_TEST_CASE_P(openclose_c, openclose_c_p, + ::testing::ValuesIn(test_platform::platforms({}))); + +class openclose_c_skx_dcp_p + : public openclose_c_p {}; + +/** + * @test open_share + * + * @brief When the parameters are valid and the drivers are loaded, + * and the flag FPGA_OPEN_SHARED is given, fpgaOpen on an + * already opened token returns FPGA_OK. + */ +TEST_P(openclose_c_skx_dcp_p, open_share) { + fpga_handle h1 = nullptr; + fpga_handle h2 = nullptr; + + EXPECT_EQ(FPGA_OK, xfpga_fpgaOpen(tokens_[0], &h1, FPGA_OPEN_SHARED)); + EXPECT_EQ(FPGA_OK, xfpga_fpgaOpen(tokens_[0], &h2, FPGA_OPEN_SHARED)); + EXPECT_EQ(FPGA_OK, xfpga_fpgaClose(h1)); + EXPECT_EQ(FPGA_OK, xfpga_fpgaClose(h2)); +} + +INSTANTIATE_TEST_CASE_P(openclose_c_skx_dcp, openclose_c_skx_dcp_p, + ::testing::ValuesIn(test_platform::platforms({}, fpga_driver::linux_intel))); + +class openclose_c_dfl_p + : public openclose_c_p {}; + +/** + * @test open_share + * + * @brief When the parameters are valid and the drivers are loaded, + * and the flag FPGA_OPEN_SHARED is given, fpgaOpen on an + * already opened token returns FPGA_BUSY. + */ +TEST_P(openclose_c_dfl_p, open_share) { + fpga_handle h1 = nullptr; + fpga_handle h2 = nullptr; + + EXPECT_EQ(FPGA_OK, xfpga_fpgaOpen(tokens_[0], &h1, FPGA_OPEN_SHARED)); + EXPECT_EQ(FPGA_BUSY, xfpga_fpgaOpen(tokens_[0], &h2, FPGA_OPEN_SHARED)); + EXPECT_EQ(FPGA_OK, xfpga_fpgaClose(h1)); +} + +INSTANTIATE_TEST_CASE_P(openclose_c_dfl, openclose_c_dfl_p, + ::testing::ValuesIn(test_platform::hw_platforms({}, fpga_driver::linux_dfl0))); + +/** + * @test invalid_open_close + * + * @brief When the flags parameter to xfpga_fpgaOpen is valid, + * but driver is not loaded. the function returns FPGA_NO_DRIVER. + * + */ +class openclose_c_mock_p + : public ::testing::TestWithParam { + protected: + openclose_c_mock_p() {} + +}; + +TEST_P(openclose_c_mock_p, invalid_open_close) { + struct _fpga_token _tok; + fpga_token tok = &_tok; + fpga_handle h; + + const std::string sysfs_port = "/sys/class/fpga/intel-fpga-dev.0/intel-fpga-port.0"; + const std::string dev_port = "/dev/intel-fpga-port.0"; + + // token setup + strncpy(_tok.sysfspath, sysfs_port.c_str(), sysfs_port.size() + 1); + strncpy(_tok.devpath, dev_port.c_str(), dev_port.size() + 1); + _tok.magic = FPGA_TOKEN_MAGIC; + _tok.errors = nullptr; + std::string errpath = sysfs_port + "/errors"; + build_error_list(errpath.c_str(), &_tok.errors); + +#ifdef BUILD_ASE + ASSERT_EQ(FPGA_OK, xfpga_fpgaOpen(tok, &h, 0)); + ASSERT_EQ(FPGA_OK, xfpga_fpgaClose(h)); + EXPECT_EQ(fpgaDestroyProperties(&filter), FPGA_OK); + EXPECT_EQ(FPGA_OK, xfpga_fpgaDestroyToken(&tok)); +#else + EXPECT_EQ(FPGA_NO_DRIVER, xfpga_fpgaOpen(tok, &h, 0)); +#endif +} + +INSTANTIATE_TEST_CASE_P(openclose_c, openclose_c_mock_p, + ::testing::ValuesIn(test_platform::mock_platforms({}))); diff --git a/opae-libs/tests/xfpga/test_plugin_c.cpp b/opae-libs/tests/xfpga/test_plugin_c.cpp new file mode 100644 index 0000000..fb77105 --- /dev/null +++ b/opae-libs/tests/xfpga/test_plugin_c.cpp @@ -0,0 +1,189 @@ +// Copyright(c) 2017-2019, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +extern "C" { +#include +#include "sysfs_int.h" +#include "types_int.h" +#include "adapter.h" + +bool xfpga_plugin_supports_device(const char *device_type); +int xfpga_plugin_initialize(void); +int xfpga_plugin_finalize(void); +bool xfpga_plugin_supports_host(const char *hostname); +int opae_plugin_configure(opae_api_adapter_table *adapter, + const char *jsonConfig); +} + +#include +#include +#include +#include "xfpga.h" +#include +#include "gtest/gtest.h" +#include "mock/test_system.h" +#include "adapter.h" + +using namespace opae::testing; + +class xfpga_plugin_c_p : public ::testing::TestWithParam { +protected: + xfpga_plugin_c_p() + : tokens_{ {nullptr, nullptr} }, + handle_(nullptr) {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + ASSERT_EQ(xfpga_plugin_initialize(), FPGA_OK); + + ASSERT_EQ(xfpga_fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), FPGA_OK); + ASSERT_GT(num_matches_, 0); + ASSERT_EQ(xfpga_fpgaOpen(tokens_[0], &handle_, 0), FPGA_OK); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(xfpga_fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + if (handle_ != nullptr) { + EXPECT_EQ(xfpga_fpgaClose(handle_), FPGA_OK); + handle_ = nullptr; + } + xfpga_plugin_finalize(); + system_->finalize(); + } + + std::array tokens_; + fpga_handle handle_; + fpga_properties filter_; + uint32_t num_matches_; + test_platform platform_; + test_system *system_; + + opae_api_adapter_table *opae_plugin_mgr_alloc_adapter_test(const char *lib_path) + { + void *dl_handle; + opae_api_adapter_table *adapter; + dl_handle = dlopen(lib_path, RTLD_LAZY | RTLD_LOCAL); + if (!dl_handle) { + char *err = dlerror(); + OPAE_ERR("failed to load \"%s\" %s", lib_path, err ? err : ""); + return NULL; + } + + adapter = (opae_api_adapter_table *)calloc( + 1, sizeof(opae_api_adapter_table)); + + if (!adapter) { + dlclose(dl_handle); + OPAE_ERR("out of memory"); + return NULL; + } + adapter->plugin.path = (char *)lib_path; + adapter->plugin.dl_handle = dl_handle; + + return adapter; + } + + int opae_plugin_mgr_free_adapter_test(opae_api_adapter_table *adapter) + { + int res; + char *err; + + res = dlclose(adapter->plugin.dl_handle); + + if (res) { + err = dlerror(); + OPAE_ERR("dlclose failed with %d %s", res, err ? err : ""); + } + + free(adapter); + + return res; + } +}; + +/* +* @test plugin +* @brief Tests: xfpga_plugin_supports_device +* xfpga_plugin_supports_host + @details When passed with valid argument,the fn returns true
    +* When passed with invalid argument,the fn returns false
    +*/ +TEST_P(xfpga_plugin_c_p, test_plugin_1) { + EXPECT_EQ(xfpga_plugin_supports_device(NULL), true); + EXPECT_EQ(xfpga_plugin_supports_host(NULL), true); +} + +/* +* @test plugin +* @brief Tests:xfpga_plugin_initialize +* @details When passed with NULL argument,the fn returns -1
    +* When passed with valid argument,the fn returns 0
    +*/ +TEST_P(xfpga_plugin_c_p, test_plugin_2) { + + opae_api_adapter_table *adapter_table = opae_plugin_mgr_alloc_adapter_test("libxfpga.so"); + + EXPECT_EQ(opae_plugin_configure(adapter_table, NULL), 0); + + if (adapter_table) + opae_plugin_mgr_free_adapter_test(adapter_table); + +} +INSTANTIATE_TEST_CASE_P(xfpga_plugin_c, xfpga_plugin_c_p, + ::testing::ValuesIn(test_platform::mock_platforms({"skx-p","dcp-rc"}))); + +class xfpga_plugin_mock_c_p : public ::testing::TestWithParam { +protected: + xfpga_plugin_mock_c_p() {}; +}; + +/* +* @test test_plugin_neg +* @brief Tests:xfpga_plugin_initialize +* xfpga_plugin_finalize +* @details When no driver is present, returns FPGA_NO_DRIVER. +*/ +TEST_P(xfpga_plugin_mock_c_p, test_plugin_neg) { + EXPECT_EQ(xfpga_plugin_initialize(), FPGA_NO_DRIVER); + EXPECT_EQ(xfpga_plugin_finalize(), FPGA_OK); +} + +INSTANTIATE_TEST_CASE_P(xfpga_plugin_mock_c, xfpga_plugin_mock_c_p, + ::testing::ValuesIn(test_platform::mock_platforms())); + diff --git a/opae-libs/tests/xfpga/test_properties_c.cpp b/opae-libs/tests/xfpga/test_properties_c.cpp new file mode 100644 index 0000000..7ebc092 --- /dev/null +++ b/opae-libs/tests/xfpga/test_properties_c.cpp @@ -0,0 +1,251 @@ +// Copyright(c) 2017-2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#include +#include +#include "gtest/gtest.h" +#include "mock/test_system.h" +#include "types_int.h" +#include "xfpga.h" +#include "props.h" +#include "sysfs_int.h" + +extern "C" { +int xfpga_plugin_initialize(void); +int xfpga_plugin_finalize(void); + +} +using namespace opae::testing; + +class properties_c_p : public ::testing::TestWithParam { + protected: + properties_c_p() + : tokens_accel_{{nullptr, nullptr}}, + tokens_dev_{{nullptr, nullptr}}, + prop_(nullptr), + filter_accel_(nullptr), + filter_dev_(nullptr), + handle_accel_(nullptr), + handle_dev_(nullptr) {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + ASSERT_EQ(xfpga_plugin_initialize(), FPGA_OK); + ASSERT_EQ(xfpga_fpgaGetProperties(nullptr, &filter_accel_), FPGA_OK); + auto accel_dev_id = platform_.devices[0].device_id + platform_.devices[0].num_vfs; + ASSERT_EQ(fpgaPropertiesSetDeviceID(filter_accel_, accel_dev_id), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_accel_, FPGA_ACCELERATOR), FPGA_OK); + ASSERT_EQ(xfpga_fpgaEnumerate(&filter_accel_, 1, tokens_accel_.data(), tokens_accel_.size(), + &num_matches_), FPGA_OK); + EXPECT_EQ(num_matches_, platform_.devices.size()); + ASSERT_EQ(xfpga_fpgaOpen(tokens_accel_[0], &handle_accel_, 0), FPGA_OK); + ASSERT_EQ(fpgaClearProperties(filter_accel_), FPGA_OK); + + ASSERT_EQ(xfpga_fpgaGetProperties(nullptr, &filter_dev_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetDeviceID(filter_dev_, + platform_.devices[0].device_id), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_dev_, FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(xfpga_fpgaEnumerate(&filter_dev_, 1, tokens_dev_.data(), tokens_dev_.size(), + &num_matches_), FPGA_OK); + EXPECT_EQ(num_matches_, platform_.devices.size()); + ASSERT_EQ(xfpga_fpgaOpen(tokens_dev_[0], &handle_dev_, 0), FPGA_OK); + ASSERT_EQ(fpgaClearProperties(filter_dev_), FPGA_OK); + + num_matches_ = 0xc01a; + invalid_device_ = test_device::unknown(); + prop_ = nullptr; + } + + virtual void TearDown() override { + if (prop_) { EXPECT_EQ(fpgaDestroyProperties(&prop_), FPGA_OK); }; + + if (filter_accel_) { EXPECT_EQ(fpgaDestroyProperties(&filter_accel_), FPGA_OK); } + if (filter_dev_) { EXPECT_EQ(fpgaDestroyProperties(&filter_dev_), FPGA_OK); } + if (handle_accel_) { EXPECT_EQ(xfpga_fpgaClose(handle_accel_), FPGA_OK); } + if (handle_dev_) { EXPECT_EQ(xfpga_fpgaClose(handle_dev_), FPGA_OK); } + + for (auto &t : tokens_accel_) { + if (t) { + EXPECT_EQ(xfpga_fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + + for (auto &t : tokens_dev_) { + if (t) { + EXPECT_EQ(xfpga_fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + xfpga_plugin_finalize(); + system_->finalize(); + } + + std::array tokens_accel_; + std::array tokens_dev_; + fpga_properties prop_; + fpga_properties filter_accel_; + fpga_properties filter_dev_; + fpga_handle handle_accel_; + fpga_handle handle_dev_; + uint32_t num_matches_; + test_platform platform_; + test_device invalid_device_; + test_system* system_; +}; + +/** + * @test from_handle + * @brief Tests: xfpga_fpgaGetPropertiesFromHandle + * @details Given a null fpga_properties* object
    + * When I call xfpga_fpgaGetPropertiesFromHandle with an valid handle, + * expected result is FPGA_OK.
    + */ +TEST_P(properties_c_p, from_handle) { + EXPECT_EQ(xfpga_fpgaGetPropertiesFromHandle(handle_accel_, &prop_), FPGA_OK); +} + +/** + * @test vendor_id_afu + * + * @brief When querying the vendor ID of an AFU, + * 0x8086 is returned. + */ +TEST_P(properties_c_p, vendor_id_afu) { +#ifndef BUILD_ASE + auto device = platform_.devices[0]; + uint16_t x = 0; + ASSERT_EQ(xfpga_fpgaGetPropertiesFromHandle(handle_accel_, &prop_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesGetVendorID(prop_, &x), FPGA_OK); + EXPECT_EQ(x, device.vendor_id); +#endif +} + +/** + * @test vendor_id_fme + * + * @brief When querying the vendor ID of an FME, + * 0x8086 is returned. + */ +TEST_P(properties_c_p, vendor_id_fme) { +#ifndef BUILD_ASE + auto device = platform_.devices[0]; + uint16_t x = 0; + ASSERT_EQ(xfpga_fpgaGetPropertiesFromHandle(handle_dev_, &prop_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesGetVendorID(prop_, &x), FPGA_OK); + EXPECT_EQ(x, device.vendor_id); +#endif +} + +/** + * @test device_id_afu + * + * @brief When querying the device ID of an AFU, + * 0xbcc0 is returned. + */ +TEST_P(properties_c_p, device_id_afu) { +#ifndef BUILD_ASE + auto device = platform_.devices[0]; + uint16_t x = 0; + ASSERT_EQ(xfpga_fpgaGetPropertiesFromHandle(handle_accel_, &prop_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesGetDeviceID(prop_, &x), FPGA_OK); + auto expected_id = device.device_id + (device.num_vfs > 0 ? 1 : 0); + EXPECT_EQ(static_cast(x), expected_id); +#endif +} + +/** + * @test device_id_fme + * + * @brief When querying the device ID of an FME, + * 0xbcc0 is returned. + */ +TEST_P(properties_c_p, device_id_fme) { +#ifndef BUILD_ASE + auto device = platform_.devices[0]; + uint16_t x = 0; + ASSERT_EQ(xfpga_fpgaGetPropertiesFromHandle(handle_dev_, &prop_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesGetDeviceID(prop_, &x), FPGA_OK); + EXPECT_EQ(static_cast(x), device.device_id); +#endif +} + +/** + * @test valid_gets + * + * @brief When fpgaGetPropertiesFromHandle is called + * with a valid handle. + * The function returns FPGA_OK with the + * returned valid properties for that handle. + */ +TEST_P(properties_c_p, valid_gets) { + fpga_objtype objtype = FPGA_DEVICE; + + ASSERT_EQ(xfpga_fpgaGetPropertiesFromHandle(handle_accel_, &prop_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesGetObjectType(prop_, &objtype), FPGA_OK); + EXPECT_EQ(objtype, FPGA_ACCELERATOR); + EXPECT_EQ(fpgaDestroyProperties(&prop_), FPGA_OK); + + prop_ = NULL; + objtype = FPGA_ACCELERATOR; + + ASSERT_EQ(xfpga_fpgaGetPropertiesFromHandle(handle_dev_, &prop_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesGetObjectType(prop_, &objtype), FPGA_OK); + EXPECT_EQ(objtype, FPGA_DEVICE); +} + +INSTANTIATE_TEST_CASE_P(properties_c, properties_c_p, + ::testing::ValuesIn(test_platform::keys(true))); + +/** + * @test fpga_get_properties + * @brief Tests: xfpga_fpgaGetProperties + * @details Given a null fpga_properties* object
    + * When I call xfpga_fpgaGetProperties with an invalid token, + * expected result is FPGA_INVALID_PARAM.
    + */ +TEST(properties_c, fpga_get_properties) { + char buf[sizeof(_fpga_token)]; + fpga_token token = buf; + ((_fpga_token*)token)->magic = 0xbeef; + fpga_properties prop; + EXPECT_EQ(xfpga_fpgaGetProperties(token, &prop), FPGA_INVALID_PARAM); +} + +/** + * @test invalid_handle + * @brief Tests: xfpga_fpgaGetPropertiesFromHandle + * @details Given a null fpga_properties* object
    + * When I call xfpga_fpgaGetPropertiesFromHandle with an null handle, + * expected result is FPGA_INVALID_PARAM.
    + */ +TEST(properties_c, invalid_handle) { + fpga_properties prop = NULL; + EXPECT_EQ(xfpga_fpgaGetPropertiesFromHandle(nullptr, &prop), FPGA_INVALID_PARAM); +} diff --git a/opae-libs/tests/xfpga/test_reconf_c.cpp b/opae-libs/tests/xfpga/test_reconf_c.cpp new file mode 100644 index 0000000..13b5a61 --- /dev/null +++ b/opae-libs/tests/xfpga/test_reconf_c.cpp @@ -0,0 +1,667 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#include "gtest/gtest.h" +#include "mock/test_system.h" +#include "mock/test_utils.h" + +extern "C" { +#include +#include +#include +#include +#include "intel-fpga.h" +#include "fpga-dfl.h" +#include "reconf_int.h" +#include "token_list_int.h" +#include "xfpga.h" +#include "sysfs_int.h" +} + +extern "C" { +fpga_result open_accel(fpga_handle handle, fpga_handle *accel); +fpga_result clear_port_errors(fpga_handle handle); +fpga_result validate_bitstream(fpga_handle, const uint8_t *bitstream, + size_t bitstream_len, int *header_len); +int xfpga_plugin_initialize(void); +int xfpga_plugin_finalize(void); +} + +using namespace opae::testing; + +class reconf_c : public ::testing::TestWithParam { + protected: + reconf_c() + : tokens_{{nullptr, nullptr}}, + handle_(nullptr) {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + ASSERT_EQ(xfpga_plugin_initialize(), FPGA_OK); + ASSERT_EQ(xfpga_fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetDeviceID(filter_, platform_.devices[0].device_id), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), FPGA_OK); + + bitstream_valid_ = system_->assemble_gbs_header(platform_.devices[0]); + // Valid bitstream - no clk + std::string version = "630"; + + auto fme_guid = platform_.devices[0].fme_guid; + auto afu_guid = platform_.devices[0].afu_guid; + + // clang-format off + auto bitstream_j = jobject + ("version", version) + ("afu-image", jobject + ("interface-uuid", fme_guid) + ("magic-no", int32_t(488605312)) + ("accelerator-clusters", { + jobject + ("total-contexts", int32_t(1)) + ("name", "nlb") + ("accelerator-type-uuid", afu_guid) + } + ) + ) + ("platform-name", ""); + // clang-format on + bitstream_valid_no_clk_ = + system_->assemble_gbs_header(platform_.devices[0], bitstream_j.c_str()); + bitstream_j.put(); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + if (handle_) { + EXPECT_EQ(xfpga_fpgaClose(handle_), FPGA_OK); + handle_ = nullptr; + } + + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(xfpga_fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + xfpga_plugin_finalize(); + system_->finalize(); + token_cleanup(); + } + + std::array tokens_; + fpga_handle handle_; + fpga_properties filter_; + uint32_t num_matches_; + test_platform platform_; + test_system *system_; + std::vector bitstream_valid_; + std::vector bitstream_valid_no_clk_; +}; + +/** +* @test set_afu_userclock +* @brief Tests: set_afu_userclock +* @details set_afu_userclock sets afu user clock +* Returns FPGA_OK if parameters are valid. Returns +* error code if invalid user clock or handle. +*/ +TEST_P(reconf_c, set_afu_userclock) { + fpga_result result; + + // Open port device + ASSERT_EQ(FPGA_OK, xfpga_fpgaOpen(tokens_[0], &handle_, 0)); + + // Null handle + result = set_afu_userclock(NULL, 0, 0); + EXPECT_EQ(result, FPGA_INVALID_PARAM); + + // Invalid params + result = set_afu_userclock(handle_, 0, 0); + EXPECT_EQ(result, FPGA_INVALID_PARAM); +} + +/** +* @test set_fpga_pwr_threshold_01 +* @brief Tests: set_fpga_pwr_threshold +* @details set_fpga_pwr_threshold sets power threshold +* Returns FPGA_OK if parameters are valid. Returns +* error code if invalid power threshold or handle. +*/ +TEST_P(reconf_c, set_fpga_pwr_threshold_01) { + fpga_result result; + bool have_powermgmt; + struct stat _st; + + // Open port device + ASSERT_EQ(FPGA_OK, xfpga_fpgaOpen(tokens_[0], &handle_, 0)); + + // Check if power attribute exists in sysfs tree + struct _fpga_token *token = (struct _fpga_token *)tokens_[0]; + std::string sysfspath(token->sysfspath); + auto power_mgmt = sysfspath + "/power_mgmt"; + have_powermgmt = stat(power_mgmt.c_str(), &_st) == 0; + + // NULL handle + result = set_fpga_pwr_threshold(NULL, 0); + EXPECT_EQ(result, FPGA_INVALID_PARAM); + + // Zero GBS power + result = set_fpga_pwr_threshold(handle_, 0); + EXPECT_EQ(result, have_powermgmt ? FPGA_OK : FPGA_NOT_FOUND); + + // Exceed FPGA_GBS_MAX_POWER + result = set_fpga_pwr_threshold(handle_, 65); + EXPECT_EQ(result, FPGA_NOT_SUPPORTED); + + // Invalid token within handle + struct _fpga_handle *handle = (struct _fpga_handle *)handle_; + + auto t = handle->token; + handle->token = NULL; + + result = set_fpga_pwr_threshold(handle_, 60); + EXPECT_EQ(result, FPGA_INVALID_PARAM); + + handle->token = t; +} + +/* +* @test set_fpga_pwr_threshold_02 +* @brief Tests: set_fpga_pwr_threshold +* @details set_fpga_pwr_threshold sets power threshold +* Returns FPGA_OK if parameters are valid. +*/ +TEST_P(reconf_c, set_fpga_pwr_threshold_02) { + fpga_result result; + bool have_powermgmt; + struct stat _st; + + // Open port device + ASSERT_EQ(FPGA_OK, xfpga_fpgaOpen(tokens_[0], &handle_, 0)); + + // Check if power attribute exists in sysfs tree + struct _fpga_token *token = (struct _fpga_token *)tokens_[0]; + std::string sysfspath(token->sysfspath); + auto power_mgmt = sysfspath + "/power_mgmt"; + have_powermgmt = stat(power_mgmt.c_str(), &_st) == 0; + + // Valid power threshold + result = set_fpga_pwr_threshold(handle_, 60); + EXPECT_EQ(result, have_powermgmt ? FPGA_OK : FPGA_NOT_FOUND); +} + +/** +* @test fpga_reconf_slot +* @brief Tests: fpgaReconfigureSlot +* @details Returns FPGA_OK if bitstream is valid and is able +* to reconfigure fpga. Returns error code if +* bitstream, handle, or parameters are invalid. +*/ +TEST_P(reconf_c, fpga_reconf_slot) { + fpga_result result; + uint8_t bitstream_empty[] = ""; + uint8_t bitstream_invalid_guid[] = + "Xeon\xb7GBSv001\53\02\00\00{\"version\": 640, \"afu-image\": \ + {\"clock-frequency-high\": 312, \"clock-frequency-low\": 156, \ + \"power\": 50, \"interface-uuid\": \"1a422218-6dba-448e-b302-425cbcde1406\", \ + \"magic-no\": 488605312, \"accelerator-clusters\": [{\"total-contexts\": 1,\ + \"name\": \"nlb_400\", \"accelerator-type-uuid\":\ + \"d8424dc4-a4a3-c413-f89e-433683f9040b\"}]}, \"platform-name\": \"MCP\"}"; + uint8_t bitstream_invalid_json[] = + "XeonFPGA\xb7GBSv001\53\02{\"version\": \"afu-image\"}"; + size_t bitstream_valid_len = + get_bitstream_header_len(bitstream_valid_.data()); + uint32_t slot = 0; + int flags = 0; + + // Open port device + ASSERT_EQ(FPGA_OK, xfpga_fpgaOpen(tokens_[0], &handle_, 0)); + + // Invalid bitstream - null + result = xfpga_fpgaReconfigureSlot(handle_, slot, NULL, 0, flags); + EXPECT_EQ(result, FPGA_INVALID_PARAM); + + // Invalid bitstream - empty + result = xfpga_fpgaReconfigureSlot(handle_, slot, bitstream_empty, 0, flags); + EXPECT_EQ(result, FPGA_INVALID_PARAM); + + // Invalid bitstream - invalid guid + result = xfpga_fpgaReconfigureSlot(handle_, slot, bitstream_invalid_guid, + bitstream_valid_len, flags); + EXPECT_EQ(result, FPGA_INVALID_PARAM); + + // Invalid bitstream - invalid json + result = xfpga_fpgaReconfigureSlot(handle_, slot, bitstream_invalid_json, + bitstream_valid_len, flags); + EXPECT_EQ(result, FPGA_INVALID_PARAM); + + // Null handle + result = xfpga_fpgaReconfigureSlot(NULL, slot, bitstream_valid_.data(), + bitstream_valid_.size(), flags); + EXPECT_EQ(result, FPGA_INVALID_PARAM); + + // Invalid handle file descriptor + auto &no_clk_arr = bitstream_valid_no_clk_; + struct _fpga_handle *handle = (struct _fpga_handle *)handle_; + uint32_t fddev = handle->fddev; + + handle->fddev = -1; + + result = + xfpga_fpgaReconfigureSlot(handle_, slot, no_clk_arr.data(), no_clk_arr.size(), flags); + EXPECT_EQ(result, FPGA_INVALID_PARAM); + + handle->fddev = fddev; +} + +/** +* @test open_accel +* @brief Tests: open_accel_01 +* @details Returns FPGA_INVALID_PARAM when calling open_accel with +* an invalid handle. +*/ +TEST_P(reconf_c, open_accel_01) { + fpga_result result; + fpga_handle accel = nullptr; + + ASSERT_EQ(FPGA_OK, xfpga_fpgaOpen(tokens_[0], &handle_, 0)); + + // Null handle + result = open_accel(NULL, &accel); + EXPECT_EQ(result, FPGA_INVALID_PARAM); + + // Valid handle + result = open_accel(handle_, &accel); + EXPECT_EQ(result, FPGA_OK); + + EXPECT_EQ(xfpga_fpgaClose(accel), FPGA_OK); + + // Invalid object type + struct _fpga_handle *handle = (struct _fpga_handle *)handle_; + struct _fpga_token *token = (struct _fpga_token *)&handle->token; + + handle->token = NULL; + + result = open_accel(handle_, &accel); + EXPECT_EQ(result, FPGA_INVALID_PARAM); + + handle->token = token; +} + +/** +* @test open_accel +* @brief Tests: open_accel_02 +* @details Returns FPGA_BUSY when calling open_accel with +* an opened accel handle. +*/ +TEST_P(reconf_c, open_accel_02) { + fpga_properties filter_accel = nullptr; + std::array tokens_accel = {{nullptr,nullptr}}; + fpga_handle handle_accel = nullptr; + fpga_handle accel = nullptr; + uint32_t num_matches_accel; + + ASSERT_EQ(FPGA_OK, xfpga_fpgaOpen(tokens_[0], &handle_, 0)); + + ASSERT_EQ(xfpga_fpgaGetProperties(nullptr, &filter_accel), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_accel, FPGA_ACCELERATOR), + FPGA_OK); + ASSERT_EQ(xfpga_fpgaEnumerate(&filter_accel, 1, tokens_accel.data(), + tokens_accel.size(), &num_matches_accel), + FPGA_OK); + ASSERT_EQ(FPGA_OK, xfpga_fpgaOpen(tokens_accel[0], &handle_accel, 0)); + + EXPECT_NE(handle_, nullptr); + EXPECT_NE(handle_accel, nullptr); + auto result = open_accel(handle_accel, &accel); + EXPECT_EQ(result, FPGA_BUSY); + + EXPECT_EQ(accel, nullptr); + EXPECT_EQ(fpgaDestroyProperties(&filter_accel), FPGA_OK); + EXPECT_EQ(xfpga_fpgaClose(handle_accel), FPGA_OK); + for (auto &t : tokens_accel) { + if (t != nullptr) { + EXPECT_EQ(xfpga_fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } +} + +/** + * @test validate_bitstream + * @brief Tests: validate_bitstream + * @details: When validate_bitstream is given an invalid + * bitstream header length, the function returns + * FPGA_EXCEPTION. + */ +TEST_P(reconf_c, validate_bitstream) { + uint8_t bitstream_invalid_len[] = "XeonFPGA\xb7GBSv001\255\255\255\255"; + size_t bitstream_len = sizeof(bitstream_invalid_len) / sizeof(uint8_t); + int header_len; + fpga_result result; + + ASSERT_EQ(FPGA_OK, xfpga_fpgaOpen(tokens_[0], &handle_, 0)); + + result = validate_bitstream(handle_, bitstream_invalid_len, + bitstream_len, &header_len); + EXPECT_EQ(FPGA_EXCEPTION, result); +} + +INSTANTIATE_TEST_CASE_P(reconf, reconf_c, + ::testing::ValuesIn(test_platform::platforms({}))); + +class reconf_c_mock_p : public ::testing::TestWithParam { + protected: + reconf_c_mock_p() + : tokens_{{nullptr, nullptr}}, + handle_(nullptr) {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + ASSERT_EQ(xfpga_plugin_initialize(), FPGA_OK); + ASSERT_EQ(xfpga_fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetDeviceID(filter_, platform_.devices[0].device_id), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), FPGA_OK); + EXPECT_GT(num_matches_, 0); + ASSERT_EQ(FPGA_OK, xfpga_fpgaOpen(tokens_[0], &handle_, 0)); + + // assemble valid bitstream header + auto fme_guid = platform_.devices[0].fme_guid; + auto afu_guid = platform_.devices[0].afu_guid; + + auto bitstream_j = jobject + ("version", "640") + ("afu-image", jobject + ("interface-uuid", fme_guid) + ("magic-no", int32_t(488605312)) + ("accelerator-clusters", { + jobject + ("total-contexts", int32_t(1)) + ("name", "nlb") + ("accelerator-type-uuid", afu_guid) + } + ) + ) + ("platform-name", ""); + + bitstream_valid_ = + system_->assemble_gbs_header(platform_.devices[0], bitstream_j.c_str()); + bitstream_j.put(); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + if (handle_) { + EXPECT_EQ(xfpga_fpgaClose(handle_), FPGA_OK); + handle_ = nullptr; + } + + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(xfpga_fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + xfpga_plugin_finalize(); + system_->finalize(); + token_cleanup(); + } + + std::array tokens_; + fpga_handle handle_; + fpga_properties filter_; + uint32_t num_matches_; + test_platform platform_; + test_system *system_; + std::vector bitstream_valid_; +}; + +/** + * @test set_afu_userclock + * @brief Tests: set_afu_userclock + * @details When given valid parameters, set_afu_userclock + * returns FPGA_NOT_SUPPORTED on mock platforms. + */ +TEST_P(reconf_c_mock_p, set_afu_userclock) { + EXPECT_EQ(set_afu_userclock(handle_, 312, 156), FPGA_NOT_SUPPORTED); +} + +/** + * @test fpga_reconf_slot + * @brief Tests: fpgaReconfigureSlot + * @details Returns FPGA_OK if bitstream is valid and is able + * to reconfigure the fpga. + */ +TEST_P(reconf_c_mock_p, fpga_reconf_slot) { + fpga_result result; + uint32_t slot = 0; + int flags = 0; + + result = xfpga_fpgaReconfigureSlot(handle_, slot, bitstream_valid_.data(), + bitstream_valid_.size(), flags); + EXPECT_EQ(result, FPGA_OK); +} + +/** + * @test fpga_reconf_slot_einval + * @brief Tests: fpgaReconfigureSlot + * @details Register an ioctl handler that returns -1 and sets + * errno to EINVAL. fpgaReconfigureSlot should return + * FPGA_INVALID_PARAM. + */ +TEST_P(reconf_c_mock_p, fpga_reconf_slot_einval) { + fpga_result result; + uint32_t slot = 0; + int flags = 0; + + // register an ioctl handler that will return -1 and set errno to EINVAL + system_->register_ioctl_handler(FPGA_FME_PORT_PR, dummy_ioctl<-1, EINVAL>); + system_->register_ioctl_handler(DFL_FPGA_FME_PORT_PR, dummy_ioctl<-1, EINVAL>); + result = xfpga_fpgaReconfigureSlot(handle_, slot, bitstream_valid_.data(), + bitstream_valid_.size(), flags); + EXPECT_EQ(result, FPGA_INVALID_PARAM); +} + +/** + * @test fpga_reconf_slot_enotsup + * @brief Tests: fpgaReconfigureSlot + * @details Register an ioctl handler that returns -1 and sets + * errno to ENOTSUP. fpgaReconfigureSlot should return + * FPGA_EXCEPTION. + */ +TEST_P(reconf_c_mock_p, fpga_reconf_slot_enotsup) { + fpga_result result; + uint32_t slot = 0; + int flags = 0; + + // register an ioctl handler that will return -1 and set errno to ENOTSUP + system_->register_ioctl_handler(FPGA_FME_PORT_PR, dummy_ioctl<-1, ENOTSUP>); + system_->register_ioctl_handler(DFL_FPGA_FME_PORT_PR, dummy_ioctl<-1, ENOTSUP>); + result = xfpga_fpgaReconfigureSlot(handle_, slot, bitstream_valid_.data(), + bitstream_valid_.size(), flags); + EXPECT_EQ(result, FPGA_EXCEPTION); +} + +INSTANTIATE_TEST_CASE_P(reconf, reconf_c_mock_p, + ::testing::ValuesIn(test_platform::mock_platforms({ "skx-p","dcp-rc" }))); + +class reconf_c_hw_skx_p : public reconf_c { + protected: + reconf_c_hw_skx_p() {}; +}; + +/** + * @test set_afu_userclock + * @brief Tests: set_afu_userclock + * @details Given valid parameters set_afu_userlock returns + * FPGA_OK on mcp hw platforms. + */ +TEST_P(reconf_c_hw_skx_p, set_afu_userclock) { + ASSERT_EQ(FPGA_OK, xfpga_fpgaOpen(tokens_[0], &handle_, 0)); + EXPECT_EQ(set_afu_userclock(handle_, 312, 156), FPGA_OK); +} + +INSTANTIATE_TEST_CASE_P(reconf, reconf_c_hw_skx_p, + ::testing::ValuesIn(test_platform::hw_platforms({"skx-p"}))); + +class reconf_c_hw_dcp_p : public reconf_c { + protected: + reconf_c_hw_dcp_p() {}; +}; + +/** + * @test set_afu_userclock + * @brief Tests: set_afu_userclock + * @details Given valid parameters set_afu_userlock returns + * FPGA_NOT_SUPPORTED on dcp hw platforms. + */ +TEST_P(reconf_c_hw_dcp_p, set_afu_userclock) { + ASSERT_EQ(FPGA_OK, xfpga_fpgaOpen(tokens_[0], &handle_, 0)); + EXPECT_EQ(set_afu_userclock(handle_, 312, 156), FPGA_NOT_SUPPORTED); +} + +INSTANTIATE_TEST_CASE_P(reconf, reconf_c_hw_dcp_p, + ::testing::ValuesIn(test_platform::hw_platforms({"dcp-p"}))); + +/** +* @test clear_port_errors +* @brief Tests: clear_port_errors +* @details Returns FPGA_OK if handle is valid and +* can clear port errors. +*/ +TEST(reconf, clear_port_errors) { + fpga_result result; + + // Null handle + result = clear_port_errors(NULL); + EXPECT_EQ(result, FPGA_INVALID_PARAM); +} + +class reconf_c_hw_p : public reconf_c { + protected: + reconf_c_hw_p() + : tokens_{{nullptr, nullptr}}, + handle_(nullptr) {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + ASSERT_EQ(xfpga_fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetDeviceID(filter_, platform_.devices[0].device_id), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), FPGA_OK); + EXPECT_GT(num_matches_, 0); + ASSERT_EQ(FPGA_OK, xfpga_fpgaOpen(tokens_[0], &handle_, 0)); + + // assemble valid bitstream header + auto fme_guid = platform_.devices[0].fme_guid; + auto afu_guid = platform_.devices[0].afu_guid; + + auto bitstream_j = jobject + ("version", "640") + ("afu-image", jobject + ("interface-uuid", fme_guid) + ("magic-no", int32_t(488605312)) + ("accelerator-clusters", { + jobject + ("total-contexts", int32_t(1)) + ("name", "nlb") + ("accelerator-type-uuid", afu_guid) + } + ) + ) + ("platform-name", ""); + + bitstream_valid_ = + system_->assemble_gbs_header(platform_.devices[0], bitstream_j.c_str()); + bitstream_j.put(); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + if (handle_) { + EXPECT_EQ(xfpga_fpgaClose(handle_), FPGA_OK); + handle_ = nullptr; + } + + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(xfpga_fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + system_->finalize(); + token_cleanup(); + } + + std::array tokens_; + fpga_handle handle_; + fpga_properties filter_; + uint32_t num_matches_; + test_platform platform_; + test_system *system_; + std::vector bitstream_valid_; +}; + +/* + * @test fpga_reconf_slot_inv_len + * + * @details When the bitstream length is invalid, the function + * returns FPGA_INVALID_PARAM. + */ +TEST_P(reconf_c_hw_p, fpga_reconf_slot_inv_len) { + fpga_result result; + uint32_t slot = 0; + int flags = 0; + + result = xfpga_fpgaReconfigureSlot(handle_, slot, bitstream_valid_.data(), + -123456789, flags); + EXPECT_EQ(result, FPGA_INVALID_PARAM); + + result = xfpga_fpgaReconfigureSlot(handle_, slot, bitstream_valid_.data(), + 123456789, flags); + EXPECT_EQ(result, FPGA_INVALID_PARAM); +} + +INSTANTIATE_TEST_CASE_P(reconf, reconf_c_hw_p, + ::testing::ValuesIn(test_platform::hw_platforms({ "skx-p", "dcp-rc" }))); diff --git a/opae-libs/tests/xfpga/test_reset_c.cpp b/opae-libs/tests/xfpga/test_reset_c.cpp new file mode 100644 index 0000000..919cab9 --- /dev/null +++ b/opae-libs/tests/xfpga/test_reset_c.cpp @@ -0,0 +1,172 @@ +// Copyright(c) 2017-2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include "types_int.h" +#include "xfpga.h" +#include "intel-fpga.h" +#include "fpga-dfl.h" +#include "gtest/gtest.h" +#include "mock/test_system.h" +#include "sysfs_int.h" +#include + +extern "C" { +int xfpga_plugin_initialize(void); +int xfpga_plugin_finalize(void); +} + +using namespace opae::testing; + +class reset_c_p + : public ::testing::TestWithParam { + protected: + reset_c_p() + : handle_(nullptr), + tokens_{{nullptr, nullptr}} {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + ASSERT_EQ(xfpga_plugin_initialize(), FPGA_OK); + ASSERT_EQ(xfpga_fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), FPGA_OK); + ASSERT_EQ(xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), + FPGA_OK); + ASSERT_EQ(xfpga_fpgaOpen(tokens_[0], &handle_, 0), FPGA_OK); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + if (handle_ != nullptr) { EXPECT_EQ(xfpga_fpgaClose(handle_), FPGA_OK); } + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(xfpga_fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + xfpga_plugin_finalize(); + system_->finalize(); + } + + fpga_handle handle_; + std::array tokens_; + fpga_properties filter_; + uint32_t num_matches_; + test_platform platform_; + test_system *system_; +}; + +/** + * @test reset_c + * @brief test_port_drv_reset + * @details When the parameters are invalid and the drivers are loaded, + * xfpga_fpgaReset returns FPGA_INVALID_PARAM. + * + */ +TEST_P(reset_c_p, test_port_drv_reset) { + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaReset(NULL)); +} + +/** + * @test reset_c + * @brief test_port_drv_reset_02 + * @details When the parameters are invalid and the drivers are + * loaded, xfpga_fpgaReset return error. + * + */ +TEST_P(reset_c_p, test_port_drv_reset_02) { + + // Reset slot + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaReset(NULL)); + + struct _fpga_handle* _handle = (struct _fpga_handle*)handle_; + _handle->magic = 0x123; + + EXPECT_NE(FPGA_OK, xfpga_fpgaReset(handle_)); + + _handle->magic = FPGA_HANDLE_MAGIC; +} + +/** + * @test reset_c + * @brief test_port_drv_reset_03 + * @details When the fddev is invalid and the drivers are + * loaded, xfpga_fpgaReset return error. + * + */ +TEST_P(reset_c_p, test_port_drv_reset_03) { + int fddev = -1; + struct _fpga_handle* _handle = (struct _fpga_handle*)handle_; + +#ifndef BUILD_ASE + fddev = _handle->fddev; + _handle->fddev = -1; + + EXPECT_NE(FPGA_OK, xfpga_fpgaReset(handle_)); +#else + EXPECT_EQ(FPGA_OK, xfpga_fpgaReset(handle_)); +#endif + _handle->fddev = fddev; +} + +/** + * @test reset_c + * @brief valid_port_reset + * @details When the handle is valid and the drivers are + * loaded, xfpga_fpgaReset return FPGA_OK. + * + */ +TEST_P(reset_c_p, valid_port_reset) { + EXPECT_EQ(FPGA_OK, xfpga_fpgaReset(handle_)); +} + +INSTANTIATE_TEST_CASE_P(reset_c, reset_c_p, ::testing::ValuesIn(test_platform::keys(true))); + +class reset_c_mock_p : public reset_c_p { + protected: + reset_c_mock_p() {} +}; + +/** + * @test reset_c + * @brief test_port_drv_reset_01 + * @details When the parameters are valid and the drivers are loaded, + * xfpga_fpgaReset returns FPGA_EXCEPTION. + * + */ +TEST_P(reset_c_mock_p, test_port_drv_reset_01) { + system_->register_ioctl_handler(FPGA_PORT_RESET,dummy_ioctl<-1,EINVAL>); + system_->register_ioctl_handler(DFL_FPGA_PORT_RESET, dummy_ioctl<-1, EINVAL>); + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaReset(handle_)); +} + +INSTANTIATE_TEST_CASE_P(reset_c, reset_c_mock_p, + ::testing::ValuesIn(test_platform::mock_platforms())); diff --git a/opae-libs/tests/xfpga/test_sysfs_c.cpp b/opae-libs/tests/xfpga/test_sysfs_c.cpp new file mode 100644 index 0000000..a78662d --- /dev/null +++ b/opae-libs/tests/xfpga/test_sysfs_c.cpp @@ -0,0 +1,1261 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +extern "C" { +#include +#include "sysfs_int.h" +#include "types_int.h" +fpga_result cat_token_sysfs_path(char *, fpga_token, const char *); +fpga_result get_port_sysfs(fpga_handle, char *); +fpga_result sysfs_get_socket_id(int, int, fpga_guid); +fpga_result sysfs_get_afu_id(int, int, fpga_guid); +fpga_result sysfs_get_pr_id(int, int, fpga_guid); +fpga_result sysfs_get_slots(int, int, uint32_t *); +fpga_result sysfs_get_bitstream_id(int, int, uint64_t *); +fpga_result sysfs_sbdf_from_path(const char *, int *, int *, int *, int *); +fpga_result opae_glob_path(char *, size_t ); +fpga_result opae_glob_paths(const char *path, size_t found_max, + char *found[], size_t *num_found); +fpga_result make_sysfs_group(char *, const char *, fpga_object *, int, + fpga_handle); +ssize_t eintr_write(int, void *, size_t); +char* cstr_dup(const char *str); +int parse_pcie_info(sysfs_fpga_device *device, char *buffer); +fpga_result sysfs_get_interface_id(fpga_token token, fpga_guid guid); +sysfs_fpga_region* make_region(sysfs_fpga_device*, char*, int, fpga_objtype); +int xfpga_plugin_initialize(void); +int xfpga_plugin_finalize(void); +fpga_result re_match_region(const char *fmt, char *inpstr, char type[], size_t, + int *num); +} + +#include +#include +#include +#include +#include +#include +#include +#include +#include "xfpga.h" +#include +#include "gtest/gtest.h" +#include "mock/test_system.h" + +const std::string single_sysfs_fme = + "/sys/class/fpga/intel-fpga-dev.0/intel-fpga-fme.0"; +const std::string single_sysfs_port = + "/sys/class/fpga/intel-fpga-dev.0/intel-fpga-port.0"; +const std::string single_dev_fme = "/dev/intel-fpga-fme.0"; +const std::string single_dev_port = "/dev/intel-fpga-port.0"; + +using namespace opae::testing; + + +class sysfsinit_c_p : public ::testing::TestWithParam { + protected: + sysfsinit_c_p(){} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + ASSERT_EQ(xfpga_plugin_initialize(), FPGA_OK); + ASSERT_GT(sysfs_device_count(), 0); + sysfs_fpga_region *fme = nullptr; + sysfs_fpga_region *port = nullptr; + for (int i = 0; i < sysfs_device_count(); ++i) { + fme = (fme == nullptr) ? sysfs_get_device(i)->fme : fme; + port = (port == nullptr) ? sysfs_get_device(i)->port : port; + if (fme && port) break; + } + ASSERT_NE(fme, nullptr); + ASSERT_NE(port, nullptr); + + sysfs_fme = std::string(fme->sysfs_path); + dev_fme = std::string("/dev/") + std::string(fme->sysfs_name); + sysfs_port = std::string(port->sysfs_path); + dev_port = std::string("/dev/") + std::string(port->sysfs_name); + } + virtual void TearDown() override { + xfpga_plugin_finalize(); + system_->finalize(); + } + + int GetNumFpgas() { + if (platform_.mock_sysfs != nullptr) { + return platform_.devices.size(); + } + + int value; + std::string cmd = + "(ls -l /sys/class/fpga*/*/*fme*/dev || " + "ls -l /sys/class/fpga*/*intel*) | (wc -l)"; + + ExecuteCmd(cmd, value); + return value; + } + + int GetNumMatchedFpga() { + if (platform_.mock_sysfs != nullptr) { + return platform_.devices.size(); + } + + std::stringstream ss; + ss << std::setw(4) << std::hex << platform_.devices[0].device_id; + std::string deviceid (ss.str()); + + std::string cmd = "lspci | grep " + deviceid + " | wc -l"; + + int value; + ExecuteCmd(cmd, value); + return value; + } + + void ExecuteCmd(std::string cmd, int &value) { + std::string line; + std::string command = cmd + " > output.txt"; + + EXPECT_EQ(std::system(command.c_str()), 0); + + std::ifstream file("output.txt"); + + ASSERT_TRUE(file.is_open()); + EXPECT_TRUE(std::getline(file, line)); + file.close(); + + EXPECT_EQ(std::system("rm output.txt"), 0); + + value = std::stoi(line); + } + + test_platform platform_; + test_system *system_; + std::string sysfs_fme; + std::string dev_fme; + std::string sysfs_port; + std::string dev_port; +}; + +// convert segment, bus, device, function to a 32 bit number +uint32_t to_uint32(uint16_t segment, uint8_t bus, uint8_t device, + uint8_t function) { + return (segment << 16) | (bus << 8) | (device << 5) | (function & 7); +} + +TEST_P(sysfsinit_c_p, sysfs_initialize) { + std::map devices; + + // define a callback to be used with sysfs_foreach_device + // this callback is given a map of devices using the sbdf as the key + // (as a 32-bit number); + auto cb = [](const sysfs_fpga_device *r, void* data) -> fpga_result { + auto& devs = *reinterpret_cast*>(data); + auto id = to_uint32(r->segment, r->bus, r->device, r->function); + auto it = devs.find(id); + if (it != devs.end()) { + if (it->second.device_id == r->device_id && + it->second.vendor_id == r->vendor_id) { + devs.erase(id); + } + } + return FPGA_OK; + }; + + // build a map of tests devices where the key is the sbdf as a 32-bit number + for (const auto &d : platform_.devices) { + devices[to_uint32(d.segment, d.bus, d.device, d.function)] = d; + } + if (platform_.devices[0].num_vfs) { + auto d = platform_.devices[0]; + d.function = 1; + d.device_id++; + devices[to_uint32(d.segment, d.bus, d.device, 1)] = d; + } + auto num_vfs = platform_.devices[0].num_vfs; + // the size of this map should be equal to the number of devices in our + // platform + ASSERT_EQ(devices.size(), platform_.devices.size() + num_vfs); + EXPECT_EQ(GetNumFpgas(), sysfs_device_count() - num_vfs); + // call sysfs_foreach_device with our callback, cb + sysfs_foreach_device(cb, &devices); + // our devices map should be empty after this call as this callback removes + // entries if the device structure matches a device object in the map + EXPECT_EQ(devices.size(), 0); +} + +TEST_P(sysfsinit_c_p, sysfs_get_device) { + std::map devices; + + // build a map of tests devices where the key is the sbdf as a 32-bit number + for (const auto &d : platform_.devices) { + devices[to_uint32(d.segment, d.bus, d.device, d.function)] = d; + } + + // the size of this map should be equal to the number of devices in our + // platform + ASSERT_EQ(devices.size(), platform_.devices.size()); + auto num_vfs = platform_.devices[0].num_vfs; + EXPECT_EQ(GetNumFpgas(), sysfs_device_count() - num_vfs); + + // use sysfs_get_device API to count how many devices match our devices map + for (int i = 0; i < sysfs_device_count(); ++i) { + auto device = sysfs_get_device(i); + ASSERT_NE(device, nullptr); + auto id = to_uint32(device->segment, device->bus, device->device, device->function); + auto it = devices.find(id); + if (it != devices.end() && it->second.device_id == device->device_id && + it->second.vendor_id == device->vendor_id) { + devices.erase(id); + } + } + // our devices map should be empty after the loop above + EXPECT_EQ(devices.size(), 0); +} + +/** +* @test get_interface_id +* @details Given a valid token + When I call sysfs_get_interface_id with that token +* I get the expected interface_id +*/ +TEST_P(sysfsinit_c_p, get_interface_id) { + fpga_guid guid; + fpga_properties props; + fpga_token fme; + uint32_t matches = 0; + fpga_guid parsed_guid; + ASSERT_EQ(fpgaGetProperties(nullptr, &props), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetDeviceID(props,platform_.devices[0].device_id), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetVendorID(props,platform_.devices[0].vendor_id), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(props, FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(xfpga_fpgaEnumerate(&props, 1, &fme, 1, &matches), FPGA_OK); + EXPECT_EQ(matches, GetNumMatchedFpga()); + ASSERT_EQ(sysfs_get_interface_id(fme, guid), 0); + EXPECT_EQ(uuid_parse(platform_.devices[0].fme_guid, parsed_guid), 0); + EXPECT_EQ(uuid_compare(parsed_guid, guid), 0); + EXPECT_EQ(xfpga_fpgaDestroyToken(&fme), FPGA_OK); + EXPECT_EQ(fpgaDestroyProperties(&props), FPGA_OK); +} + +TEST(sysfsinit_c_p, sysfs_parse_pcie) { + sysfs_fpga_device device; + char buffer1[] = "../../devices/pci0000:00/0000:00:02.0/0f0f:05:04.3/fpga/intel-fpga-dev.0"; + char buffer2[] = "../../devices/pci0000:5e/a0a0:5e:02.1/fpga_device/device0"; + auto res = parse_pcie_info(&device, buffer1); + EXPECT_EQ(res, 0); + EXPECT_EQ(device.segment, 0x0f0f); + EXPECT_EQ(device.bus, 0x05); + EXPECT_EQ(device.device, 0x04); + EXPECT_EQ(device.function, 0x03); + res = parse_pcie_info(&device, buffer2); + EXPECT_EQ(res, 0); + EXPECT_EQ(device.segment, 0xa0a0); + EXPECT_EQ(device.bus, 0x5e); + EXPECT_EQ(device.device, 0x02); + EXPECT_EQ(device.function, 0x01); +} + +INSTANTIATE_TEST_CASE_P(sysfsinit_c, sysfsinit_c_p, + ::testing::ValuesIn(test_platform::platforms())); + +class sysfs_c_p : public ::testing::TestWithParam { + protected: + sysfs_c_p() + : tokens_{{nullptr, nullptr}}, + handle_(nullptr){} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + ASSERT_EQ(xfpga_plugin_initialize(), FPGA_OK); + ASSERT_EQ(xfpga_fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetDeviceID(filter_, + platform_.devices[0].device_id), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), + FPGA_OK); + ASSERT_EQ(xfpga_fpgaOpen(tokens_[0], &handle_, 0), FPGA_OK); + sysfs_fpga_region *fme = nullptr; + sysfs_fpga_region *port = nullptr; + if (sysfs_device_count() > 0) { + for (int i = 0; i < sysfs_device_count(); ++i) { + fme = fme == nullptr ? sysfs_get_device(i)->fme : fme; + port = port == nullptr ? sysfs_get_device(i)->port : port; + } + } + ASSERT_NE(fme, nullptr); + ASSERT_NE(port, nullptr); + + sysfs_fme = std::string(fme->sysfs_path); + dev_fme = std::string("/dev/") + std::string(fme->sysfs_name); + sysfs_port = std::string(port->sysfs_path); + dev_port = std::string("/dev/") + std::string(port->sysfs_name); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + if (handle_) { + EXPECT_EQ(xfpga_fpgaClose(handle_), FPGA_OK); + handle_ = nullptr; + } + + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(FPGA_OK, xfpga_fpgaDestroyToken(&t)); + t = nullptr; + } + } + xfpga_plugin_finalize(); + system_->finalize(); + } + + std::array tokens_; + fpga_handle handle_; + fpga_properties filter_; + uint32_t num_matches_; + test_platform platform_; + test_system *system_; + std::string sysfs_fme; + std::string dev_fme; + std::string sysfs_port; + std::string dev_port; +}; + + + +/** +* @test eintr_write_tests +* @details Given a valid fd but invalid buffer, eintr_writes +* returns -1 on error. +*/ +TEST(sysfs_c, eintr_write_tests) { + void * data = nullptr; + std::string filename = "empty_file.txt"; + EXPECT_EQ(std::system("touch empty_file.txt"), 0); + + int fd = open(filename.c_str(), O_RDWR); + EXPECT_NE(fd, -1); + size_t count = 1024; + EXPECT_EQ(-1, eintr_write(fd, data, count)); + EXPECT_EQ(close(fd), 0); + EXPECT_EQ(std::system("rm empty_file.txt"), 0); +} + + +/** +* @test sysfs_invalid_tests +* @details When calling get_port_sysfs with invalid params +* the functino returns FPGA_INVALID_PARAM +*/ +TEST_P(sysfs_c_p, sysfs_invalid_tests) { + const std::string sysfs_fme = "/sys/class/fpga/intel-fpga-dev/intel-fpga-fme"; + auto h = (struct _fpga_handle *)handle_; + auto t = (struct _fpga_token *)h->token; + + char spath[SYSFS_PATH_MAX]; + fpga_result res; + + char invalid_string[] = "..."; + strncpy(t->sysfspath, invalid_string, 4); + res = get_port_sysfs(handle_, spath); + EXPECT_EQ(FPGA_INVALID_PARAM, res); + + h->token = NULL; + res = get_port_sysfs(handle_, spath); + EXPECT_EQ(FPGA_INVALID_PARAM, res); +} + +/** +* @test hw_type_invalid_test +* @details +*/ +TEST_P(sysfs_c_p, hw_type_invalid_tests) { + auto h = (struct _fpga_handle *)handle_; + enum fpga_hw_type hw_type = FPGA_HW_UNKNOWN; + fpga_token tok; + + auto res = get_fpga_hw_type(handle_, NULL); + EXPECT_EQ(FPGA_INVALID_PARAM, res); + + tok = h->token; + h->token = NULL; + res = get_fpga_hw_type(handle_, &hw_type); + EXPECT_EQ(FPGA_INVALID_PARAM, res); + + h->token = tok; + res = get_fpga_hw_type(handle_, &hw_type); + EXPECT_EQ(FPGA_OK, res); +} + +/** +* @test glob_test +* @details +*/ +TEST_P(sysfs_c_p, glob_tests) { + std::string invalid_filename = "opae"; + + auto res = opae_glob_path(nullptr, 0); + EXPECT_EQ(FPGA_EXCEPTION, res); + + res = opae_glob_path(const_cast(invalid_filename.c_str()), + invalid_filename.length() - 1); + EXPECT_EQ(FPGA_NOT_FOUND, res); +} + +TEST_P(sysfs_c_p, glob_paths) { + char *paths[16]; + auto bitstream_glob = sysfs_fme + "/bitstream*"; + size_t found = 0; + ASSERT_EQ(opae_glob_paths(bitstream_glob.c_str(), 16, paths, &found), + FPGA_OK); + EXPECT_EQ(found, 2); + // opae_glob_paths allocates memory for each path found + // let's free it here since we don't need it any longer + for (int i = 0; i < found; ++i) { + free(paths[i]); + } +} + +/** +* @test cat_sysfs_path_errors +* @details +*/ +TEST(sysfs_c, cat_sysfs_path_errors) { + std::vector buffer(256); + std::string emptystring = ""; + EXPECT_EQ(FPGA_OK, cat_sysfs_path(buffer.data(), single_sysfs_port.c_str())); + EXPECT_EQ(FPGA_INVALID_PARAM, cat_sysfs_path(buffer.data(), nullptr)); + EXPECT_EQ(FPGA_INVALID_PARAM, + cat_sysfs_path(nullptr, single_sysfs_port.c_str())); + EXPECT_EQ(FPGA_INVALID_PARAM, cat_sysfs_path(nullptr, nullptr)); +} + +/** +* @test cat_token_sysfs_path +* @details +*/ +TEST(sysfs_c, cat_token_sysfs_path) { + _fpga_token tok; + std::copy(single_sysfs_fme.begin(), single_sysfs_fme.end(), + &tok.sysfspath[0]); + tok.sysfspath[single_sysfs_fme.size()] = '\0'; + std::copy(single_dev_fme.begin(), single_dev_fme.end(), &tok.devpath[0]); + tok.devpath[single_dev_fme.size()] = '\0'; + std::vector buffer(256); + EXPECT_EQ(cat_token_sysfs_path(buffer.data(), &tok, "bitstream_id"), FPGA_OK); + EXPECT_STREQ(buffer.data(), + std::string(single_sysfs_fme + "/bitstream_id").c_str()); + + // null destination + EXPECT_EQ(cat_token_sysfs_path(nullptr, &tok, "bitstream_id"), + FPGA_EXCEPTION); +} + +/** +* @test cat_handle_sysfs_path +* @details +*/ +TEST(sysfs_c, cat_handle_sysfs_path) { + _fpga_token tok; + _fpga_handle hnd; + std::copy(single_sysfs_fme.begin(), single_sysfs_fme.end(), + &tok.sysfspath[0]); + tok.sysfspath[single_sysfs_fme.size()] = '\0'; + std::copy(single_dev_fme.begin(), single_dev_fme.end(), &tok.devpath[0]); + tok.devpath[single_dev_fme.size()] = '\0'; + hnd.token = &tok; + std::vector buffer(256); + EXPECT_EQ(cat_handle_sysfs_path(buffer.data(), &hnd, "bitstream_id"), + FPGA_OK); + EXPECT_STREQ(buffer.data(), + std::string(single_sysfs_fme + "/bitstream_id").c_str()); + + // null destination + EXPECT_EQ(cat_handle_sysfs_path(nullptr, &hnd, "bitstream_id"), + FPGA_EXCEPTION); +} + +/** +* @test make_object +* @details +*/ +TEST_P(sysfs_c_p, make_object) { + _fpga_token *tok = static_cast<_fpga_token *>(tokens_[0]); + fpga_object object; + // errors is a sysfs directory - this should call make_sysfs_group() + ASSERT_EQ(make_sysfs_object(tok->sysfspath, "errors", &object, 0, 0), + FPGA_OK); + EXPECT_EQ(xfpga_fpgaDestroyObject(&object), FPGA_OK); +} + + +/** +* @test sysfs_sbdf_invalid_tests +* @details When calling sysfs_sbdf_from path with invalid params +* the function returns FPGA_NO_DRIVER +*/ +TEST(sysfs_c, sysfs_sbdf_invalid_tests) { + std::string sysfs_dev = + "/sys/devices/pci0000:5e/0000:5e:00.0/fpga/intel-fpga-dev.0"; + + int s = 0, b = 0, d = 0, f = 0; + auto res = sysfs_sbdf_from_path(sysfs_dev.c_str(), &s, &b, &d, &f); + EXPECT_EQ(FPGA_NO_DRIVER, res); +} + +/** +* @test hw_type +* @details get_fpga_hw_type given valid parameters +* returns FPGA_OK +*/ +TEST_P(sysfs_c_p, hw_type) { + enum fpga_hw_type hw_type = FPGA_HW_UNKNOWN; + uint64_t real_vendorid = platform_.devices[0].vendor_id; + uint64_t real_deviceid = platform_.devices[0].device_id; + + auto res = get_fpga_hw_type(handle_, &hw_type); + EXPECT_EQ(res, FPGA_OK); + + EXPECT_EQ(hw_type, opae_id_to_hw_type(real_vendorid, real_deviceid)); +} + +/** +* @test cstr_dup +* @details Duplicate an input string +*/ +TEST_P(sysfs_c_p, cstr_dup) { + std::string inp("this is an input string"); + char *dup = cstr_dup(inp.c_str()); + EXPECT_STREQ(dup, inp.c_str()); + free(dup); +} + +/** +* @test cstr_dup +* @details Invalidate malloc call +*/ +TEST_P(sysfs_c_p, cstr_dup_1) { + std::string inp("this is an input string"); + test_system::instance()->invalidate_malloc(); + char *dup = cstr_dup(inp.c_str()); + EXPECT_EQ(dup, nullptr); +} + +/** +* @test get_fme_path +* @details Given a valid sysfs path to a port node +* When I call sysfs_get_fme_path with the path +* Then the return value is FPGA_OK +* And I get a sysfs path to the fme node +* And its realpath is equal to the realpath of the SUT +*/ +TEST_P(sysfs_c_p, get_fme_path) { + char found_fme[PATH_MAX]; + char rpath1[PATH_MAX]; + char rpath2[PATH_MAX]; + ASSERT_EQ(sysfs_get_fme_path(sysfs_port.c_str(), found_fme), FPGA_OK); + ASSERT_NE(realpath(sysfs_fme.c_str(), rpath1), nullptr); + ASSERT_NE(realpath(found_fme, rpath2), nullptr); + ASSERT_STREQ(rpath1, rpath2); +} + +/** +* @test get_fme_path_neg +* @details Given an invalid sysfs path to a port node +* When I call sysfs_get_fme_path with the path +* Then the return value is not FPGA_OK +*/ +TEST_P(sysfs_c_p, get_fme_path_neg) { + char found_fme[PATH_MAX]; + ASSERT_NE(sysfs_get_fme_path("/a/b/c", found_fme), FPGA_OK); +} + +INSTANTIATE_TEST_CASE_P(sysfs_c, sysfs_c_p, + ::testing::ValuesIn(test_platform::platforms({}))); + +class sysfs_c_hw_p : public sysfs_c_p { + protected: + sysfs_c_hw_p() {} +}; + +/** + * @test make_sysfs_group + * @details + */ +TEST_P(sysfs_c_hw_p, make_sysfs) { + const std::string invalid_path = + "/sys/class/fpga/intel-fpga-dev.0/intel-fpga-fme"; + _fpga_token *tok = static_cast<_fpga_token *>(tokens_[0]); + fpga_object obj; + auto res = make_sysfs_group(tok->sysfspath, "errors", &obj, 0, handle_); + EXPECT_EQ(res, FPGA_OK); + EXPECT_EQ(xfpga_fpgaDestroyObject(&obj), FPGA_OK); + + res = make_sysfs_group(tok->sysfspath, "errors", &obj, FPGA_OBJECT_GLOB, + handle_); + EXPECT_EQ(res, FPGA_OK); + EXPECT_EQ(xfpga_fpgaDestroyObject(&obj), FPGA_OK); + + res = make_sysfs_group(const_cast(invalid_path.c_str()), "errors", + &obj, 0, handle_); + EXPECT_EQ(res, FPGA_NOT_FOUND); + + res = make_sysfs_group(tok->sysfspath, "errors", &obj, + FPGA_OBJECT_RECURSE_ONE, handle_); + EXPECT_EQ(res, FPGA_OK); + EXPECT_EQ(xfpga_fpgaDestroyObject(&obj), FPGA_OK); +} + +/** + * @test make_object_glob + * @details + */ +TEST_P(sysfs_c_hw_p, make_object_glob) { + _fpga_token *tok = static_cast<_fpga_token *>(tokens_[0]); + fpga_object object; + // errors is a sysfs directory - this should call make_sysfs_group() + ASSERT_EQ(make_sysfs_object(tok->sysfspath, "errors", &object, + FPGA_OBJECT_GLOB, 0), + FPGA_OK); + EXPECT_EQ(xfpga_fpgaDestroyObject(&object), FPGA_OK); +} + +INSTANTIATE_TEST_CASE_P(sysfs_c, sysfs_c_hw_p, + ::testing::ValuesIn(test_platform::hw_platforms({ "skx-p","dcp-rc","dcp-vc" }))); + +class sysfs_c_mock_p : public sysfs_c_p { + protected: + sysfs_c_mock_p() {} +}; + +/** + * @test make_sysfs_group + * @details + */ +TEST_P(sysfs_c_mock_p, make_sysfs) { + const std::string invalid_path = + "/sys/class/fpga/intel-fpga-dev.0/intel-fpga-fme"; + _fpga_token *tok = static_cast<_fpga_token *>(tokens_[0]); + fpga_object obj; + + auto res = make_sysfs_group(tok->sysfspath, "errors", &obj, 0, handle_); + EXPECT_EQ(res, FPGA_OK); + EXPECT_EQ(xfpga_fpgaDestroyObject(&obj), FPGA_OK); + + res = make_sysfs_group(tok->sysfspath, "errors", &obj, FPGA_OBJECT_GLOB, + handle_); + EXPECT_EQ(res, FPGA_OK); + EXPECT_EQ(xfpga_fpgaDestroyObject(&obj), FPGA_OK); + + res = make_sysfs_group(const_cast(invalid_path.c_str()), "errors", + &obj, 0, handle_); + EXPECT_EQ(res, FPGA_NOT_FOUND); + + res = make_sysfs_group(tok->sysfspath, "errors", &obj, + FPGA_OBJECT_RECURSE_ONE, handle_); + EXPECT_EQ(res, FPGA_OK); + + EXPECT_EQ(xfpga_fpgaDestroyObject(&obj), FPGA_OK); +} + +/** + * @test make_object_glob + * @details + */ +TEST_P(sysfs_c_mock_p, make_object_glob) { + _fpga_token *tok = static_cast<_fpga_token *>(tokens_[0]); + fpga_object object; + // errors is a sysfs directory - this should call make_sysfs_group() + ASSERT_EQ(make_sysfs_object(tok->sysfspath, "errors", &object, + FPGA_OBJECT_GLOB, 0), + FPGA_OK); + EXPECT_EQ(xfpga_fpgaDestroyObject(&object), FPGA_OK); +} + +TEST_P(sysfs_c_mock_p, glob_bitstream_objs) { + fpga_object container, bitstream1, bitstream2; + ASSERT_EQ(xfpga_fpgaTokenGetObject(tokens_[0], "bitstream*", &container, + FPGA_OBJECT_GLOB), + FPGA_OK); + enum fpga_sysobject_type type; + EXPECT_EQ(xfpga_fpgaObjectGetType(container, &type), FPGA_OK); + EXPECT_EQ(type, FPGA_OBJECT_CONTAINER); + uint32_t sz = 0; + EXPECT_EQ(xfpga_fpgaObjectGetSize(container, &sz, 0), FPGA_OK); + EXPECT_EQ(sz, 2); + EXPECT_EQ(xfpga_fpgaObjectGetObjectAt(container, 0, &bitstream1), FPGA_OK); + EXPECT_EQ(xfpga_fpgaObjectGetObjectAt(container, 1, &bitstream2), FPGA_OK); + char name1[64] = {'\0'}; + char name2[64] = {'\0'}; + EXPECT_EQ(xfpga_fpgaObjectGetName(bitstream1, name1, sizeof(name1)), FPGA_OK); + EXPECT_EQ(xfpga_fpgaObjectGetName(bitstream2, name2, sizeof(name2)), FPGA_OK); + EXPECT_EQ(xfpga_fpgaDestroyObject(&bitstream1), FPGA_OK); + EXPECT_EQ(xfpga_fpgaDestroyObject(&bitstream2), FPGA_OK); + EXPECT_EQ(xfpga_fpgaDestroyObject(&container), FPGA_OK); +} + +/** + * @test fpga_sysfs_02 + * sysfs_write_u64 + */ +TEST_P(sysfs_c_mock_p, fpga_sysfs_02) { + fpga_result result; + std::string str = sysfs_fme.c_str() + std::string("/socket_id"); + // valid path + result = sysfs_write_u64(str.c_str(), 0); + EXPECT_EQ(result, FPGA_OK); +} + +/** + * @test fpga_sysfs_02 + * sysfs_write_u64_decimal + */ + +TEST_P(sysfs_c_mock_p, fpga_sysfs_03) { + fpga_result result; + std::string str = sysfs_fme.c_str() + std::string("/socket_id"); + // valid path + result = sysfs_write_u64_decimal(str.c_str(), 0x100); + EXPECT_EQ(result, FPGA_OK); +} + +/* +* @test sysfs +* @brief Tests: sysfs_get_port_error_path + @details When passed with valid argument return 0 +* and port error sysfs path
    +* When passed with invalid argument return +* FPGA_INVALID_PARAM
    +*/ +TEST_P(sysfs_c_mock_p, fpga_sysfs_04) { + fpga_result result; + char sysfs_path[SYSFS_PATH_MAX] = { 0 }; + + result = sysfs_get_port_error_path(handle_, sysfs_path); + EXPECT_EQ(result, FPGA_OK); + + result = sysfs_get_port_error_path(handle_, NULL); + EXPECT_EQ(result, FPGA_INVALID_PARAM); +} + +/* +* @test sysfs +* @brief Tests: sysfs_get_port_error_clear_path + @details When passed with valid argument return 0 +* and port error clear sysfs path
    +* When passed with invalid argument return +* FPGA_INVALID_PARAM
    +*/ +TEST_P(sysfs_c_mock_p, fpga_sysfs_05) { + fpga_result result; + char sysfs_path[SYSFS_PATH_MAX] = { 0 }; + + result = sysfs_get_port_error_clear_path(handle_, sysfs_path); + EXPECT_EQ(result, FPGA_OK); + + result = sysfs_get_port_error_clear_path(handle_, NULL); + EXPECT_EQ(result, FPGA_INVALID_PARAM); +} + + +/* +* @test sysfs +* @brief Tests: sysfs_get_fme_temp_path + @details When passed with valid argument return 0 +* and fme temp sysfs path
    +* When passed with invalid argument return +* FPGA_INVALID_PARAM
    +*/ +TEST_P(sysfs_c_mock_p, fpga_sysfs_06) { + fpga_result result; + char sysfs_path[SYSFS_PATH_MAX] = { 0 }; + + result = sysfs_get_fme_temp_path(tokens_[0], sysfs_path); + EXPECT_EQ(result, FPGA_OK); + + result = sysfs_get_fme_temp_path(tokens_[0], NULL); + EXPECT_EQ(result, FPGA_INVALID_PARAM); +} + +/* +* @test sysfs +* @brief Tests: sysfs_get_fme_perf_path + @details When passed with valid argument return 0 +* and fme perf sysfs path
    +* When passed with invalid argument return +* FPGA_INVALID_PARAM
    +*/ +TEST_P(sysfs_c_mock_p, fpga_sysfs_07) { + fpga_result result; + char sysfs_path[SYSFS_PATH_MAX] = { 0 }; + + result = sysfs_get_fme_perf_path(tokens_[0], sysfs_path); + EXPECT_EQ(result, FPGA_OK); + + result = sysfs_get_fme_perf_path(tokens_[0], NULL); + EXPECT_EQ(result, FPGA_INVALID_PARAM); +} + +INSTANTIATE_TEST_CASE_P(sysfs_c, sysfs_c_mock_p, + ::testing::ValuesIn(test_platform::mock_platforms({ "skx-p","dcp-rc", "dcp-vc" }))); + + +class sysfs_dfl_c_mock_p : public sysfs_c_mock_p { }; +/* +* @test sysfs +* @brief Tests: sysfs_get_fme_perf_path + @details When passed with valid argument return 0 +* and fme perf sysfs path
    +* When passed with invalid argument returns +* FPGA_INVALID_PARAM
    +* When passed with valid argument on +* unsupported plaform returns +* FPGA_NOT_FOUND
    +*/ +TEST_P(sysfs_dfl_c_mock_p, fpga_sysfs_08) { + fpga_result result; + char sysfs_path[SYSFS_PATH_MAX] = { 0 }; + + result = sysfs_get_fme_perf_path(tokens_[0], sysfs_path); + EXPECT_EQ(result, FPGA_NOT_FOUND); + + result = sysfs_get_fme_perf_path(tokens_[0], NULL); + EXPECT_EQ(result, FPGA_INVALID_PARAM); +} +INSTANTIATE_TEST_CASE_P(sysfs_c, sysfs_dfl_c_mock_p, + ::testing::ValuesIn(test_platform::mock_platforms({ "skx-p-dfl0_patchset2" }))); + +class sysfs_power_mock_p : public sysfs_c_mock_p { }; +/* +* @test sysfs +* @brief Tests: sysfs_get_fme_pwr_path + @details When passed with valid argument return 0 +* and fme power sysfs path
    +* When passed with invalid argument returns +* FPGA_INVALID_PARAM
    +* When passed with valid argument on +* unsupported plaform returns +* FPGA_NOT_FOUND
    +*/ +TEST_P(sysfs_power_mock_p, fpga_sysfs_09) { + fpga_result result; + char sysfs_path[SYSFS_PATH_MAX] = { 0 }; + + result = sysfs_get_fme_pwr_path(tokens_[0], sysfs_path); + EXPECT_EQ(result, FPGA_NOT_FOUND); + + result = sysfs_get_fme_pwr_path(tokens_[0], NULL); + EXPECT_EQ(result, FPGA_INVALID_PARAM); +} +INSTANTIATE_TEST_CASE_P(sysfs_c, sysfs_power_mock_p, + ::testing::ValuesIn(test_platform::mock_platforms({ "dcp-rc", "dcp-vc" }))); + + +class sysfs_bmc_mock_p : public sysfs_c_mock_p { }; +/* +* @test sysfs +* @brief Tests: sysfs_get_bmc_path + @details When passed with valid argument return 0 +* and bmc sysfs path
    +* When passed with invalid argument return +* FPGA_INVALID_PARAM
    +*/ +TEST_P(sysfs_bmc_mock_p, fpga_sysfs_10) { + fpga_result result; + char sysfs_path[SYSFS_PATH_MAX] = { 0 }; + + result = sysfs_get_bmc_path(tokens_[0], sysfs_path); + EXPECT_EQ(result, FPGA_OK); + + result = sysfs_get_bmc_path(tokens_[0], NULL); + EXPECT_EQ(result, FPGA_INVALID_PARAM); +} +INSTANTIATE_TEST_CASE_P(sysfs_c, sysfs_bmc_mock_p, + ::testing::ValuesIn(test_platform::mock_platforms({ "dcp-rc" }))); + + +class sysfs_max10_mock_p : public sysfs_c_mock_p { }; +/* +* @test sysfs +* @brief Tests: sysfs_get_max10_path + @details When passed with valid argument return 0 +* and max10 sysfs path
    +* When passed with invalid argument return +* FPGA_INVALID_PARAM
    +*/ +TEST_P(sysfs_max10_mock_p, fpga_sysfs_11) { + fpga_result result; + char sysfs_path[SYSFS_PATH_MAX] = { 0 }; + + result = sysfs_get_max10_path(tokens_[0], sysfs_path); + EXPECT_EQ(result, FPGA_OK); + + result = sysfs_get_max10_path(tokens_[0], NULL); + EXPECT_EQ(result, FPGA_INVALID_PARAM); +} +INSTANTIATE_TEST_CASE_P(sysfs_c, sysfs_max10_mock_p, + ::testing::ValuesIn(test_platform::mock_platforms({ "dcp-vc" }))); + + +class sysfs_c_mock_no_drv_p : public ::testing::TestWithParam { + protected: + sysfs_c_mock_no_drv_p() {} +}; + +/** + * @test sysfs_get_pr_id + * @details sysfs_get_pr_id given invalid path parameters. + * It returns FPGA_NOT_FOUND. + */ +TEST_P(sysfs_c_mock_no_drv_p, sysfs_get_pr_id) { + int dev = 0; + int subdev = 0; + fpga_guid guid; + auto res = sysfs_get_pr_id(dev, subdev, guid); + EXPECT_EQ(res, FPGA_NOT_FOUND); +} + +/** + * @test sysfs_get_afu_id + * @details sysfs_get_afu_id given invalid path parameters. + * It returns FPGA_NOT_FOUND. + */ + +TEST_P(sysfs_c_mock_no_drv_p, sysfs_get_afu_id) { + int dev = 0; + int subdev = 0; + fpga_guid guid; + auto res = sysfs_get_afu_id(dev, subdev, guid); + EXPECT_EQ(res, FPGA_NOT_FOUND); +} + +/** + * @test sysfs_get_socket_id + * @details sysfs_get_socket_id given invalid parameters. + * It returns FPGA_NOT_FOUND. + */ +TEST_P(sysfs_c_mock_no_drv_p, sysfs_get_socket_id) { + int dev = 0; + int subdev = 0; + uint8_t socket_id; + auto res = sysfs_get_socket_id(dev, subdev, &socket_id); + EXPECT_EQ(res, FPGA_NOT_FOUND); +} + +/** + * @test sysfs_get_slots + * @details sysfs_get_slots given a valid parameters + * return FPGA_NOT_FOUND from sysfs_read_u32 + */ +TEST_P(sysfs_c_mock_no_drv_p, sysfs_get_slots) { + int dev = 0; + int subdev = 0; + uint32_t u32; + auto res = sysfs_get_slots(dev, subdev, &u32); + EXPECT_NE(res, FPGA_OK); +} + +/** + * @test sysfs_get_bitstream_id + * @details sysfs_get_bitstream_id given a valid parameters + * return FPGA_NOT_FOUND from sysfs_read_u64 + */ +TEST_P(sysfs_c_mock_no_drv_p, sysfs_get_bitstream_id) { + int dev = 0; + int subdev = 0; + uint64_t u64; + auto res = sysfs_get_bitstream_id(dev, subdev, &u64); + EXPECT_NE(res, FPGA_OK); +} + +INSTANTIATE_TEST_CASE_P(sysfs_c, sysfs_c_mock_no_drv_p, + ::testing::ValuesIn(test_platform::mock_platforms())); + +class sysfs_sockid_c_mock_p : public sysfs_c_mock_p { }; +/** + * @test fpga_sysfs_02 + * sysfs_write_u64 + */ +TEST_P(sysfs_sockid_c_mock_p, fpga_sysfs_02) { + fpga_result result; + std::string str = sysfs_fme.c_str() + std::string("/socket_id"); + // valid path + result = sysfs_write_u64(str.c_str(), 0); + EXPECT_EQ(result, FPGA_OK); +} + +INSTANTIATE_TEST_CASE_P(sysfs_c, sysfs_sockid_c_mock_p, + ::testing::ValuesIn(test_platform::mock_platforms({ "skx-p","dcp-rc","dcp-vc" }))); + + +class sysfs_sockid_c_p : public sysfs_c_p { }; + +/** +* @test fpga_sysfs_02 +* @brief Tests: sysfs_read_int,sysfs_read_u32 +* sysfs_read_u32_pair,sysfs_read_u64 +* sysfs_read_u64,sysfs_write_u64 +*..........get_port_sysfs,sysfs_read_guid +*/ +TEST_P(sysfs_sockid_c_p, fpga_sysfs_02) { + fpga_result result; + int i; + uint32_t u32; + uint32_t u1; + uint32_t u2; + uint64_t u64; + + // Empty input path string + result = sysfs_read_int("", NULL); + EXPECT_NE(result, FPGA_OK); + + // NULL input parameters + result = sysfs_read_int(NULL, NULL); + EXPECT_NE(result, FPGA_OK); + + // Invalid input path + result = sysfs_read_int("/sys/class/fpga/intel-fpga-dev.0/intel-fpga-fme.10", + NULL); + EXPECT_NE(result, FPGA_OK); + + result = sysfs_read_int(sysfs_fme.c_str(), NULL); + EXPECT_NE(result, FPGA_OK); + + // Valid input path + std::string str = sysfs_fme.c_str() + std::string("/socket_id"); + result = sysfs_read_int(str.c_str(), &i); + EXPECT_EQ(result, FPGA_OK); + + // Empty input path string + result = sysfs_read_int("", NULL); + EXPECT_NE(result, FPGA_OK); + + // Invalid input parameters + result = sysfs_read_u32(NULL, NULL); + EXPECT_NE(result, FPGA_OK); + + // Invalid input path + result = sysfs_read_u32("/sys/class/fpga/intel-fpga-dev.0/intel-fpga-fme.10", + NULL); + EXPECT_NE(result, FPGA_OK); + + result = sysfs_read_u32(sysfs_fme.c_str(), NULL); + EXPECT_NE(result, FPGA_OK); + + // Valid input path + result = sysfs_read_u32(str.c_str(), &u32); + EXPECT_EQ(result, FPGA_OK); + + // Invalid input parameters + result = sysfs_read_u32_pair(NULL, NULL, NULL, '\0'); + EXPECT_NE(result, FPGA_OK); + + // Invalid input parameters + result = sysfs_read_u32_pair(NULL, NULL, NULL, 'a'); + EXPECT_NE(result, FPGA_OK); + + // Invalid input 'sep' character + result = sysfs_read_u32_pair(str.c_str(), &u1, &u2, '\0'); + EXPECT_NE(result, FPGA_OK); + + // Invalid input path value + result = sysfs_read_u32_pair(str.c_str(), &u1, &u2, 'a'); + EXPECT_NE(result, FPGA_OK); + + // Invalid input path type + result = sysfs_read_u32_pair(sysfs_fme.c_str(), &u1, &u2, 'a'); + EXPECT_NE(result, FPGA_OK); + + // Invalid input path + result = sysfs_read_u32_pair( + "/sys/class/fpga/intel-fpga-dev.0/intel-fpga-fme.10", &u1, &u2, 'a'); + EXPECT_NE(result, FPGA_OK); + + // Empty input path string + result = sysfs_read_u64("", NULL); + EXPECT_NE(result, FPGA_OK); + + // NULL input parameters + result = sysfs_read_u64(NULL, NULL); + EXPECT_NE(result, FPGA_OK); + + // Invalid input path + result = sysfs_read_u64("/sys/class/fpga/intel-fpga-dev.0/intel-fpga-fme.10", + NULL); + EXPECT_NE(result, FPGA_OK); + + // Valid input path + result = sysfs_read_u64(str.c_str(), &u64); + EXPECT_EQ(result, FPGA_OK); + + // Invalid input parameters + result = sysfs_write_u64(NULL, 0); + EXPECT_NE(result, FPGA_OK); + + result = sysfs_write_u64(sysfs_fme.c_str(), 0x100); + EXPECT_NE(result, FPGA_OK); + + result = sysfs_write_u64_decimal(NULL, 0); + EXPECT_NE(result, FPGA_OK); + + result = sysfs_write_u64_decimal(sysfs_fme.c_str(), 0x100); + EXPECT_NE(result, FPGA_OK); + + // Invalid input parameters + fpga_guid guid; + result = sysfs_read_guid(NULL, NULL); + EXPECT_NE(result, FPGA_OK); + + result = sysfs_read_guid( + "/sys/class/fpga/intel-fpga-dev.0/intel-fpga-fme.10/", guid); + EXPECT_NE(result, FPGA_OK); + + // NULL input parameters + result = get_port_sysfs(NULL, NULL); + EXPECT_NE(result, FPGA_OK); + + // NULL handle + result = get_port_sysfs(NULL, (char *)str.c_str()); + EXPECT_NE(result, FPGA_OK); + + // NULL handle + result = get_fpga_hw_type(NULL, NULL); + EXPECT_NE(result, FPGA_OK); +} + +/** + * @test make_region + * @details Given valid parameters to make_regions but failed on malloc, + * it returns nullptr for sysfs_fpga_region. + */ +TEST_P(sysfs_sockid_c_p, make_regions) { + sysfs_fpga_region *fpga_region; + sysfs_fpga_device device; + std::string name = "fme"; + int num = 1; + fpga_objtype type = FPGA_DEVICE; + test_system::instance()->invalidate_malloc(); + fpga_region = make_region(&device, const_cast(name.c_str()), num, type); + EXPECT_EQ(fpga_region, nullptr); +} + +/** + * @test sysfs_get_guid + * @details Given invalid parameters to sysfs_get_guid. + * it returns FPGA_EXCEPTION. When an invalid path is + * passed in, it returns FPGA_NOT_FOUND. + */ +TEST_P(sysfs_sockid_c_p, sysfs_get_guid_neg) { + fpga_guid guid; + _fpga_token *tok = static_cast<_fpga_token *>(tokens_[0]); + std::string sysfspath = tok->sysfspath; + + EXPECT_EQ(sysfs_get_guid(nullptr, nullptr, guid),FPGA_EXCEPTION); + + EXPECT_EQ(sysfs_get_guid(tokens_[0], nullptr, guid),FPGA_EXCEPTION); + + EXPECT_EQ(sysfs_get_guid(nullptr, const_cast(sysfspath.c_str()), guid),FPGA_EXCEPTION); + + sysfspath = ""; + EXPECT_EQ(sysfs_get_guid(tokens_[0], const_cast(sysfspath.c_str()), guid),FPGA_NOT_FOUND); +} + +/** + * @test sysfs_path_is_valid + * @details Given invalid parameters to sysfs_path_is_valid. + * it returns FPGA_NOT_FOUND. + */ +TEST_P(sysfs_sockid_c_p, sysfs_path_is_valid) { + EXPECT_EQ(sysfs_path_is_valid(nullptr, nullptr), FPGA_INVALID_PARAM); +} + +/** + * @test get_port_sysfspath + * @details When token's sysfs is invalid for get_port_sysfspath. + * it returns FPGA_INVALID_PARAM. + */ +TEST_P(sysfs_sockid_c_p, get_port_sysfs) { + _fpga_handle *h = static_cast<_fpga_handle *>(handle_); + _fpga_token *tok = static_cast<_fpga_token *>(h->token); + + EXPECT_EQ(get_port_sysfs(handle_, tok->sysfspath), FPGA_OK); +} + +INSTANTIATE_TEST_CASE_P(sysfs_c, sysfs_sockid_c_p, + ::testing::ValuesIn(test_platform::platforms({"skx-p","dcp-rc","dcp-vc"}))); + +/** + * @test match_region + * @details Given an input string that matches the format used
    + * by the kernel driver when making region (platform) devices. + * When I call re_match_region with the input string + * Then the return code is FPGA_OK + * And the output parameters match the "fme" string portion
    + * and the number portion. + */ +TEST(sysfs_regex, match_region) +{ + const char *fmt = "intel-fpga-(fme|port)\\.([0-9]+)"; + char buffer[8]; + int num = -1; + char inpstr[] = "intel-fpga-fme.9"; + EXPECT_EQ(re_match_region(fmt, inpstr, buffer, sizeof(buffer), &num), + FPGA_OK); + EXPECT_STREQ(buffer, "fme"); + EXPECT_EQ(num, 9); +} + +/** + * @test match_region_neg + * @details Given an input string that does not match the format used
    + * by the kernel driver when making region (platform) devices. + * When I call re_match_region with the input string or an invalid
    + * parameter + * Then the return code is either FPGA_NOT_FOUND or FPGA_INVALID_PARAM + */ +TEST(sysfs_regex, match_region_neg) +{ + const char *fmt = "intel-fpga-(fme|port)\\.([0-9]+)"; + char buffer[8]; + int num = -1; + char badstr[] = "intel-fpga-abc.0"; + EXPECT_EQ(re_match_region(fmt, badstr, buffer, sizeof(buffer), &num), + FPGA_NOT_FOUND); + EXPECT_EQ(re_match_region(nullptr, badstr, buffer, sizeof(buffer), &num), + FPGA_INVALID_PARAM); + EXPECT_EQ(re_match_region(fmt, nullptr, buffer, sizeof(buffer), &num), + FPGA_INVALID_PARAM); + EXPECT_EQ(re_match_region(fmt, badstr, nullptr, 0, &num), FPGA_INVALID_PARAM); + EXPECT_EQ(re_match_region(fmt, badstr, buffer, sizeof(buffer), nullptr), + FPGA_INVALID_PARAM); +} diff --git a/opae-libs/tests/xfpga/test_threshold_c.cpp b/opae-libs/tests/xfpga/test_threshold_c.cpp new file mode 100644 index 0000000..9c7e8fc --- /dev/null +++ b/opae-libs/tests/xfpga/test_threshold_c.cpp @@ -0,0 +1,305 @@ +// Copyright(c) 2019-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +extern "C" { + +#include +#include +#include "metrics/metrics_int.h" +#include "metrics/metrics_max10.h" +#include "metrics/threshold.h" +#include "metrics/vector.h" +#include "opae_int.h" +#include "types_int.h" +#include "xfpga.h" +} + +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#include "gtest/gtest.h" +#include "mock/test_system.h" +#include "mock/test_utils.h" +#include "token_list_int.h" + +#include "sysfs_int.h" + +extern "C" { +int xfpga_plugin_initialize(void); +int xfpga_plugin_finalize(void); +} + +using namespace opae::testing; + +class metrics_threshold_c_p : public ::testing::TestWithParam { + protected: + metrics_threshold_c_p() : tokens_{{nullptr, nullptr}}, handle_(nullptr) {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + ASSERT_EQ(xfpga_plugin_initialize(), FPGA_OK); + ASSERT_EQ(xfpga_fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), + FPGA_OK); + ASSERT_GT(num_matches_, 0); + ASSERT_EQ(xfpga_fpgaOpen(tokens_[0], &handle_, 0), FPGA_OK); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(xfpga_fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + if (handle_ != nullptr) { + EXPECT_EQ(xfpga_fpgaClose(handle_), FPGA_OK); + handle_ = nullptr; + } + xfpga_plugin_finalize(); + system_->finalize(); + } + + std::array tokens_; + fpga_handle handle_; + fpga_properties filter_; + uint32_t num_matches_; + test_platform platform_; + test_system *system_; +}; + +/** +* @test metrics_threshold_1 +* @brief Tests: xfpga_fpgaGetMetricsThresholdInfo +* @details When the parameters are valid xfpga_fpgaGetMetricsThresholdInfo +* reads metrics threshold info +* When the parameters are invalid xfpga_fpgaGetMetricsThresholdInfo +* retuns error. +* +*/ +TEST_P(metrics_threshold_c_p, metrics_threshold_1) { + uint32_t num_thresholds; + + EXPECT_EQ(xfpga_fpgaGetMetricsThresholdInfo(handle_, NULL, &num_thresholds), + FPGA_OK); + EXPECT_NE(xfpga_fpgaGetMetricsThresholdInfo(NULL, NULL, &num_thresholds), + FPGA_OK); + EXPECT_NE(xfpga_fpgaGetMetricsThresholdInfo(handle_, NULL, NULL), FPGA_OK); +} + +/** +* @test metrics_threshold_2 +* @brief Tests: get_max10_threshold_info +* @details When the parameters are valid get_max10_threshold_info +* reads max10 threshold info +* When the parameters are invalid get_max10_threshold_info +* retuns error. +* +*/ +TEST_P(metrics_threshold_c_p, metrics_threshold_2) { + uint32_t num_thresholds; + metric_threshold *pmetric_thresholds; + + EXPECT_NE(get_max10_threshold_info(handle_, NULL, NULL), FPGA_OK); + EXPECT_NE(get_max10_threshold_info(NULL, NULL, &num_thresholds), FPGA_OK); + + EXPECT_EQ(get_max10_threshold_info(handle_, NULL, &num_thresholds), FPGA_OK); + + pmetric_thresholds = (struct metric_threshold *)calloc( + sizeof(struct metric_threshold), num_thresholds); + ASSERT_NE(pmetric_thresholds, (void *)nullptr); + + EXPECT_EQ( + get_max10_threshold_info(handle_, pmetric_thresholds, &num_thresholds), + FPGA_OK); + + if (pmetric_thresholds) free(pmetric_thresholds); + + EXPECT_NE(get_bmc_threshold_info(handle_, NULL, &num_thresholds), FPGA_OK); +} +INSTANTIATE_TEST_CASE_P(metrics_threshold_c_c, metrics_threshold_c_p, + ::testing::ValuesIn(test_platform::mock_platforms({"dcp-vc"}))); + +class metrics_bmc_threshold_c_p : public metrics_threshold_c_p {}; + +/** +* @test metrics_threshold_3 +* @brief Tests: xfpga_fpgaGetMetricsThresholdInfo +* @details When the parameters are valid and invalid platform +* xfpga_fpgaGetMetricsThresholdInfo returns error +* +*/ +TEST_P(metrics_bmc_threshold_c_p, metrics_threshold_3) { + uint32_t num_thresholds; + + EXPECT_EQ(xfpga_fpgaGetMetricsThresholdInfo(handle_, NULL, &num_thresholds), + FPGA_OK); + EXPECT_NE(xfpga_fpgaGetMetricsThresholdInfo(NULL, NULL, &num_thresholds), + FPGA_OK); + EXPECT_NE(xfpga_fpgaGetMetricsThresholdInfo(handle_, NULL, NULL), FPGA_OK); +} + +/** +* @test metrics_threshold_4 +* @brief Tests: get_bmc_threshold_info +* @details When the parameters are valid get_bmc_threshold_info +* reads bmc threshold info +* When the parameters are invalid get_bmc_threshold_info +* retuns error. +* +*/ +TEST_P(metrics_bmc_threshold_c_p, metrics_threshold_4) { + uint32_t num_thresholds; + metric_threshold *pmetric_thresholds; + struct _fpga_handle *_handle = (struct _fpga_handle *)handle_; + + EXPECT_NE(get_bmc_threshold_info(handle_, NULL, NULL), FPGA_OK); + EXPECT_NE(get_bmc_threshold_info(NULL, NULL, &num_thresholds), FPGA_OK); + + _handle->bmc_handle = metrics_load_bmc_lib(); + ASSERT_NE(_handle->bmc_handle, (void *)nullptr); + + EXPECT_EQ(get_bmc_threshold_info(handle_, NULL, &num_thresholds), FPGA_OK); + + pmetric_thresholds = (struct metric_threshold *)calloc( + sizeof(struct metric_threshold), num_thresholds); + ASSERT_NE(pmetric_thresholds, (void *)nullptr); + + EXPECT_EQ( + get_bmc_threshold_info(handle_, pmetric_thresholds, &num_thresholds), + FPGA_OK); + + if (pmetric_thresholds) free(pmetric_thresholds); + + EXPECT_NE(get_max10_threshold_info(handle_, NULL, &num_thresholds), FPGA_OK); +} +INSTANTIATE_TEST_CASE_P(metrics_threshold_c_c, metrics_bmc_threshold_c_p, + ::testing::ValuesIn(test_platform::mock_platforms({"dcp-rc"}))); + +class metrics_mcp_threshold_c_p : public metrics_threshold_c_p {}; + +/** +* @test metrics_threshold_5 +* @brief Tests: xfpga_fpgaGetMetricsThresholdInfo +* get_max10_threshold_info +* @details When the parameters are valid and invalid platform +* retuns error +* +*/ +TEST_P(metrics_mcp_threshold_c_p, metrics_threshold_5) { + uint32_t num_thresholds; + + EXPECT_NE(xfpga_fpgaGetMetricsThresholdInfo(handle_, NULL, &num_thresholds), + FPGA_OK); + + EXPECT_NE(xfpga_fpgaGetMetricsThresholdInfo(NULL, NULL, &num_thresholds), + FPGA_OK); + EXPECT_NE(xfpga_fpgaGetMetricsThresholdInfo(handle_, NULL, NULL), FPGA_OK); + + EXPECT_NE(get_max10_threshold_info(handle_, NULL, &num_thresholds), FPGA_OK); + + EXPECT_NE(get_bmc_threshold_info(handle_, NULL, &num_thresholds), FPGA_OK); +} + +INSTANTIATE_TEST_CASE_P(metrics_threshold_c_c, metrics_mcp_threshold_c_p, + ::testing::ValuesIn(test_platform::mock_platforms({"skx-p"}))); + +class metrics_afu_threshold_c_p : public ::testing::TestWithParam { + protected: + metrics_afu_threshold_c_p() : tokens_{{nullptr, nullptr}}, handle_(nullptr) {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + ASSERT_EQ(xfpga_plugin_initialize(), FPGA_OK); + ASSERT_EQ(xfpga_fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), FPGA_OK); + ASSERT_EQ(xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), + FPGA_OK); + ASSERT_GT(num_matches_, 0); + ASSERT_EQ(xfpga_fpgaOpen(tokens_[0], &handle_, 0), FPGA_OK); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(xfpga_fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + if (handle_ != nullptr) { + EXPECT_EQ(xfpga_fpgaClose(handle_), FPGA_OK); + handle_ = nullptr; + } + xfpga_plugin_finalize(); + system_->finalize(); + } + + std::array tokens_; + fpga_handle handle_; + fpga_properties filter_; + uint32_t num_matches_; + test_platform platform_; + test_system *system_; +}; + +/** +* @test metrics_threshold_6 +* @brief Tests: xfpga_fpgaGetMetricsThresholdInfo +* @details When the parameters are valid and invalid object type +* retuns error +* +*/ +TEST_P(metrics_afu_threshold_c_p, metrics_threshold_6) { + uint32_t num_thresholds; + + EXPECT_EQ(xfpga_fpgaGetMetricsThresholdInfo(handle_, NULL, &num_thresholds), + FPGA_OK); + EXPECT_NE(xfpga_fpgaGetMetricsThresholdInfo(NULL, NULL, &num_thresholds), + FPGA_OK); + EXPECT_NE(xfpga_fpgaGetMetricsThresholdInfo(handle_, NULL, NULL), FPGA_OK); +} +INSTANTIATE_TEST_CASE_P(metrics_threshold_c_c, metrics_afu_threshold_c_p, + ::testing::ValuesIn(test_platform::mock_platforms({"dcp-vc"}))); diff --git a/opae-libs/tests/xfpga/test_token_list_c.cpp b/opae-libs/tests/xfpga/test_token_list_c.cpp new file mode 100644 index 0000000..1dc6180 --- /dev/null +++ b/opae-libs/tests/xfpga/test_token_list_c.cpp @@ -0,0 +1,156 @@ +// Copyright(c) 2017-2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + + +extern "C" { +#include "token_list_int.h" +int xfpga_plugin_initialize(void); +int xfpga_plugin_finalize(void); +} + +#include "gtest/gtest.h" +#include "sysfs_int.h" +#include "mock/test_system.h" +#include "types_int.h" +#include "sysfs_int.h" + + +extern pthread_mutex_t global_lock; + +using namespace opae::testing; + +class token_list_c_p : public ::testing::TestWithParam { + protected: + token_list_c_p() {} + virtual ~token_list_c_p() {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + ASSERT_EQ(xfpga_plugin_initialize(), FPGA_OK); + + ASSERT_GT(sysfs_device_count(), 0); + sysfs_fpga_region *fme = nullptr; + sysfs_fpga_region *port = nullptr; + for (int i = 0; i < sysfs_device_count(); ++i) { + fme = (fme == nullptr) ? sysfs_get_device(i)->fme : fme; + port = (port == nullptr) ? sysfs_get_device(i)->port : port; + if (fme && port) break; + } + ASSERT_NE(fme, nullptr); + ASSERT_NE(port, nullptr); + + sysfs_fme = std::string(fme->sysfs_path); + dev_fme = std::string("/dev/") + std::string(fme->sysfs_name); + sysfs_port = std::string(port->sysfs_path); + dev_port = std::string("/dev/") + std::string(port->sysfs_name); + } + virtual void TearDown() override { + xfpga_plugin_finalize(); + token_cleanup(); + system_->finalize(); + } + + test_platform platform_; + test_system* system_; + std::string sysfs_fme; + std::string dev_fme; + std::string sysfs_port; + std::string dev_port; +}; + +TEST_P(token_list_c_p, simple_case) { + auto fme = token_add(sysfs_fme.c_str(), dev_fme.c_str()); + ASSERT_NE(fme, nullptr); + auto port = token_add(sysfs_port.c_str(), dev_port.c_str()); + ASSERT_NE(port, nullptr); + + auto parent = token_get_parent(port); + EXPECT_EQ(parent, fme); + + parent = token_get_parent(fme); + EXPECT_EQ(nullptr, parent); +} + +TEST_P(token_list_c_p, invalid_mutex) { + pthread_mutex_destroy(&global_lock); + auto fme = token_add(sysfs_fme.c_str(), dev_fme.c_str()); + EXPECT_EQ(fme, nullptr); + pthread_mutex_init(&global_lock, NULL); + + auto port = token_add(sysfs_port.c_str(), dev_port.c_str()); + ASSERT_NE(port, nullptr); + + pthread_mutex_destroy(&global_lock); + auto parent = token_get_parent(port); + EXPECT_EQ(nullptr, parent); + pthread_mutex_init(&global_lock, NULL); + + pthread_mutex_destroy(&global_lock); + token_cleanup(); + pthread_mutex_init(&global_lock, NULL); + parent = token_get_parent(port); + EXPECT_EQ(parent, fme); +} + +TEST_P(token_list_c_p, invalid_paths) { + // paths missing dot + std::string sysfs_fme_invalid = + "/sys/class/fpga/intel-fpga-dev/intel-fpga-fme"; + std::string dev_fme_invalid = "/dev/intel-fpga-fme"; + std::string sysfs_port_invalid = + "/sys/class/fpga/intel-fpga-dev/intel-fpga-port"; + std::string dev_port_invalid = "/dev/intel-fpga-port"; + auto fme = token_add(sysfs_fme_invalid.c_str(), dev_fme_invalid.c_str()); + EXPECT_EQ(fme, nullptr); + + // paths with dot, but non-decimal character afterwards + sysfs_fme_invalid += ".z"; + sysfs_port_invalid += ".z"; + fme = token_add(sysfs_fme_invalid.c_str(), dev_fme_invalid.c_str()); + EXPECT_EQ(fme, nullptr); + + // get a parent of a bogus token + _fpga_token *port = new struct _fpga_token; + memset(port, 0, sizeof(struct _fpga_token)); + std::copy(sysfs_port_invalid.begin(), sysfs_port_invalid.end(), + &port->sysfspath[0]); + auto parent = token_get_parent(port); + EXPECT_EQ(parent, nullptr); + delete port; + + // invalidate malloc + + test_system::instance()->invalidate_malloc(0, "token_add"); + fme = token_add(sysfs_fme.c_str(), dev_fme.c_str()); + ASSERT_EQ(fme, nullptr); +} + +INSTANTIATE_TEST_CASE_P(token_list_c, token_list_c_p, + ::testing::ValuesIn(test_platform::keys(true))); diff --git a/opae-libs/tests/xfpga/test_umsg_c.cpp b/opae-libs/tests/xfpga/test_umsg_c.cpp new file mode 100644 index 0000000..a858261 --- /dev/null +++ b/opae-libs/tests/xfpga/test_umsg_c.cpp @@ -0,0 +1,619 @@ +// Copyright(c) 2017-2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +extern "C"{ +#include "types_int.h" +fpga_result free_umsg_buffer(fpga_handle); +int xfpga_plugin_initialize(void); +int xfpga_plugin_finalize(void); +} + +#include "xfpga.h" +#include "intel-fpga.h" +#include +#include + +#include "gtest/gtest.h" +#include "mock/test_system.h" +#include "sysfs_int.h" + +#undef FPGA_MSG +#define FPGA_MSG(fmt, ...) \ + printf("MOCK " fmt "\n", ## __VA_ARGS__) + + +using namespace opae::testing; + +int umsg_port_info(mock_object * m, int request, va_list argp){ + int retval = -1; + errno = EINVAL; + static bool gEnableIRQ = false; + UNUSED_PARAM(m); + UNUSED_PARAM(request); + struct fpga_port_info *pinfo = va_arg(argp, struct fpga_port_info *); + if (!pinfo) { + FPGA_MSG("pinfo is NULL"); + goto out_EINVAL; + } + if (pinfo->argsz != sizeof(*pinfo)) { + FPGA_MSG("wrong structure size"); + goto out_EINVAL; + } + pinfo->flags = 0; + pinfo->num_regions = 1; + pinfo->num_umsgs = 8; + if (gEnableIRQ) { + pinfo->capability = FPGA_PORT_CAP_ERR_IRQ | FPGA_PORT_CAP_UAFU_IRQ; + pinfo->num_uafu_irqs = 1; + } else { + pinfo->capability = 0; + pinfo->num_uafu_irqs = 0; + } + retval = 0; + errno = 0; +out: + return retval; + +out_EINVAL: + retval = -1; + errno = EINVAL; + goto out; +} + +int umsg_set_mode(mock_object * m, int request, va_list argp){ + int retval = -1; + errno = EINVAL; + UNUSED_PARAM(m); + UNUSED_PARAM(request); + struct fpga_port_umsg_cfg *ucfg = va_arg(argp, struct fpga_port_umsg_cfg *); + if (!ucfg) { + FPGA_MSG("ucfg is NULL"); + goto out_EINVAL; + } + if (ucfg->argsz != sizeof(*ucfg)) { + FPGA_MSG("wrong structure size"); + goto out_EINVAL; + } + if (ucfg->flags != 0) { + FPGA_MSG("unexpected flags %u", ucfg->flags); + goto out_EINVAL; + } + /* TODO: check hint_bitmap */ + if (ucfg->hint_bitmap >> 8) { + FPGA_MSG("invalid hint_bitmap 0x%x", ucfg->hint_bitmap); + goto out_EINVAL; + } + retval = 0; + errno = 0; +out: + return retval; + +out_EINVAL: + retval = -1; + errno = EINVAL; + goto out; +} + +int umsg_set_base_addr(mock_object * m, int request, va_list argp){ + int retval = -1; + errno = EINVAL; + UNUSED_PARAM(m); + UNUSED_PARAM(request); + struct fpga_port_umsg_base_addr *ubase = va_arg(argp, struct fpga_port_umsg_base_addr *); + if (!ubase) { + FPGA_MSG("ubase is NULL"); + goto out_EINVAL; + } + if (ubase->argsz != sizeof(*ubase)) { + FPGA_MSG("wrong structure size"); + goto out_EINVAL; + } + if (ubase->flags != 0) { + FPGA_MSG("unexpected flags %u", ubase->flags); + goto out_EINVAL; + } + /* TODO: check iova */ + retval = 0; + errno = 0; +out: + return retval; + +out_EINVAL: + retval = -1; + errno = EINVAL; + goto out; +} + +class umsg_c_p + : public ::testing::TestWithParam { + protected: + umsg_c_p() + : handle_(nullptr), + tokens_{{nullptr, nullptr}} {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + ASSERT_EQ(xfpga_plugin_initialize(), FPGA_OK); + filter_ = nullptr; + ASSERT_EQ(xfpga_fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_ACCELERATOR), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetDeviceID(filter_, + platform_.devices[0].device_id), FPGA_OK); + ASSERT_EQ(xfpga_fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), + FPGA_OK); + ASSERT_EQ(xfpga_fpgaOpen(tokens_[0], &handle_, 0), FPGA_OK); + system_->register_ioctl_handler(FPGA_PORT_GET_INFO, umsg_port_info); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + if (handle_) { EXPECT_EQ(xfpga_fpgaClose(handle_), FPGA_OK); } + + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(FPGA_OK, xfpga_fpgaDestroyToken(&t)); + t = nullptr; + } + } + xfpga_plugin_finalize(); + system_->finalize(); + } + + fpga_handle handle_; + std::array tokens_; + fpga_properties filter_; + uint32_t num_matches_; + test_platform platform_; + test_system *system_; +}; + +/** + * @test umsg_c_p + * @brief test_umsg_drv_02 + * @details When the parameters are invalid and the drivers are loaded, + * fpgaUmsgGetNumber returns error. + * + */ +TEST_P (umsg_c_p, test_umsg_drv_02) { + uint64_t Umsg_num = 0; + + // NULL Driver handle + EXPECT_NE(FPGA_OK, xfpga_fpgaGetNumUmsg(NULL, &Umsg_num)); + + struct _fpga_handle* _handle = (struct _fpga_handle*)handle_; + _handle->magic = 0x123; + + EXPECT_NE(FPGA_OK, xfpga_fpgaGetNumUmsg(_handle, &Umsg_num)); + + // Reset handle magic + _handle->magic = FPGA_HANDLE_MAGIC; +} + +/** + * @test umsg_c_p + * @brief test_umsg_drv_03 + * @details When the parameters are invalid and the drivers are loaded, + * fpgaUmsgGetNumber returns error. + * + */ +TEST_P (umsg_c_p, test_umsg_drv_03) { + uint64_t Umsg_num = 0; + int fddev = -1; + + // NULL Driver handle + EXPECT_NE(FPGA_OK, xfpga_fpgaGetNumUmsg(NULL, &Umsg_num)); + + // Invalid Input Parameter + EXPECT_NE(FPGA_OK, xfpga_fpgaGetNumUmsg(handle_, NULL)); + + struct _fpga_handle* _handle = (struct _fpga_handle*)handle_; + + fddev = _handle->fddev; + _handle->fddev = -1; + + EXPECT_NE(FPGA_OK, xfpga_fpgaGetNumUmsg(handle_, &Umsg_num)); + + // Reset handle fd + _handle->fddev = fddev; +} + +/** + * @test Umsg_drv_04 + * + * @brief When the parameters are Invalid and the drivers are + * loaded, fpgaUmsgSetAttributes retuns error. + * + */ +TEST_P(umsg_c_p, test_umsg_drv_05) { + uint64_t Umsghit_Disble = 0; + int fddev = -1; + + system_->register_ioctl_handler(FPGA_PORT_UMSG_SET_MODE,umsg_set_mode); + // NULL Driver handle + EXPECT_NE(FPGA_OK, xfpga_fpgaSetUmsgAttributes(NULL, Umsghit_Disble)); + + // Invalid handle magic + struct _fpga_handle* _handle = (struct _fpga_handle*)handle_; + _handle->magic = 0x123; + + EXPECT_NE(FPGA_OK, xfpga_fpgaSetUmsgAttributes(handle_, Umsghit_Disble)); + + // Valid handle magic + _handle->magic = FPGA_HANDLE_MAGIC; + ASSERT_EQ(FPGA_OK, xfpga_fpgaClose(handle_)); + + // Invalid Driver handle + ASSERT_EQ(FPGA_OK, xfpga_fpgaOpen(tokens_[0], &handle_, 0)); + _handle = (struct _fpga_handle*)handle_; + + // Invalid handle fd + fddev = _handle->fddev; + _handle->fddev = -1; + + EXPECT_NE(FPGA_OK, xfpga_fpgaSetUmsgAttributes(handle_, Umsghit_Disble)); + + // Valid handle fd + _handle->fddev = fddev; + ASSERT_EQ(FPGA_OK, xfpga_fpgaClose(handle_)); + + // Invlaid Input Paramter + ASSERT_EQ(FPGA_OK, xfpga_fpgaOpen(tokens_[0], &handle_, 0)); + EXPECT_NE(FPGA_OK, xfpga_fpgaSetUmsgAttributes(handle_, 0xFFFFFFFF)); +} + +/** + * @test Umsg_drv_07 + * + * @brief When the parameters are invalid and the drivers are + * loaded, xfpga_fpgaGetUmsgPtr returns fpga error. + * + */ +TEST_P(umsg_c_p, test_umsg_drv_07) { + uint64_t* umsg_ptr = NULL; + int fddev = -1; + + // NULL Driver handle + EXPECT_NE(FPGA_OK, xfpga_fpgaGetUmsgPtr(NULL, &umsg_ptr)); + + // Invalid handle magic + struct _fpga_handle* _handle = (struct _fpga_handle*)handle_; + _handle->magic = 0x123; + + EXPECT_NE(FPGA_OK, xfpga_fpgaGetUmsgPtr(handle_, &umsg_ptr)); + + // Valid handle magic + _handle->magic = FPGA_HANDLE_MAGIC; + ASSERT_EQ(FPGA_OK, xfpga_fpgaClose(handle_)); + + // Invalid Driver handle + ASSERT_EQ(FPGA_OK, xfpga_fpgaOpen(tokens_[0], &handle_, 0)); + _handle = (struct _fpga_handle*)handle_; + + // Invalid handle fd + fddev = _handle->fddev; + _handle->fddev = -1; + + EXPECT_NE(FPGA_OK, xfpga_fpgaGetUmsgPtr(handle_, &umsg_ptr)); + + // Valid handle fd + _handle->fddev = fddev; + ASSERT_EQ(FPGA_OK, xfpga_fpgaClose(handle_)); + + // Invalid Input Parameter + ASSERT_EQ(FPGA_OK, xfpga_fpgaOpen(tokens_[0], &handle_, 0)); + + EXPECT_NE(FPGA_OK, xfpga_fpgaGetUmsgPtr(handle_, NULL)); +} + +/** + * @test Umsg_08 + * + * @brief When the handle parameter to xfpga_fpgaTriggerUmsg + * is NULL, the function returns FPGA_INVALID_PARAM. + * + */ +TEST_P(umsg_c_p, test_umsg_drv_08) { + int fddev = -1; + auto _handle = (struct _fpga_handle*)handle_; + + // Null handle + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaTriggerUmsg(NULL, 0)); + + // Invalid handle fd + fddev = _handle->fddev; + _handle->fddev = -1; + + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaTriggerUmsg(handle_, 0)); + + // Reset fd for fpgaClose + _handle->fddev = fddev; +} + +INSTANTIATE_TEST_CASE_P(umsg_c, umsg_c_p, ::testing::ValuesIn(test_platform::platforms({ "skx-p" }))); + +class umsg_c_mcp_p : public umsg_c_p { +}; + +/** + * @test umsg_c_p + * @brief test_umsg_drv_01 + * @details When the parameters are valid and the drivers are loaded, + * fpgaUmsgGetNumber returns number of umsgs supported by + * slot. + * + */ +TEST_P (umsg_c_mcp_p, test_umsg_drv_01) { + uint64_t Umsg_num = 0; + + EXPECT_NE(FPGA_OK, xfpga_fpgaGetNumUmsg(handle_, NULL)); + // Get umsg number + EXPECT_EQ(FPGA_OK, xfpga_fpgaGetNumUmsg(handle_, &Umsg_num)); + EXPECT_GT(Umsg_num, 0); +} + +/** + * @test Umsg_drv_04 + * + * @brief When the parameters are valid and the drivers are loaded, + * fpgaUmsgSetAttributes sets umsg hit Enable / Disable. + * + */ +TEST_P(umsg_c_mcp_p, test_umsg_drv_04) { + uint64_t Umsghit_Enable = 0xffff; + uint64_t Umsghit_Disble = 0; + + // Set umsg hint + system_->register_ioctl_handler(FPGA_PORT_UMSG_SET_MODE,umsg_set_mode); + EXPECT_NE(FPGA_OK, xfpga_fpgaSetUmsgAttributes(handle_, Umsghit_Enable)); + EXPECT_EQ(FPGA_OK, xfpga_fpgaSetUmsgAttributes(handle_, Umsghit_Disble)); +} + +INSTANTIATE_TEST_CASE_P(umsg_c, umsg_c_mcp_p, + ::testing::ValuesIn(test_platform::platforms({"skx-p"}))); + +class umsg_c_mock_p : public umsg_c_p { +}; + + /** + * @test Umsg_08 + * + * @brief When the handle parameter to xfpga_fpgaTriggerUmsg
    + * is valid, Then the function returns FPGA_OK when
    + * hugepages is allocated.
    + * + */ +TEST_P(umsg_c_mock_p, test_umsg_08) { + auto res = xfpga_fpgaTriggerUmsg(handle_, 0); + EXPECT_EQ(FPGA_OK, res) << "\t return value is " << res; +} + +/** + * @test umsg_c_mock_p + * @brief get_num_umsg_ioctl_err + * @details When the parameters are valid and the drivers are loaded, + * but the ioctl fails, + * fpgaGetNumUmsg returns FPGA_INVALID_PARAM/FPGA_EXCEPTION + * + */ +TEST_P(umsg_c_mock_p, get_num_umsg_ioctl_err) { + uint64_t num = 0; + + // register an ioctl handler that will return -1 and set errno to EINVAL + system_->register_ioctl_handler(FPGA_PORT_GET_INFO, dummy_ioctl<-1,EINVAL>); + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaGetNumUmsg(handle_, &num)); + + // register an ioctl handler that will return -1 and set errno to EFAULT + system_->register_ioctl_handler(FPGA_PORT_GET_INFO, dummy_ioctl<-1,EFAULT>); + EXPECT_EQ(FPGA_EXCEPTION, xfpga_fpgaGetNumUmsg(handle_, &num)); + + // register an ioctl handler that will return -1 and set errno to ENOTSUP + system_->register_ioctl_handler(FPGA_PORT_GET_INFO, dummy_ioctl<-1,ENOTSUP>); + EXPECT_EQ(FPGA_NOT_SUPPORTED, xfpga_fpgaGetNumUmsg(handle_, &num)); +} + +/** + * @test umsg_c_mock_p + * @brief set_umsg_attr_ioctl_err + * @details When the parameters are valid and the drivers are loaded, + * but the ioctl fails, + * fpgaSetUmsgAttributes returns FPGA_INVALID_PARAM/FPGA_EXCEPTION + * + */ +TEST_P(umsg_c_mock_p, set_umsg_attr_ioctl_err) { + uint64_t value = 0; + // register an ioctl handler that will return -1 and set errno to EINVAL + system_->register_ioctl_handler(FPGA_PORT_UMSG_SET_MODE, dummy_ioctl<-1,EINVAL>); + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaSetUmsgAttributes(handle_, value)); + + // register an ioctl handler that will return -1 and set errno to EFAULT + system_->register_ioctl_handler(FPGA_PORT_UMSG_SET_MODE, dummy_ioctl<-1,EFAULT>); + EXPECT_EQ(FPGA_EXCEPTION, xfpga_fpgaSetUmsgAttributes(handle_, value)); + + + // register an ioctl handler that will return -1 and set errno to ENOTSUP + system_->register_ioctl_handler(FPGA_PORT_UMSG_SET_MODE, dummy_ioctl<-1,ENOTSUP>); + EXPECT_EQ(FPGA_NOT_SUPPORTED, xfpga_fpgaSetUmsgAttributes(handle_, value)); +} + +/** + * @test umsg_c_mock_p + * @brief get_umsg_ptr_ioctl_err + * @details When the parameters are valid and the drivers are loaded, + * but the ioctl fails on FPGA_PORT_UMSG_ENABLE and FPGA_PORT_DMA_UNMAP + * fpgaGetUmsgPtr returns FPGA_INVALID_PARAM/FPGA_EXCEPTION + * + */ +TEST_P(umsg_c_mock_p, get_umsg_ptr_ioctl_err) { + uint64_t *value = 0; + + // register an ioctl handler that will return -1 and set errno to EINVAL + system_->register_ioctl_handler(FPGA_PORT_UMSG_ENABLE, dummy_ioctl<-1,EINVAL>); + system_->register_ioctl_handler(FPGA_PORT_DMA_UNMAP, dummy_ioctl<-1,EINVAL>); + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaGetUmsgPtr(handle_, &value)); + + // register an ioctl handler that will return -1 and set errno to EFAULT + system_->register_ioctl_handler(FPGA_PORT_UMSG_ENABLE, dummy_ioctl<-1,EFAULT>); + system_->register_ioctl_handler(FPGA_PORT_DMA_UNMAP, dummy_ioctl<-1,EFAULT>); + EXPECT_EQ(FPGA_EXCEPTION, xfpga_fpgaGetUmsgPtr(handle_, &value)); + + // register an ioctl handler that will return -1 and set errno to ENOTSUP + system_->register_ioctl_handler(FPGA_PORT_UMSG_ENABLE, dummy_ioctl<-1,ENOTSUP>); + system_->register_ioctl_handler(FPGA_PORT_DMA_UNMAP, dummy_ioctl<-1,ENOTSUP>); + EXPECT_EQ(FPGA_NOT_SUPPORTED, xfpga_fpgaGetUmsgPtr(handle_, &value)); +} + +/** + * @test umsg_c_mock_p + * @brief get_umsg_ptr_ioctl_err_02 + * @details When the parameters are valid and the drivers are loaded, + * but the ioctl fails on FPGA_PORT_UMSG_SET_BASE_ADDR + * and FPGA_PORT_DMA_UNMAP. fpgaGetUmsgPtr returns + * FPGA_INVALID_PARAM/FPGA_EXCEPTION + * + */ +TEST_P(umsg_c_mock_p, get_umsg_ptr_ioctl_err_02) { + uint64_t *value = 0; + + // register an ioctl handler that will return -1 and set errno to ENOTSUP + system_->register_ioctl_handler(FPGA_PORT_UMSG_SET_BASE_ADDR, dummy_ioctl<-1,ENOTSUP>); + system_->register_ioctl_handler(FPGA_PORT_DMA_UNMAP, dummy_ioctl<-1,ENOTSUP>); + EXPECT_EQ(FPGA_NOT_SUPPORTED, xfpga_fpgaGetUmsgPtr(handle_, &value)); + + // register an ioctl handler that will return -1 and set errno to EFAULT + system_->register_ioctl_handler(FPGA_PORT_UMSG_SET_BASE_ADDR, dummy_ioctl<-1,EFAULT>); + system_->register_ioctl_handler(FPGA_PORT_DMA_UNMAP, dummy_ioctl<-1,EFAULT>); + EXPECT_EQ(FPGA_EXCEPTION, xfpga_fpgaGetUmsgPtr(handle_, &value)); +} + +/** + * @test umsg_c_mock_p + * @brief get_umsg_ptr_ioctl_err_03 + * @details When the parameters are valid and the drivers are loaded, + * but the ioctl fails on FPGA_PORT_DMA_MAP. fpgaGetUmsgPtr returns + * FPGA_INVALID_PARAM/FPGA_EXCEPTION + * + */ +TEST_P(umsg_c_mock_p, get_umsg_ptr_ioctl_err_03) { + uint64_t *value = 0; + + // register an ioctl handler that will return -1 and set errno to EINVAL + system_->register_ioctl_handler(FPGA_PORT_DMA_MAP, dummy_ioctl<-1,EINVAL>); + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaGetUmsgPtr(handle_, &value)); + + // register an ioctl handler that will return -1 and set errno to EFAULT + system_->register_ioctl_handler(FPGA_PORT_DMA_MAP, dummy_ioctl<-1,EFAULT>); + EXPECT_EQ(FPGA_EXCEPTION, xfpga_fpgaGetUmsgPtr(handle_, &value)); +} + +/** + * @test umsg_c_mock_p + * @brief invalid_free_umsg_buffer + * @details When the drivers are loaded and handle umsg_virt is mapped, + * but ioctl fails on FPGA_PORT_UMSG_DISABLE, FPGA_ERR outputs + * "Failed to disable UMSG" and returns FPGA_OK + * When ioctl fails on FPGA_PORT_UMSG_SET_BASE_ADDR, FPGA_ERR outputs + * "led to zero UMSG address" and returns FPGA_OK + * + */ +TEST_P(umsg_c_mock_p, invalid_free_umsg_buffer) { + uint64_t* umsg_ptr = NULL; + system_->register_ioctl_handler(FPGA_PORT_UMSG_SET_BASE_ADDR, umsg_set_base_addr); + system_->register_ioctl_handler(FPGA_PORT_UMSG_ENABLE, dummy_ioctl<0,EINVAL>); + auto res = xfpga_fpgaGetUmsgPtr(handle_, &umsg_ptr); + EXPECT_EQ(FPGA_OK, res); + + // register an ioctl handler that will return -1 and set errno to EINVAL + system_->register_ioctl_handler(FPGA_PORT_UMSG_DISABLE, dummy_ioctl<-1,EINVAL>); + EXPECT_EQ(FPGA_OK, free_umsg_buffer(handle_)); + + // register an ioctl handler that will return -1 and set errno to EINVAL + res = xfpga_fpgaGetUmsgPtr(handle_, &umsg_ptr); + EXPECT_EQ(FPGA_OK, res); + system_->register_ioctl_handler(FPGA_PORT_UMSG_DISABLE, dummy_ioctl<0,EINVAL>); + system_->register_ioctl_handler(FPGA_PORT_UMSG_SET_BASE_ADDR, dummy_ioctl<-1,EINVAL>); + EXPECT_EQ(FPGA_OK, free_umsg_buffer(handle_)); +} + +/** + * @test umsg_c_mock_p + * @brief invalid_free_umsg_buffer + * @details When the drivers are loaded and handle umsg_virt is mapped, + * but ioctl fails on FPGA_PORT_DMA_UNMAP, FPGA_ERR outputs + * "Failed to unmap UMSG Buffer" and returns FPGA_OK + * + */ +TEST_P(umsg_c_mock_p, invalid_free_umsg_buffer_02) { + uint64_t* umsg_ptr = NULL; + system_->register_ioctl_handler(FPGA_PORT_UMSG_SET_BASE_ADDR, umsg_set_base_addr); + system_->register_ioctl_handler(FPGA_PORT_UMSG_ENABLE, dummy_ioctl<0,EINVAL>); + auto res = xfpga_fpgaGetUmsgPtr(handle_, &umsg_ptr); + EXPECT_EQ(FPGA_OK, res); + + // register an ioctl handler that will return -1 and set errno to EINVAL + system_->register_ioctl_handler(FPGA_PORT_DMA_UNMAP, dummy_ioctl<-1,EINVAL>); + EXPECT_EQ(FPGA_OK, free_umsg_buffer(handle_)); +} + +/** + * @test Umsg_drv_06 + * + * @brief When the parameters are valid and the drivers are loaded, + * xfpga_fpgaGetUmsgPtr returns umsg address. + * + */ +TEST_P(umsg_c_mock_p, test_umsg_drv_06) { + uint64_t* umsg_ptr = NULL; + fpga_result res; + + // Get umsg buffer + system_->register_ioctl_handler(FPGA_PORT_UMSG_SET_BASE_ADDR, umsg_set_base_addr); + system_->register_ioctl_handler(FPGA_PORT_UMSG_ENABLE, dummy_ioctl<0,EINVAL>); + res = xfpga_fpgaGetUmsgPtr(handle_, &umsg_ptr); + EXPECT_EQ(FPGA_OK, res); + EXPECT_TRUE(umsg_ptr != NULL) << "\t this is umsg:" << res; + printf("umsg_ptr %p", umsg_ptr); +} + +/** + * @test Umsg_09 + * + * @brief When the handle parameter to xfpga_fpgaTriggerUmsg
    + * is invalid, Then the function returns FPGA_EXCEPTION.
    + * + */ +TEST_P(umsg_c_mock_p, test_umsg_09) { + // register an ioctl handler that will return -1 and set errno to EINVAL + system_->register_ioctl_handler(FPGA_PORT_GET_INFO, dummy_ioctl<-1,EINVAL>); + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaTriggerUmsg(handle_, 0)); +} + +INSTANTIATE_TEST_CASE_P(umsg_c, umsg_c_mock_p, + ::testing::ValuesIn(test_platform::mock_platforms({ "skx-p"}))); + diff --git a/opae-libs/tests/xfpga/test_usrclk_c.cpp b/opae-libs/tests/xfpga/test_usrclk_c.cpp new file mode 100644 index 0000000..f27cf71 --- /dev/null +++ b/opae-libs/tests/xfpga/test_usrclk_c.cpp @@ -0,0 +1,348 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef __cplusplus + +extern "C" { +#endif +#include +#include +#undef _GNU_SOURCE +#include "usrclk/user_clk_pgm_uclock.h" + +#ifdef __cplusplus +} +#endif + + +#include "gtest/gtest.h" +#include "types_int.h" +#include "mock/test_system.h" +#include "xfpga.h" +#include "sysfs_int.h" + +extern "C" { +int xfpga_plugin_initialize(void); +int xfpga_plugin_finalize(void); +} + + +using namespace opae::testing; + +class usrclk_c + : public ::testing::TestWithParam { + protected: + usrclk_c() + : handle_dev_(nullptr), + handle_accel_(nullptr), + tokens_dev_{{nullptr, nullptr}}, + tokens_accel_{{nullptr, nullptr}}, + filter_dev_(nullptr), + filter_accel_(nullptr) {} + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + ASSERT_EQ(xfpga_plugin_initialize(), FPGA_OK); + ASSERT_EQ(xfpga_fpgaGetProperties(nullptr, &filter_dev_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetDeviceID(filter_dev_, + platform_.devices[0].device_id), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_dev_, FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(xfpga_fpgaEnumerate(&filter_dev_, 1, tokens_dev_.data(), + tokens_dev_.size(), &num_matches_), FPGA_OK); + ASSERT_GT(num_matches_, 0); + + ASSERT_EQ(xfpga_fpgaGetProperties(nullptr, &filter_accel_), FPGA_OK); + auto devid = platform_.devices[0].device_id + platform_.devices[0].num_vfs; + ASSERT_EQ(fpgaPropertiesSetDeviceID(filter_accel_, devid), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_accel_, FPGA_ACCELERATOR), FPGA_OK); + ASSERT_EQ(xfpga_fpgaEnumerate(&filter_accel_, 1, tokens_accel_.data(), + tokens_accel_.size(), &num_matches_), FPGA_OK); + ASSERT_GT(num_matches_, 0); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_dev_), FPGA_OK); + EXPECT_EQ(fpgaDestroyProperties(&filter_accel_), FPGA_OK); + + for (auto &t : tokens_dev_) { + if (t) { + EXPECT_EQ(FPGA_OK, xfpga_fpgaDestroyToken(&t)); + t = nullptr; + } + } + + for (auto &t : tokens_accel_) { + if (t) { + EXPECT_EQ(FPGA_OK, xfpga_fpgaDestroyToken(&t)); + t = nullptr; + } + } + + if (handle_dev_ != nullptr) { EXPECT_EQ(xfpga_fpgaClose(handle_dev_), FPGA_OK); } + if (handle_accel_ != nullptr) { EXPECT_EQ(xfpga_fpgaClose(handle_accel_), FPGA_OK); } + xfpga_plugin_finalize(); + system_->finalize(); + } + + fpga_handle handle_dev_; + fpga_handle handle_accel_; + std::array tokens_dev_; + std::array tokens_accel_; + fpga_properties filter_dev_; + fpga_properties filter_accel_; + uint32_t num_matches_; + test_platform platform_; + test_system *system_; +}; + +/** +* @test afu_usrclk_01 +* @brief Tests: fpac_GetErrMsg and fv_BugLog +* @details fpac_GetErrMsg returns error string +* fv_BugLog sets bug log +*/ +TEST(usrclk_c, afu_usrclk_01) { + //Get error string + const char * pmsg = fpac_GetErrMsg(1); + EXPECT_EQ(NULL, !pmsg); + + //Get error string + pmsg = fpac_GetErrMsg(5); + EXPECT_EQ(NULL, !pmsg); + + //Get error string + pmsg = fpac_GetErrMsg(16); + EXPECT_EQ(NULL, !pmsg); + + //Get error string for invlaid index + pmsg = NULL; + pmsg = fpac_GetErrMsg(17); + EXPECT_STREQ("ERROR: MSG INDEX OUT OF RANGE", pmsg); + + //Get error string for invlaid index + pmsg = NULL; + pmsg = fpac_GetErrMsg(-1); + EXPECT_STREQ("ERROR: MSG INDEX OUT OF RANGE", pmsg); + + fv_BugLog(1); + + fv_BugLog(2); + +} + +/** +* @test set_user_clock +* @brief Tests: set_userclock +* @details When the sysfs path is NULL, set_userclock +* returns FPGA_INVALID_PARAM. +*/ +TEST(usrclk_c, set_userclock_null) { + fpga_result result; + + // Null handle + result = set_userclock(NULL, 0, 0); + EXPECT_EQ(result, FPGA_INVALID_PARAM); +} + +/** +* @test get_user_clock +* @brief Tests: get_userclock +* @details When the sysfs path is NULL, get_userclock +* returns FPGA_INVALID_PARAM. +*/ +TEST(usrclk_c, get_userclock_null) { + fpga_result result; + + // Null handle + result = get_userclock(NULL, 0, 0); + EXPECT_EQ(result, FPGA_INVALID_PARAM); +} + +/** +* @test fi_run_initz +* @brief Tests: fi_RunInitz +* @details When the sysfs path is NULL, fi_RunInitz +* returns -1. +*/ +TEST(usrclk_c, fi_run_initz) { + EXPECT_EQ(-1, fi_RunInitz(NULL)); +} + +/** +* @test fpga_set_user_clock +* @brief Tests: fpgaSetUserClock +* @details fpgaSetUserClock +*/ +TEST_P(usrclk_c, set_user_clock_neg) { + fpga_result result; + int flags = 0; + + // Null handle + result = xfpga_fpgaSetUserClock(NULL, 0, 0, flags); + EXPECT_EQ(result, FPGA_INVALID_PARAM); + + // Invalid object type + ASSERT_EQ(FPGA_OK, xfpga_fpgaOpen(tokens_dev_[0], &handle_dev_, 0)); + + // Invalid clk + result = xfpga_fpgaSetUserClock(handle_dev_, 0, 0, flags); + EXPECT_EQ(result, FPGA_INVALID_PARAM); + + // Valid clk + result = xfpga_fpgaSetUserClock(handle_dev_, 312, 156, flags); + EXPECT_EQ(result, FPGA_INVALID_PARAM); + + // Valid object type + ASSERT_EQ(FPGA_OK, xfpga_fpgaOpen(tokens_accel_[0], &handle_accel_, 0)); + + // Invalid clk + result = xfpga_fpgaSetUserClock(handle_accel_, 0, 0, flags); + EXPECT_EQ(result, FPGA_INVALID_PARAM); + + struct _fpga_handle *_handle = (struct _fpga_handle *)handle_accel_; + int fddev = _handle->fddev; + + // Token not found + _handle->token = NULL; + result = xfpga_fpgaSetUserClock(handle_accel_, 312, 156, flags); + EXPECT_EQ(result, FPGA_INVALID_PARAM); + + // Invalid file handle descriptor + _handle->fddev = -1; + result = xfpga_fpgaSetUserClock(handle_accel_, 312, 156, flags); + EXPECT_EQ(result, FPGA_INVALID_PARAM); + + _handle->fddev = fddev; +} + +/** +* @test fpga_get_user_clock +* @brief Tests: fpgaGetUserClock +* @details fpgaGetUserClock +*/ +TEST_P(usrclk_c, get_user_clock_neg) { + fpga_result result; + uint64_t high; + uint64_t low; + int flags = 0; + + // Null handle + result = xfpga_fpgaGetUserClock(NULL, &high, &low, flags); + EXPECT_EQ(result, FPGA_INVALID_PARAM); + + // Invalid object type + ASSERT_EQ(FPGA_OK, xfpga_fpgaOpen(tokens_dev_[0], &handle_dev_, 0)); + + // Valid params, invalid object type + result = xfpga_fpgaGetUserClock(handle_dev_, &high, &low, flags); + EXPECT_EQ(result, FPGA_INVALID_PARAM); + + // Valid object type + ASSERT_EQ(FPGA_OK, xfpga_fpgaOpen(tokens_accel_[0], &handle_accel_, 0)); + + struct _fpga_handle *_handle = (struct _fpga_handle *)handle_accel_; + int fddev = _handle->fddev; + + // Token not found + _handle->token = NULL; + result = xfpga_fpgaGetUserClock(handle_accel_, &high, &low, flags); + EXPECT_EQ(result, FPGA_INVALID_PARAM); + + // Invalid file handle descriptor + _handle->fddev = -1; + result = xfpga_fpgaGetUserClock(handle_accel_, &high, &low, flags); + EXPECT_EQ(result, FPGA_INVALID_PARAM); + + _handle->fddev = fddev; +} + +/** + * @test get_user_clock + * @brief Tests: xfpga_fpgaGetUserClock() + * @details When the parameters are valid, fpgaGetUserClock returns + * FPGA_OK. + */ +TEST_P(usrclk_c, get_user_clock) { + uint64_t high = 999; + uint64_t low = 999; + int flags = 0; + ASSERT_EQ(xfpga_fpgaOpen(tokens_accel_[0], &handle_accel_, flags), + FPGA_OK); + EXPECT_EQ(xfpga_fpgaGetUserClock(handle_accel_, &high, &low, flags), + FPGA_OK); + EXPECT_NE(high, 999); + EXPECT_NE(low, 999); +} + +INSTANTIATE_TEST_CASE_P(usrclk, usrclk_c, + ::testing::ValuesIn(test_platform::platforms({ "skx-p","dcp-rc" }))); + +class usrclk_mock_c : public usrclk_c {}; + +/** + * @test set_user_clock + * @brief Tests: xfpga_fpgaSetUserClock() + * @details When the parameters are valid, fpgaGetUserClock returns + * FPGA_NOT_SUPPORTED on mock platforms. + */ +TEST_P(usrclk_mock_c, set_user_clock) { + uint64_t high = 312; + uint64_t low = 156; + int flags = 0; + ASSERT_EQ(xfpga_fpgaOpen(tokens_accel_[0], &handle_accel_, flags), + FPGA_OK); + EXPECT_EQ(xfpga_fpgaSetUserClock(handle_accel_, high, low, flags), + FPGA_NOT_SUPPORTED); +} + +INSTANTIATE_TEST_CASE_P(usrclk, usrclk_mock_c, + ::testing::ValuesIn(test_platform::mock_platforms())); + +class usrclk_hw_c : public usrclk_c {}; + +/** + * @test set_user_clock + * @brief Tests: xfpga_fpgaSetUserClock() + * @details When the parameters are valid, fpgaGetUserClock returns + * FPGA_OK. + */ +TEST_P(usrclk_hw_c, set_user_clock) { +uint64_t high = 312; + uint64_t low = 156; + int flags = 0; + ASSERT_EQ(xfpga_fpgaOpen(tokens_accel_[0], &handle_accel_, flags), + FPGA_OK); + EXPECT_EQ(xfpga_fpgaSetUserClock(handle_accel_, high, low, flags), + FPGA_OK); +} + +INSTANTIATE_TEST_CASE_P(usrclk, usrclk_hw_c, + ::testing::ValuesIn(test_platform::hw_platforms({ "skx-p","dcp-rc" }))); diff --git a/opae-libs/tests/xfpga/test_version_c.cpp b/opae-libs/tests/xfpga/test_version_c.cpp new file mode 100644 index 0000000..cdc2bee --- /dev/null +++ b/opae-libs/tests/xfpga/test_version_c.cpp @@ -0,0 +1,154 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include +#include "gtest/gtest.h" +#include "xfpga.h" +#include "mock/test_system.h" +#ifdef __cplusplus +extern "C" { +#endif + +#include "config.h" + +#ifdef __cplusplus +} +#endif + +using namespace opae::testing; +/** + * @test version_01 + * + * @brief When I retrieve fpga_version information using + * xfpga_fpgaGetOPAECVersion using a NULL pointer as the return buffer, + * the function returns FPGA_INVALID_PARAM. + */ +TEST(version_c, version_01) { + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaGetOPAECVersion(NULL)); +} + +/** + * @test version_02 + * + * @brief When I retrieve fpga_version information using + * xfpga_fpgaGetOPAECVersion, the returned values match the constants + * defined in config_int.h (and the function returns FPGA_OK) + */ +TEST(version_c, version_02) { + fpga_version version = { 0xFF, 0xFF, 0xFFFF }; + + EXPECT_EQ(FPGA_OK, xfpga_fpgaGetOPAECVersion(&version)); + EXPECT_EQ(OPAE_VERSION_MAJOR, version.major); + EXPECT_EQ(OPAE_VERSION_MINOR, version.minor); + EXPECT_EQ(OPAE_VERSION_REVISION, version.patch); +} + +/** + * @test version_03 + * + * @brief When I retrieve fpga_version information using + * xfpga_fpgaGetOPAECVersionString using a NULL pointer as the return + * buffer, the function returns FPGA_INVALID_PARAM. + */ +TEST(version_c, version_03) { + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaGetOPAECVersionString(NULL, 42)); +} + +/** + * @test version_04 + * + * @brief When I retrieve fpga_version information using + * xfpga_fpgaGetOPAECVersionString using a size shorter than the minimum + * possible (which is 6) the function returns FPGA_INVALID_PARAM. + */ +TEST(version_c, version_04) { + char str[80]; + + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaGetOPAECVersionString(str, 5)); +} + +/** + * @test version_05 + * + * @brief When I retrieve fpga_version information using + * xfpga_fpgaGetOPAECVersionString, the returned string represents + * a string comprised of the constants defined in config_int.h + * (and the function returns FPGA_OK) + */ +TEST(version_c, version_05) { + char want[80]; + char have[80]; + + snprintf(want, 80, "%d.%d.%d", + OPAE_VERSION_MAJOR, + OPAE_VERSION_MINOR, + OPAE_VERSION_REVISION + ); + + EXPECT_EQ(FPGA_OK, xfpga_fpgaGetOPAECVersionString(have, 80)); + EXPECT_STREQ(want, have); +} + +/** + * @test version_06 + * + * @brief When I retrieve fpga_version information using + * xfpga_fpgaGetOPAECBuildString using a NULL pointer as the return + * buffer, the function returns FPGA_INVALID_PARAM. + */ +TEST(version_c, version_06) { + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaGetOPAECBuildString(NULL, 42)); +} + +/** + * @test version_07 + * + * @brief When I retrieve fpga_version information using + * xfpga_fpgaGetOPAECBuildString using a size of 0 + * the function returns FPGA_INVALID_PARAM. + */ +TEST(version_c, version_07) { + char str[80]; + + EXPECT_EQ(FPGA_INVALID_PARAM, xfpga_fpgaGetOPAECBuildString(str, 0)); +} + +/** + * @test version_08 + * + * @brief When I retrieve fpga_version information using + * xfpga_fpgaGetOPAECBuildString, the returned string equals + * the constant defined in config_int.h (and the + * function returns FPGA_OK) + */ +TEST(version_c, version_08) { + char want[] = OPAE_GIT_COMMIT_HASH; + char have[80]; + + EXPECT_EQ(FPGA_OK, xfpga_fpgaGetOPAECBuildString(have, 80)); + EXPECT_STREQ(want, have); +} + diff --git a/opae-libs/tests/xfpga/test_wsid_list_c.cpp b/opae-libs/tests/xfpga/test_wsid_list_c.cpp new file mode 100644 index 0000000..1eabd79 --- /dev/null +++ b/opae-libs/tests/xfpga/test_wsid_list_c.cpp @@ -0,0 +1,191 @@ +// Copyright(c) 2017-2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef __cplusplus + +extern "C" { +#endif +#include +#include "wsid_list_int.h" + +#ifdef __cplusplus +} +#endif +#include +#include +#include +#include "gtest/gtest.h" + +#ifndef BUILD_ASE + /* + * On hardware, the mmio map is a hash table. + */ +static bool mmio_map_is_empty(struct wsid_tracker *root) { + if (!root || (root->n_hash_buckets == 0)) + { return true; } + else{ + uint64_t i; + for (i = 0; i < root->n_hash_buckets; ++i) { + if (root->table[i]) + { return false; } + } + } + return true; +} +#else + /* + * In ASE, the mmio map is a list. + */ +static bool mmio_map_is_empty(struct wsid_map *root) { + return !root; +} +#endif + +// define some operators to alter index consistently +constexpr uint64_t index_to_wsid(uint64_t i) { return i * 6; } +constexpr uint64_t index_to_addr(uint64_t i) { return i * 5; } +constexpr uint64_t index_to_phys(uint64_t i) { return i * 4; } +constexpr uint64_t index_to_len(uint64_t i) { return i * 3; } +constexpr uint64_t index_to_offset(uint64_t i) { return i * 2; } +constexpr uint64_t index_to_index(uint64_t i) { return i * 1; } +constexpr uint64_t index_to_flags(uint64_t i) { return i * i; } + +static uint64_t stress_count = 0; + +void cleanup_cb(wsid_map *ws) { (void) ws; stress_count--; } + +class wsid_list_f : public ::testing::Test { + protected: + wsid_list_f() + : wsid_root_(nullptr) {} + + virtual void SetUp() override { + wsid_root_ = wsid_tracker_init(1000); + count_ = 100; + distribution_ = std::uniform_int_distribution(0, count_); + uint64_t i; + for (i = 0; i < count_; ++i) { + EXPECT_TRUE(wsid_add(wsid_root_, index_to_wsid(i), index_to_addr(i), + index_to_phys(i), index_to_len(i), + index_to_offset(i), index_to_index(i), + index_to_flags(i))); + } + } + + virtual void TearDown() override { + auto cleanup = [](struct wsid_map *w) -> void { + EXPECT_EQ(w->wsid, index_to_wsid(w->index));}; + + bool empty = mmio_map_is_empty(wsid_root_); + if ( !empty ) { + wsid_tracker_cleanup(wsid_root_, cleanup); + wsid_root_ = nullptr; + } + } + + struct wsid_tracker *wsid_root_; + uint64_t count_; + std::default_random_engine generator_; + std::uniform_int_distribution distribution_; +}; + +/* + * @test wsid_init_neg + * + * @details When wsid_tracker_init()'s n_hash_buckets parameter + * is greater then the max, the function returns NULL. + */ +TEST_F(wsid_list_f, wsid_init_neg) { + EXPECT_EQ(wsid_tracker_init(123456789), nullptr); +} + +TEST_F(wsid_list_f, wsid_add) { + // the setup adds, now we just confirm that it added the right data + wsid_map *it = nullptr; + int i = count_; + while (i-- >= 0) { + it = wsid_find_by_index(wsid_root_, i); + if (it) { + EXPECT_EQ(it->wsid, index_to_wsid(i)); + EXPECT_EQ(it->addr, index_to_addr(i)); + EXPECT_EQ(it->phys, index_to_phys(i)); + EXPECT_EQ(it->len, index_to_len(i)); + EXPECT_EQ(it->offset, index_to_offset(i)); + EXPECT_EQ(it->index, index_to_index(i)); + ASSERT_EQ(it->flags, index_to_flags(i)); + it = nullptr; + } + } + it = nullptr; +} + +TEST_F(wsid_list_f, wsid_del) { + uint32_t wsid = index_to_wsid(distribution_(generator_)); + EXPECT_TRUE(wsid_del(wsid_root_, wsid)); + wsid_map *it = wsid_find(wsid_root_, wsid); + // now look for the wsid in the list + while (it != nullptr) { + if (it->wsid == wsid) { + break; + } + it = it->next; + } + // it is null when we've looked at whole list without finding wsid + EXPECT_EQ(it, nullptr); + // it isn't there so we shouldn't be able to delete it again + EXPECT_FALSE(wsid_del(wsid_root_, wsid)); +} + +TEST_F(wsid_list_f, wsid_find) { + uint32_t index = distribution_(generator_); + wsid_map *ws = wsid_find_by_index(wsid_root_, index); + ASSERT_NE(ws, nullptr); + EXPECT_EQ(ws->wsid, index_to_wsid(index)); +} + +TEST_F(wsid_list_f, wsid_find_by_index) { + uint64_t index = distribution_(generator_); + wsid_map *ws = wsid_find(wsid_root_, index_to_wsid(index)); + ASSERT_NE(ws, nullptr); + EXPECT_EQ(ws->index, index); +} + +TEST_F(wsid_list_f, stress) { + uint64_t count = count_; + // FIXME: wsid_add can result in process being killed (out of memory) if it's + // called too many times. + uint64_t count_max = 1024; + for (count = count_; count < count_max; ++count) { + EXPECT_TRUE(wsid_add(wsid_root_, index_to_wsid(count), + index_to_addr(count), index_to_phys(count), + index_to_len(count), index_to_offset(count), + index_to_index(count), index_to_flags(count))); + } + stress_count = count; + wsid_tracker_cleanup(wsid_root_, cleanup_cb); + EXPECT_EQ(stress_count, 0); + wsid_root_ = nullptr; +} diff --git a/plugin/README.md b/plugin/README.md new file mode 100644 index 0000000..8e9c083 --- /dev/null +++ b/plugin/README.md @@ -0,0 +1,350 @@ +# Plugin Architecture # +The OPAE Plugin Architecture describes the interfaces and data structures +involved in designing and building the core plugin framework, OPAE compatible +plugins, and an OPAE application that uses the OPAE API. An OPAE plugin is a +software library that can be loaded dynamically at runtime and is either +specific to a given platform or is a proxy for one or more remote endpoints. +OPAE plugins use the OPAE API for their prototype definitions but are free to +use any internal data structures and functions in their implementations. +While it is not required that a plugin implements the complete OPAE API, it +is required, however, to adhere to the plugin interface. Futhermore, any OPAE +API functions implemented by a plugin must follow their corresponding +function interfaces as defined in the OPAE API specification. + +## Objective ## +The objective of this document is to provide architectural details about the +plugin interface as well as the Plugin Manager, the Plugin Loader, and an OPAE +plugin. + +The requirements for the Plugin Architecture are as follows: +* Describe plugin types. +* Define the plugin interface. + This is how plugins register with the OPAE Plugin Manager and includes + defining API functions as well as plugin configuration functions. +* Describe how OPAE API calls are forwarded to an appropriate implementation. +* Define the C API that applications link to. This API will: + * Be a superset of the APIs defined in the existing OPAE C API and any other + extension APIs. + * Define functions that control how the system is configured and initialized. +* Use as much of the existing OPAE APIs as possible with few modifications to the API. +* Define a configuration schema that can be used to configure: + * What plugins to load. + * Plugin-specific parameters. + * Policies for how OPAE APIs are enabled and connected at runtime. + * Policies for error handling. + +While it is possible to use the Plugin Manager to design a framework +for pooling of OPAE resources, that is outside of the scope of this document. +While this document and any samples in this document may refer to using remote +resources, details of how to manage and connect to remote endpoints are also +out of scope for the plugin architecture, although proxy or remote resources may +be mentioned. + + +## High Level Design ## +In order for a plugin design to be scalable and extensible, some data +structures and operations should be decoupled and abstracted with well-defined +interfaces that are used to connect the different components. For OPAE, the +components that make up the plugin design are the Plugin Manager, Plugin +Loader, and the Plugin libraries. The following provide brief descriptions of +these components. More detailed descriptions of these components and their +interfaces are provided later in this document. + +### The Plugin Manger ### + The Plugin Manager implements the OPAE C API and is responsible for delegating + its calls to the appropriate plugin. + +### The Plugin Loader ### + The plugin loader can be considered a component of the Plugin Manager. + Its job is to load plugins and initialize them. + +### Plugin Types ### +The OPAE codebase and library will include a set of default or native plugins +that require little to no configuration. The goal of these plugins is to: +* Be backwards compatible with the devices/drivers currently supported by OPAE. +* Support remote resources via RDMA transport. + +### Plugin ### + A plugin is a library that implements functions defined in the OPAE API + specification. It is called by the Plugin Manager to discover or operate + on OPAE resources. + + +## Interface Design ## + + +### Plugin Interface ### +The following list describes features that are compatible with the Plugin Manager and Plugin Loader: + + +* It must implement a configuration routine in a function called + `opae_plugin_configure` to provide a mechanism for any necessary + configuration of the plugin. It must follow the following function + signature: + * The function takes two arguments of type `opae_api_adapter_table *` and `const char *`. + * The first argument is a pointer to an adapter table structure that the + Plugin Manager has allocated and pre-initialized. The plugin will set + both the API function pointers here as well as the function pointers used + by the plugin framework. + + * The second is a pointer to the configuration data which will be encoded + in a JSON structure. In order to avoid introducing dependencies on + other libraries, it will be expected that the JSON structure be + serialized before passing it to the plugin. It is up to the plugin to + determine how it will deserialize the configuration data. + * The function must return zero (0) upon successful configuration and a + non-zero value otherwise. It is up to the plugin developer to define and + document return codes. + + The following is an example of the configuration function declaration: + ```C + int opae_plugin_configure(opae_api_adapter_table *table, const char *jsonConfig); + ``` + +* It may define an optional initialization routine in a function called `opae_plugin_initialize` to provide a mechanism for initialization of the plugin. It must follow the function signature: + * The function takes no arguments. + * The function must return zero (0) upon successful initialization and a non-zero value otherwise. It is up to the plugin developer to define and document return codes. + + The following is an example of the initialization function declaration: + ```C + int opae_plugin_initialize(void); + ``` + +* It may define an optional finalization routine in a function called `opae_plugin_finalize` to provide a mechanism for plugin finalization (or any cleanup routines). It must follow the function signature: + * The function takes no arguments. + * The function must return zero (0) upon successful initialization and a non-zero value otherwise. It is up to the plugin developer to define and document return codes. + + The following is an example of the finalization function declaration: + ```C + int opae_plugin_finalize(void); + ``` + +* It may define two optional functions used to indicate if the plugin supports + devices based on the device type or the device host. Both functions return + bool and both functions take one argument of type `const char*`. The plugin will + use the argument to determine if a device is supported, returning true + if the device is supported and false otherwise. + If either of these functions is set in the adapter table, the function will + be called by the OPAE library during enumeration to determine if + `fpgaEnumerate` should be called in the plugin. + +* The `opae_api_adapter_table` is used to fill out a plugin's API and + initialization/finalization functions. This structure looks something like: + + ```C + struct opae_api_adapter_table { + ... + + fpga_result (*fpgaEnumerate)(const fpga_properties *, uint32_t, fpga_token *, uint32_t, uint32_t *); + fpga_result (*fpgaOpen)(fpga_token, fpga_handle *, int); + fpga_result (*fpgaClose)(fpga_handle); + // ... Other API functions + + // configuration functions + int (*initialize)(void); + int (*finalize)(void); + + // first-level query + bool (*supports_device)(const char *device_type); + bool (*supports_host)(const char *hostname); + + } + ``` + +* Any OPAE API functions it implements must use the same function signature as +defined by the OPAE API specification. + +* The configuration interfaces implemented must have the ABI visibility set to + default. This allows the Plugin Manager to lookup this symbol and call it. + It is implicitly set by not setting the visibility attribute or by + explicitly setting it to default as listed in the example below: + ```C + #define DLL_PUBLIC __attribute__((visibility ("default"))) + + int DLL_PUBLIC opae_plugin_configure(opae_api_adapter_table *a, const char *c); + ``` + +#### Required Changes to OPAE API #### +Two new properties will be introduced to the `fpga_properties` structure to +aid in filtering and identifying resources by device type or by host. These +two properties are: +* `host` + + This can be a host name or an IP address used to indicate a remote host. + For backwards compatibility, the absence of this property in an + `fpga_properties` structure will indicate resources on the local host. A + proxy plugin for remote endpoints should set the host name of the + corresponding endpoints here. To aid in filtering for resources generically + by host, the following three keywords will be reserved: + * `localhost` + This is equivalent to not including a host property and refers to + resources on the local host. + * `^localhost` + This will be used to indicate that the matching criteria exclude local + resources (only include resources from remote hosts). + * `*` + This is a wildcard used to indicate resources on any host (which can + be local or remote). + +* `device type` + + This is an enumeration and is used to indicate the device type (or device + family) similar to `device id`. However, the difference with `device id` is + that a `device id` refers to the ID registered with the PCI ID repository and + is unique to one product releaes. A `device type` is broader and refers to a + family of devices that include one or more `device ids`. The enumerated + values are TBD. + +The accessor methods that will be added to the OPAE API are: +* `fpgaPropertiesSetHost(const fpga_properties, fpga_token *, char *)` +* `fpgaPropertiesGetHost(const fpga_properties, fpga_token *, char *)` +* `fpgaPropertiesSetDeviceType(const fpga_properties, fpga_device_type)` +* `fpgaPropertiesGetDeviceType(const fpga_properties, fpga_device_type *)` + +## Component Designs ## + +Because the data structures defined in the OPAE API are opaque types, any +implementation of the API (including the Plugin Manager) is free to define its +own versions of the concrete types to fit its own implementation. + +The Plugin Manager defines its internal versions of these concrete types as +data structures that are composed of both the adapter table and the plugin's instance +of an opaque type. The Plugin Manager will then use this association to forward +calls to appropriate function pointers in the adapter table. + +### Plugin Manager ### + +The Plugin Manager is the software component that is linked as a shared library +and implements the OPAE C API. Because it implements the OPAE C API, it can be +linked at runtime by any application that links against the API. It will then +forward API calls to the appropriate plugins that have been loaded. + +The Plugin Manager parses the plugins section of the configuration file to +determine the list of plugins to load. +The manager then invokes the Plugin Loader to load each plugin. The result of +loading a plugin is the adapter table for the plugin. The Plugin Manager +maintains the following mappings: + +* Each API adapter table is mapped to its plugin. +* Each enumerated `fpga_token` is mapped to its plugin. +* Each opened `fpga_handle` is mapped to its plugin. + +#### Enumeration #### + +When the API's main `fpgaEnumerate` is called, the Plugin Manager iterates +over each loaded plugin, using its adapter table to call the plugin's +`fpgaEnumerate` entry point. The tokens resulting from an individual +plugin enumeration are each mapped to the originating plugin. Finally, +the tokens are collected into the token array for returning to the caller. + +#### Opening a device #### + +When the API's main `fpgaOpen` is called, the Plugin Manager resolves +the given token to its plugin. The adapter table's `fpgaOpen` is then +invoked. Finally, the resulting `fpga_handle` is mapped to its +originating plugin, and the handle is returned to the caller. + +### Plugin Loader ### + +The plugin loader is responsible for opening each plugin and constructing a +plugin adapter table based on the contained API entry points. The loader +calls opae_plugin_configure(), passing a pre-initialized adapter table object +and any relevant configuration data. It is expected that the plugin set +function pointer fields in the adapter table that point to API functions +implemented by the plugin. The adapter table also has fields for setting +functions defined in the plugin that can be called by the Plugin Manager for +non API related functionality. These include initialization, finalization, and +pre-filtering functions that can be used for plugin selection during +enumeration. + +### Configuration Schema ### +The OPAE Plugin system will use JSON for defining any runtime configuration +parameters. This includes the list of plugins to load, their instance names, +and their individual configuration data. + +```JSON +{ + "plugins": + [ + { + "module": "libopae-net-proxy", + "name": "tcp-proxy1", + "config": { + "transport": "tcp", + "discovery": "none", + "hosts": ["host1", "host2", "host3"] + }, + "load_policy" : {} + }, + { + "module": "libopae-net-proxy", + "name": "rdma-proxy1", + "config": { + "transport": "rdma", + "discovery": "none", + "hosts": ["host1", "host2", "host3"] + }, + "load_policy": {} + } + ] +} +``` + +## Example Use Case ## + +The diagrams below illustrate a case of a client application linking to the +plugin-enabled OPAE library. The Plugin Manager in OPAE is responsible for +managing plugins and forwarding API function calls to any plugins that have +been registered. The Plugin Manager wraps any API data structures +(`fpga_token`, `fpga_handle`) created by API functions in any of its +registered plugins in its own version of the data structures before returning +them to the client application. When operating on its own API data +structures, it will unwrap them to get a plugin's API data structure along +with its adapter table. + +### Initialization ### +This first diagram below shows the initialization sequence when the OPAE +library is first loaded. The initialization routine in the OPAE library can +either be called explicitly from the client application or implicitly by the +library's constructor. In either case, when the initialization routine is +called, it parses the configuration file to get configuration parameters for +any of its plugins (including native plugins). As mentioned in [Plugin +Types](#plugin-types) section, native plugins should require little or no +configuration. However, any configuration parameters that can be overridden +can be included in the configuration file. See the psuedo-code for the +[Plugin Manager](cpseudo.md#plugin-manager) and [Plugin +Loader](cpseudo.md#plugin-loader) for skeleton implementations. +![plugin initialization](plugin_init.svg) + +### OPAE Stack ### +Calling OPAE API functions requires going up and down the OPAE SW stack. +These examples refer to the SW stack with respect to the OPAE usermode APIs. +Refer to the pseudocode for skeleton implementations of routines for API +functions in the [OPAE Stack](cpseudo.md#opae-stack). + +#### Enumeration #### +This next diagram below shows the enumeration flow from a client application +to an arbitrary plugin, A. The filter, a set of `fpga_properties` objects, is +passed to the plugin. Upon successful enumeration by the plugin, it returns a +set of `fpga_token` structures (A_tokens) to the caller (the +OPAE.PluginManager). For each token in the returned tokens, the Plugin +Manager wraps these tokens into its own internal token data structure which +is composed of the token from the plugin and the adapter table that contains +the plugin's API functions. + +![enumeration](plugin_enum.svg) + +#### Opening a Resource #### +Opening a resource requires unwrapping an `fpga_token` object by the Plugin +Manager to get both the plugin's adapter table and the plugin's version of +the `fpga_token` object. It then calls the `open` function in the adapter +table with the plugin's token (or the wrapped token). The diagram below shows +this sequence of events. + +![opening a resource](plugin_open.svg) + +#### Remote Enumeration #### +The pseudo-code for [Proxy Plugins](cpseudo.md#proxy-plugins) outlines notional +implementations for the two kinds of proxy plugins. The diagram below +illustrates the general sequence. +![remote enumeration](plugin_remote.svg) diff --git a/plugin/cpseudo.md b/plugin/cpseudo.md new file mode 100644 index 0000000..652d0e5 --- /dev/null +++ b/plugin/cpseudo.md @@ -0,0 +1,572 @@ +### OPAE API Data Structures ### +`fpga_token` and `fpga_handle` are opaque types. This allows them to be +easily wrapped at any level of the plugin stack. + +```c +#ifndef __OPAE_TYPES_H__ +#define __OPAE_TYPES_H__ + +typedef void *fpga_token; +typedef void *fpga_handle; + +#endif +``` + +### Plugin Manager ### +The plugin manager tracks each loaded plugin in its list of OPAE +API adpater tables. + +```c +#ifndef __OPAE_PLUGIN_MGR_H__ +#define __OPAE_PLUGIN_MGR_H__ + +int opae_plugin_mgr_initialize(const char *cfg_file) +{ + opae_plugin_mgr_parse_config(json_open(cfg_file)); +} + +int opae_plugin_mgr_parse_config(json_object *jobj) +{ + (parse jobj to plugins section of config file) + + return opae_plugin_ldr_load_plugins(jobj); +} + +int opae_plugin_mgr_register_adapter(opae_api_adapter_table *adapter) +{ + (add adapter to plugin mgr's adapter table list) +} + +#endif +``` + +### Plugin Loader ### +The plugin loader provides the basic facilities for locating and +loading OPAE plugins, given a description of the desired plugins +in a formatted configuration file (JSON). The loader registers +each loaded plugin with the plugin manager. + + +```c +#ifndef __OPAE_PLUGIN_LDR_H__ +#define __OPAE_PLUGIN_LDR_H__ + +typedef struct _opae_plugin { + char *path; // location on file system + void *dlhandle; // handle to the loaded library instance +} opae_plugin; + +typedef struct _opae_api_adapter_table { + opae_plugin plugin; + + fpga_result (*fpgaEnumerate)(const fpga_properties *filters, + uint32_t num_filters, + fpga_token *tokens, + uint32_t max_tokens, + uint32_t *num_matches); + + fpga_result (*fpgaOpen)(fpga_token token, + fpga_handle *handle, + int flags); + + ... + + + // configuration functions + int (*initialize)(void); + int (*finalize)(void); + + // first-level query + bool (*supports_device(const char *device_type); + bool (*supports_host)(const char *hostname); +} opae_api_adapter_table; + +typedef struct _opae_wrapped_token { + fpga_token opae_token; + opae_api_adapter_table *adapter_table; +} opae_wrapped_token; + +typedef struct _opae_wrapped_handle { + opae_wrapped_token *wtok; + fpga_handle opae_handle; + opae_api_adapter_table *adapter_table; +} opae_wrapped_handle; + +int opae_plugin_ldr_load_plugins(json_object *jobj) +{ + opae_plugin pl; + opae_api_adapter_table *adapter; + + for (each plugin pl described in jobj) { + + jobj_for_pl = (plugin section of jobj describing + the current plugin, pl) + + (open pl) + + (load function pointer for 'opae_plugin_configure') + + (allocate and init adapter to 0) + + if (!opae_plugin_configure(adapter, serialize(jobj_for_pl))) { + + if (adapter->initialize) { + if (adapter->initialize()) { + (fail the current plugin) + continue; + } + } + + opae_plugin_mgr_register_adapter(adapter); + + } + + } +} + +#endif +``` + +### OPAE Stack ### +The OPAE API consists of the plugin manager, the plugin loader, +and 'shell' implementations of the superset of library calls. +Each 'shell' library call uses the adapter table(s) to call through +to the appropriate plugin implementation. + +```c +#ifndef __OPAE_API_H__ +#define __OPAE_API_H__ + +fpga_result fpgaEnumerate(const fpga_properties *filters, + uint32_t num_filters, + fpga_token *tokens, + uint32_t max_tokens, + uint32_t *num_matches) +{ + *num_matches = 0; + fpga_token *pl_tokens = NULL; + + if (tokens) { + pl_tokens = (allocate local tokens array) + } + + for (each adapter in plugin_mgr adapter list) { + uint32_t pl_matches = 0; + + if (adapter->supports_device) { + (use adapter->supports_device to accept/reject + adapter, based on filters) + + if (device not supported) + continue; + } + + if (adapter->supports_host) { + (use adapter->supports_host to accept/reject + adapter, based on filters) + + if (host not supported) + continue; + } + + adapter->fpgaEnumerate(filters, num_filters, + pl_tokens, max_tokens - *num_matches, + &pl_matches); + + *num_matches += pl_matches; + + if (!pl_tokens) { // request for num_matches only + continue; + } + + for (each fpga_token tok in pl_tokens) { + + opae_wrapped_token *wtok = alloc_wrapped_token(); + + wtok->opae_token = tok; + wtok->adapter_table = adapter; (map wtok to plugin) + + tokens[i] = wtok; + } + + if (*num_matches == max_tokens) { + break; + } + } + + free(pl_tokens); +} + +fpga_result fpgaOpen(fpga_token token, + fpga_handle *handle, + int flags) +{ + fpga_handle h; + opae_wrapped_token *wtok = (opae_wrapped_token *) token; + + wtok->adapter_table->fpgaOpen(wtok->opae_token, &h, flags); + + opae_wrapped_handle *whandle = alloc_wrapped_handle(); + + whandle->wtok = wtok; + whandle->opae_handle = h; + whandle->adapter_table = wtok->adapter_table; + + *handle = whandle; +} + +#endif +``` +### Proxy Plugins ### +A TCP/IP OPAE plugin uses network sockets to implement the control +protocol exchange, but may utilize facilities such as RDMA for data +exchange. + +```c +#ifndef __MY_TCP_IP_PLUGIN_H__ +#define __MY_TCP_IP_PLUGIN_H__ + +typedef struct _my_tcp_ip_plugin_host_list { + char *hostname; + int port; + struct addrinfo *addr_info; + int conn_socket; + struct _my_tcp_ip_plugin_host_list *next; +} my_tcp_ip_plugin_host_list; + +static my_tcp_ip_plugin_host_list *host_list = NULL; + +typedef struct _my_tcp_ip_enumerate_response { + ... +} my_tcp_ip_enumerate_response; + +typedef struct _my_tcp_ip_plugin_token { + my_tcp_ip_enumerate_response *response_token; + my_tcp_ip_plugin_host_list *host; +} my_tcp_ip_plugin_token; + +typedef struct _my_tcp_ip_open_response { + ... +} my_tcp_ip_open_response; + +typedef struct _my_tcp_ip_plugin_handle { + my_tcp_ip_plugin_token *token; + my_tcp_ip_plugin_host_list *host; + my_tcp_ip_open_response *response_handle; +} my_tcp_ip_plugin_handle; + +int opae_plugin_configure(opae_api_adapter_table *table, const char *jsonConfig) +{ + json_object *jobj; + + jobj = deserialize(jsonConfig); + + for (each host in jobj) { + + add_list(&host_list, alloc_host_entry(host.name, host.port)); + + } + + for (each OPAE api in this plugin) { + + (add api to table) + + } + + table->initialize = my_tcp_ip_plugin_initialize; + table->finalize = my_tcp_ip_plugin_finalize; + + table->supports_host = my_tcp_ip_plugin_supports_host; +} + +int my_tcp_ip_plugin_initialize(void) +{ + for (each host in host_list) { + + connect_host(host); + + } +} + +int my_tcp_ip_plugin_finalize(void) +{ + for (each host in host_list) { + + disconnect_host(host); + free(host); + + } +} + +bool my_tcp_ip_plugin_supports_host(const char *hostname) +{ + for (each host in host_list) { + + if (this plugin supports hostname) + return true; + + } + return false; +} + +fpga_result fpgaEnumerate(const fpga_properties *filters, + uint32_t num_filters, + fpga_token *tokens, + uint32_t max_tokens, + uint32_t *num_matches) +{ + my_tcp_ip_enumerate_response *response_tokens; + uint32_t num_responses = 0; + + for (each host in host_list) { + + send_enumerate_request(host, filters, ...); + + recv_enumerate_response(&response_tokens, &num_responses); + + for (each resp in response_tokens) { + + my_tcp_ip_plugin_token *tok = my_tcp_ip_alloc_token(); + + tok->response_token = resp; + tok->host = host; + + tokens[i] = tok; + } + } +} + +fpga_result fpgaOpen(fpga_token token, + fpga_handle *handle, + int flags) +{ + my_tcp_ip_plugin_token *plugin_token = (my_tcp_ip_plugin_token *) token; + my_tcp_ip_open_response *open_response; + + send_open_request(plugin_token->host, plugin_token->response_token, flags); + + open_response = recv_open_response(plugin_token->host); + + my_tcp_ip_plugin_handle *plugin_handle = my_tcp_ip_alloc_handle(); + + plugin_handle->token = plugin_token; + plugin_handle->host = plugin_token->host; + plugin_handle->response_handle = open_response; + + *handle = plugin_handle; +} + +#endif +``` + +An RDMA OPAE plugin uses RDMA for both control protocol and +data exchange. + +```c +#ifndef __MY_RDMA_PLUGIN_H__ +#define __MY_RDMA_PLUGIN_H__ + +typedef struct _my_rdma_plugin_host_list { + enum rdma_conn_type conn_type; + + struct ibv_context *ctx; + struct ibv_pd *pd; + + ... + + struct _my_rdma_plugin_host_list *next; +} my_rdma_plugin_host_list; + +static my_rdma_plugin_host_list *host_list = NULL; + +typedef struct _my_rdma_enumerate_response { + ... +} my_rdma_enumerate_response; + +typedef struct _my_rdma_plugin_token { + my_rdma_enumerate_response *response_token; + my_rdma_plugin_host_list *host; +} my_rdma_plugin_token; + +typedef struct _my_rdma_open_response { + ... +} my_rdma_open_response; + +typedef struct _my_rdma_plugin_handle { + my_rdma_plugin_token *token; + my_rdma_plugin_host_list *host; + my_rdma_open_response *response_handle; +} my_rdma_plugin_handle; + +int opae_plugin_configure(opae_api_adapter_table *table, const char *jsonConfig) +{ + json_object *jobj; + + jobj = deserialize(jsonConfig); + + for (each host in jobj) { + + add_list(&host_list, alloc_host_entry(host.name, host.port)); + + } + + for (each OPAE api in this plugin) { + + (add api to table) + + } + + table->initialize = my_rdma_plugin_initialize; + table->finalize = my_rdma_plugin_finalize; + + table->supports_host = my_rdma_plugin_supports_host; +} + +int my_rdma_plugin_initialize(void) +{ + for (each host in host_list) { + + init_host_rdma(host); + + } +} + +int my_rdma_plugin_finalize(void) +{ + for (each host in host_list) { + + deinit_host_rdma(host); + free(host); + + } +} + +bool my_rdma_plugin_supports_host(const char *hostname) +{ + for (each host in host_list) { + + if (this plugin supports hostname) + return true; + + } + return false; +} + +fpga_result fpgaEnumerate(const fpga_properties *filters, + uint32_t num_filters, + fpga_token *tokens, + uint32_t max_tokens, + uint32_t *num_matches) +{ + my_rdma_enumerate_response *response_tokens; + uint32_t num_responses = 0; + + for (each host in host_list) { + + send_rdma_enumerate_request(host, filters, ...); + + recv_rdma_enumerate_response(&response_tokens, &num_responses); + + for (each resp in response_tokens) { + + my_rdma_plugin_token *tok = my_rdma_alloc_token(); + + tok->response_token = resp; + tok->host = host; + + tokens[i] = tok; + } + } +} + +fpga_result fpgaOpen(fpga_token token, + fpga_handle *handle, + int flags) +{ + my_rdma_plugin_token *plugin_token = (my_rdma_plugin_token *) token; + my_rdma_open_response *open_response; + + send_rdma_open_request(plugin_token->host, plugin_token->response_token); + + open_response = recv_rdma_open_response(plugin_token->host); + + my_rdma_plugin_handle *plugin_handle = my_rdma_alloc_handle(); + + plugin_handle->token = plugin_token; + plugin_handle->host = plugin_token->host; + plugin_handle->response_handle = open_response; + + *handle = plugin_handle; +} + +#endif +``` + +The 'local' OPAE plugin communicates with the kernel device driver +via memory-mapped IO and sysfs attributes. + +```c +#ifndef __MY_LOCAL_PLUGIN_H__ +#define __MY_LOCAL_PLUGIN_H__ + +int opae_plugin_configure(opae_api_adapter_table *table, const char *jsonConfig) +{ + json_object *jobj; + + jobj = deserialize(jsonConfig); + + (configure the plugin, based on jobj) + + for (each OPAE api in this plugin) { + + (add api to table) + + } + + table->supports_device = my_local_plugin_supports_device; +} + +bool my_local_plugin_supports_device(const char *device_type) +{ + if (this plugin supports device_type) + return true; + + return false; +} + +fpga_result fpgaEnumerate(const fpga_properties *filters, + uint32_t num_filters, + fpga_token *tokens, + uint32_t max_tokens, + uint32_t *num_matches) +{ + (traditional enumerate searches local sysfs) +} + +fpga_result fpgaOpen(fpga_token token, + fpga_handle *handle, + int flags) +{ + struct _fpga_token *_tok = (struct _fpga_token *) token; + struct _fpga_handle *_handle; + + (traditional open uses character device node from _tok) + + _handle = malloc(sizeof(struct _fpga_handle)); + + ... + + _handle->fddev = open(_tok.dev_path ...); + + ... + + *handle = _handle; +} + +#endif +``` + +Other plugins: +* AFU Simulation Environment (ASE) +* virtio-vsock (pool of accelerators assigned to VM's) +* RSD diff --git a/plugin/plugin_enum.md b/plugin/plugin_enum.md new file mode 100644 index 0000000..b912601 --- /dev/null +++ b/plugin/plugin_enum.md @@ -0,0 +1,13 @@ +```mermaid +sequenceDiagram + participant ClientApp + ClientApp->>opae: fpgaEnumerate(filter) + loop ForEach(A in AdapterTables(filter)) + opae->>A: fpgaEnumerate(filter) + A-->>opae: A_tokens + loop ForEach(A_tok in A_tokens) + opae-->>opae: wrap(A_token) + end + opae-->>ClientApp:tokens + end +``` \ No newline at end of file diff --git a/plugin/plugin_enum.md.svg b/plugin/plugin_enum.md.svg new file mode 100644 index 0000000..679538d --- /dev/null +++ b/plugin/plugin_enum.md.svg @@ -0,0 +1,4 @@ +ClientAppopaeAfpgaEnumerate(filter)fpgaEnumerate(filter)ptokenswrap(ptoken)loop[ ForEach(ptoken in tokens) ]tokensloop[ ForEach(A in AdapterTables(filter)) ]ClientAppopaeA \ No newline at end of file diff --git a/plugin/plugin_enum.mmd b/plugin/plugin_enum.mmd new file mode 100644 index 0000000..0e92c73 --- /dev/null +++ b/plugin/plugin_enum.mmd @@ -0,0 +1,11 @@ +sequenceDiagram + participant ClientApp + ClientApp->>opae: fpgaEnumerate(filter) + loop ForEach(A in AdapterTables(filter)) + opae->>A: fpgaEnumerate(filter) + A-->>opae: A_tokens + loop ForEach(A_tok in A_tokens) + opae-->>opae: wrap(A_token) + end + opae-->>ClientApp:tokens + end \ No newline at end of file diff --git a/plugin/plugin_enum.svg b/plugin/plugin_enum.svg new file mode 100644 index 0000000..69b0384 --- /dev/null +++ b/plugin/plugin_enum.svg @@ -0,0 +1,4 @@ +ClientAppopaeAfpgaEnumerate(filter)fpgaEnumerate(filter)A_tokenswrap(A_token)loop[ ForEach(A_tok in A_tokens) ]tokensloop[ ForEach(A in AdapterTables(filter)) ]ClientAppopaeA \ No newline at end of file diff --git a/plugin/plugin_init.md b/plugin/plugin_init.md new file mode 100644 index 0000000..bce3dff --- /dev/null +++ b/plugin/plugin_init.md @@ -0,0 +1,27 @@ +```mermaid +sequenceDiagram + participant ClientApp + participant O as opae.PluginManager + Note over O: Initalize can be implicit + ClientApp->>O: Initialize(cfile) + O->>O: ParseConfig(cfile) + loop ForEach(N in NativePlugins) + O->>O: InitializeAdapterTable() -> a_table + O->>N: PluginConfigure(a_table, cdata) + N->>N: FillAdapterTable(a_table) + opt + O->>N: Initialize() + end + end + loop ForEach(P in OtherPlugins) + O->>O: LoadPlugin(P) + participant P + activate P + O->>O: InitializeAdapterTable()->a_table + O->>P: PluginConfigure(a_table, cdata) + opt + O->>P: Initialize() + end + deactivate P + end +``` \ No newline at end of file diff --git a/plugin/plugin_init.md.svg b/plugin/plugin_init.md.svg new file mode 100644 index 0000000..53b9df9 --- /dev/null +++ b/plugin/plugin_init.md.svg @@ -0,0 +1,4 @@ +ClientAppopae.PluginManagerNPInitalize can be implicitInitialize(cfile)CreateAdapterTable()Map Plugin FN PtrsRegister(AdapterTable)Configure(cdata)Initialize()opt[ ]loop[ ForEach(N in NativePlugins) ]ParseConfig(cfile)LoadPlugin(P)CreateAdapterTable()Map Plugin FN PtrsRegister(AdapterTable)Configure(cdata)Initialize()opt[ ]loop[ ForEach(P in OtherPlugins) ]ClientAppopae.PluginManagerNP \ No newline at end of file diff --git a/plugin/plugin_init.mmd b/plugin/plugin_init.mmd new file mode 100644 index 0000000..c807ca3 --- /dev/null +++ b/plugin/plugin_init.mmd @@ -0,0 +1,25 @@ +sequenceDiagram + participant ClientApp + participant O as opae.PluginManager + Note over O: Initalize can be implicit + ClientApp->>O: Initialize(cfile) + O->>O: ParseConfig(cfile) + loop ForEach(N in NativePlugins) + O->>O: InitializeAdapterTable() -> a_table + O->>N: PluginConfigure(a_table, cdata) + N->>N: FillAdapterTable(a_table) + opt + O->>N: Initialize() + end + end + loop ForEach(P in OtherPlugins) + O->>O: LoadPlugin(P) + participant P + activate P + O->>O: InitializeAdapterTable()->a_table + O->>P: PluginConfigure(a_table, cdata) + opt + O->>P: Initialize() + end + deactivate P + end \ No newline at end of file diff --git a/plugin/plugin_init.svg b/plugin/plugin_init.svg new file mode 100644 index 0000000..dfda3c5 --- /dev/null +++ b/plugin/plugin_init.svg @@ -0,0 +1,4 @@ +ClientAppopae.PluginManagerNPInitalize can be implicitInitialize(cfile)ParseConfig(cfile)InitializeAdapterTable() -> a_tablePluginConfigure(a_table, cdata)FillAdapterTable(a_table)Initialize()opt[ ]loop[ ForEach(N in NativePlugins) ]LoadPlugin(P)InitializeAdapterTable()->a_tablePluginConfigure(a_table, cdata)Initialize()opt[ ]loop[ ForEach(P in OtherPlugins) ]ClientAppopae.PluginManagerNP \ No newline at end of file diff --git a/plugin/plugin_open.md b/plugin/plugin_open.md new file mode 100644 index 0000000..1aa4828 --- /dev/null +++ b/plugin/plugin_open.md @@ -0,0 +1,12 @@ +```mermaid +sequenceDiagram + participant ClientApp + participant opae + participant A as plugin A + ClientApp->>opae: fpgaOpen(token) + opae->>opae: unwrap(token)->(adapter_table, A_token) + opae->>A: fpgaOpen(A_token) + A-->>opae: A_handle + opae->>opae: wrap(A_handle)->handle + opae-->>ClientApp: handle +``` \ No newline at end of file diff --git a/plugin/plugin_open.md.svg b/plugin/plugin_open.md.svg new file mode 100644 index 0000000..38cc1c9 --- /dev/null +++ b/plugin/plugin_open.md.svg @@ -0,0 +1,4 @@ +ClientAppopaepluginfpgaOpen(token)unwrap(token)->(atable, ptoken)atable = plugin FNsfpgaOpen(ptoken)phandlewrap(handle)handleClientAppopaeplugin \ No newline at end of file diff --git a/plugin/plugin_open.mmd b/plugin/plugin_open.mmd new file mode 100644 index 0000000..828c2ad --- /dev/null +++ b/plugin/plugin_open.mmd @@ -0,0 +1,10 @@ +sequenceDiagram + participant ClientApp + participant opae + participant A as plugin A + ClientApp->>opae: fpgaOpen(token) + opae->>opae: unwrap(token)->(adapter_table, A_token) + opae->>A: fpgaOpen(A_token) + A-->>opae: A_handle + opae->>opae: wrap(A_handle)->handle + opae-->>ClientApp: handle \ No newline at end of file diff --git a/plugin/plugin_open.svg b/plugin/plugin_open.svg new file mode 100644 index 0000000..466af93 --- /dev/null +++ b/plugin/plugin_open.svg @@ -0,0 +1,4 @@ +ClientAppopaeplugin AfpgaOpen(token)unwrap(token)->(adapter_table, A_token)fpgaOpen(A_token)A_handlewrap(A_handle)->handlehandleClientAppopaeplugin A \ No newline at end of file diff --git a/plugin/plugin_remote.md b/plugin/plugin_remote.md new file mode 100644 index 0000000..5464e33 --- /dev/null +++ b/plugin/plugin_remote.md @@ -0,0 +1,15 @@ +```mermaid +sequenceDiagram + participant opae + participant ProxyPlugin + opae->>ProxyPlugin: fpgaEnumerate(filter) + ProxyPlugin->>ProxyPlugin: make_message(type=enum, payload=filter)->msg + ProxyPlugin->>RemoteEndpoint: send_msg(msg) + RemoteEndpoint->>remote_opae: fpgaEnumerate(filter) + remote_opae-->>RemoteEndpoint: tokens + RemoteEndpoint->>RemoteEndpoint:make_response(tokens)->resp + RemoteEndpoint-->>ProxyPlugin:resp + ProxyPlugin->>ProxyPlugin: unpack(resp)->proxy_tokens + ProxyPlugin-->>opae: proxy_tokens + Note over opae: proxy_tokens wrapped into tokens + ``` \ No newline at end of file diff --git a/plugin/plugin_remote.mmd b/plugin/plugin_remote.mmd new file mode 100644 index 0000000..e606336 --- /dev/null +++ b/plugin/plugin_remote.mmd @@ -0,0 +1,13 @@ +sequenceDiagram + participant opae + participant ProxyPlugin + opae->>ProxyPlugin: fpgaEnumerate(filter) + ProxyPlugin->>ProxyPlugin: make_message(type=enum, payload=filter)->msg + ProxyPlugin->>RemoteEndpoint: send_msg(msg) + RemoteEndpoint->>remote_opae: fpgaEnumerate(filter) + remote_opae-->>RemoteEndpoint: tokens + RemoteEndpoint->>RemoteEndpoint:make_response(tokens)->resp + RemoteEndpoint-->>ProxyPlugin:resp + ProxyPlugin->>ProxyPlugin: unpack(resp)->proxy_tokens + ProxyPlugin-->>opae: proxy_tokens + Note over opae: proxy_tokens wrapped into tokens \ No newline at end of file diff --git a/plugin/plugin_remote.svg b/plugin/plugin_remote.svg new file mode 100644 index 0000000..6ca5da1 --- /dev/null +++ b/plugin/plugin_remote.svg @@ -0,0 +1,4 @@ +opaeProxyPluginRemoteEndpointremote_opaefpgaEnumerate(filter)make_message(type=enum, payload=filter)->msgsend_msg(msg)fpgaEnumerate(filter)tokensmake_response(tokens)->resprespunpack(resp)->proxy_tokensproxy_tokensproxy_tokens wrapped into tokensopaeProxyPluginRemoteEndpointremote_opae \ No newline at end of file diff --git a/plugin/pseudo-sequence.svg b/plugin/pseudo-sequence.svg new file mode 100644 index 0000000..c3790dd --- /dev/null +++ b/plugin/pseudo-sequence.svg @@ -0,0 +1,350 @@ +ClientAppPluginManagerPluginLoaderPluginAProxyPluginRemoteEndpointinitialize(cfile)parseConfig(cfile)loadPlugin(A)configure(cdata)initialize()LookupAPIReturn fnPtrMap fnPtr in AdapterTableloop[ ForEachManagementAPI ]Return AdapterTableStoreAdapterTableloop[ ForEachPlugin ]fpgaEnumerateForEachAdapterTablefpgaEnumerate()ReturnTokenList(PluginA)tag(Token, PluginA)loop[ ForEachToken(PluginA) ]ExtendTokenList(TokensA)fpgaEnumerate()send_msg(enumerate, filter)recv_msg(tokens)deserialize(messageToken, fpga_token)associate(fpga_token, endpoint_connection)loop[ ForEachToken ]ReturnTokenList(ProxyPlugin)tag(Token, ProxyPlugin)loop[ ForEachToken(ProxyPlugin) ]ExtendTokenList(TokensB)ReturnAllTokenListfpgaOpen(Token)untag(Token, AdapterTableB)fpgaOpen(Token)send_msg(open, token)recv_msg(handle)make_fpga_handle(handle)associate handle to endpointreturn FPGA_OK, handleassociate handle to ProxyPluginClientAppPluginManagerPluginLoaderPluginAProxyPluginRemoteEndpoint \ No newline at end of file diff --git a/plugin/sequence.md b/plugin/sequence.md new file mode 100644 index 0000000..9807026 --- /dev/null +++ b/plugin/sequence.md @@ -0,0 +1,49 @@ +```mermaid +sequenceDiagram + participant ClientApp + ClientApp->PluginManager: Initialize(cfile) + loop ForEachNativePlugin(N) + N->N: CreateAdapterTable() + N->>PluginManager: Register(AdapterTable) + end + PluginManager->PluginManager: ParseConfig(cfile) + loop ForEachPlugin(P) + PluginManager->>PluginLoader: LoadPlugin(A) + P->P: CreateAdapterTable() + Note over P: Map Plugin FN Ptrs + P->>PluginManager: Register(AdapterTable) + PluginLoader->>P: Configure(cdata) + PluginLoader->>P: Initialize() + end + ClientApp->>PluginManager: fpgaEnumerate + Note over PluginManager: ForEachAdapterTable + PluginManager->>PluginA: fpgaEnumerate() + PluginA-->>PluginManager: ReturnTokenList(PluginA) + loop ForEachToken(PluginA) + PluginManager->>PluginManager: tag(Token, PluginA) + end + PluginManager->>PluginManager: ExtendTokenList(TokensA) + PluginManager->>ProxyPlugin: fpgaEnumerate() + ProxyPlugin->>RemoteEndpoint: send_msg(enumerate, filter) + RemoteEndpoint->>ProxyPlugin: recv_msg(tokens) + loop ForEachToken + ProxyPlugin->ProxyPlugin:deserialize(messageToken, fpga_token) + ProxyPlugin->ProxyPlugin:associate(fpga_token, endpoint_connection) + end + ProxyPlugin-->>PluginManager: ReturnTokenList(ProxyPlugin) + loop ForEachToken(ProxyPlugin) + PluginManager->>PluginManager: tag(Token, ProxyPlugin) + end + PluginManager->>PluginManager: ExtendTokenList(TokensB) + PluginManager-->>ClientApp: ReturnAllTokenList + + ClientApp->>PluginManager: fpgaOpen(Token) + PluginManager->>PluginManager: untag(Token, AdapterTableB) + PluginManager->>ProxyPlugin: fpgaOpen(Token) + ProxyPlugin->>RemoteEndpoint: send_msg(open, token) + RemoteEndpoint-->>ProxyPlugin: recv_msg(handle) + ProxyPlugin->>ProxyPlugin: make_fpga_handle(handle) + Note over ProxyPlugin: associate handle to endpoint + ProxyPlugin-->>PluginManager: return FPGA_OK, handle + Note over PluginManager: associate handle to ProxyPlugin +``` \ No newline at end of file diff --git a/samples/CMakeLists.txt b/samples/CMakeLists.txt new file mode 100644 index 0000000..b44a9b6 --- /dev/null +++ b/samples/CMakeLists.txt @@ -0,0 +1,69 @@ +## Copyright(c) 2014-2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +#find_package(UUID REQUIRED) +#include(opae_devpkgs_cmake_install) +#include(ase_add_module) + +include_directories(${OPAE_INCLUDE_DIR} + ${OPAE_SDK_SOURCE}/libopae/src ) +include_directories(base/sw) + +if(BUILD_ASE_SAMPLES) + find_package(Quartus) + find_package(Questa) +endif() + +###################################################################### +# Add the samples #################################################### +###################################################################### + +set(OPAE_SAMPLES src/opae/samples) +if(BUILD_ASE_SAMPLES) + # BBB libraries (MPF, DMA, etc) + include(intel_fpga_bbb) + Build_Intel_FPGA_BBB() + + opae_add_subdirectory(intg_xeon_nlb) + opae_add_subdirectory(hello_afu) + opae_add_subdirectory(hello_mpf_afu) + if(BUILD_ASE_INTR) + opae_add_subdirectory(hello_intr_afu) + endif() + # opae_add_subdirectory(hello_error_afu) + # opae_add_subdirectory(hello_dma_afu) +endif() + +###################################################################### +# Client application ################################################# +###################################################################### +if(BUILD_ASE) + add_definitions(-DTEST_TIMEOUT=130000000) +endif() + +opae_add_subdirectory(hello_fpga) +opae_add_subdirectory(hello_events) +opae_add_subdirectory(object_api) diff --git a/samples/hello_fpga/CMakeLists.txt b/samples/hello_fpga/CMakeLists.txt new file mode 100644 index 0000000..d697706 --- /dev/null +++ b/samples/hello_fpga/CMakeLists.txt @@ -0,0 +1,38 @@ +## Copyright(c) 2014-2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +opae_add_executable(TARGET hello_fpga + SOURCE hello_fpga.c + LIBS + opae-c + ${libjson-c_LIBRARIES} + ${libuuid_LIBRARIES} + COMPONENT samplebin +) + +install(FILES hello_fpga.c + DESTINATION src/opae/samples/hello_fpga + COMPONENT samplesrc) diff --git a/samples/hello_fpga/hello_fpga.c b/samples/hello_fpga/hello_fpga.c new file mode 100644 index 0000000..b5a256e --- /dev/null +++ b/samples/hello_fpga/hello_fpga.c @@ -0,0 +1,478 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +/** + * @file hello_fpga.c + * @brief A code sample illustrates the basic usage of the OPAE C API. + * + * The sample is a host application that demonstrates the basic steps of + * interacting with FPGA using the OPAE library. These steps include: + * + * - FPGA enumeration + * - Resource acquiring and releasing + * - Managing shared memory buffer + * - MMIO read and write + * + * The sample also demonstrates OPAE's object model, such as tokens, handles, + * and properties. + * + * The sample requires a native loopback mode (NLB) test image to be loaded on + * the FPGA. Refer to + *
    Quick + * Start Guide for full instructions on building, configuring, and running + * this code sample. + * + */ + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H +#include +#include +#include +#include +#include +#include + +#include +#include + +int usleep(unsigned); + +#ifndef TEST_TIMEOUT +#define TEST_TIMEOUT 30000 +#endif // TEST_TIMEOUT + +#ifndef CL +# define CL(x) ((x) * 64) +#endif // CL +#ifndef LOG2_CL +# define LOG2_CL 6 +#endif // LOG2_CL +#ifndef MB +# define MB(x) ((x) * 1024 * 1024) +#endif // MB + +#define CACHELINE_ALIGNED_ADDR(p) ((p) >> LOG2_CL) + +#define LPBK1_BUFFER_SIZE MB(1) +#define LPBK1_BUFFER_ALLOCATION_SIZE MB(2) +#define LPBK1_DSM_SIZE MB(2) +#define CSR_SRC_ADDR 0x0120 +#define CSR_DST_ADDR 0x0128 +#define CSR_CTL 0x0138 +#define CSR_STATUS1 0x0168 +#define CSR_CFG 0x0140 +#define CSR_NUM_LINES 0x0130 +#define DSM_STATUS_TEST_COMPLETE 0x40 +#define CSR_AFU_DSM_BASEL 0x0110 + +/* NLB0 AFU_ID */ +#define NLB0_AFUID "D8424DC4-A4A3-C413-F89E-433683F9040B" + + +/* + * macro to check return codes, print error message, and goto cleanup label + * NOTE: this changes the program flow (uses goto)! + */ +#define ON_ERR_GOTO(res, label, desc) \ + do { \ + if ((res) != FPGA_OK) { \ + print_err((desc), (res)); \ + goto label; \ + } \ + } while (0) + +/* Type definitions */ +typedef struct { + uint32_t uint[16]; +} cache_line; + +void print_err(const char *s, fpga_result res) +{ + fprintf(stderr, "Error %s: %s\n", s, fpgaErrStr(res)); +} + +/* + * Global configuration of bus, set during parse_args() + * */ +struct config { + struct target { + int bus; + } target; + int open_flags; +} + +config = { + .target = { + .bus = -1, + }, + .open_flags = 0 +}; + +#define GETOPT_STRING "B:sv" +fpga_result parse_args(int argc, char *argv[]) +{ + struct option longopts[] = { + { "bus", required_argument, NULL, 'B' }, + { "shared", no_argument, NULL, 's' }, + { "version", no_argument, NULL, 'v' }, + { NULL, 0, NULL, 0 } + }; + + int getopt_ret; + int option_index; + char *endptr = NULL; + char version[32]; + char build[32]; + + while (-1 != (getopt_ret = getopt_long(argc, argv, GETOPT_STRING, + longopts, &option_index))) { + const char *tmp_optarg = optarg; + /* Checks to see if optarg is null and if not it goes to value of optarg */ + if ((optarg) && ('=' == *tmp_optarg)) { + ++tmp_optarg; + } + + switch (getopt_ret) { + case 'B': /* bus */ + if (NULL == tmp_optarg) { + return FPGA_EXCEPTION; + } + endptr = NULL; + config.target.bus = (int) strtoul(tmp_optarg, &endptr, 0); + if (endptr != tmp_optarg + strnlen(tmp_optarg, 100)) { + fprintf(stderr, "invalid bus: %s\n", tmp_optarg); + return FPGA_EXCEPTION; + } + break; + case 's': + config.open_flags |= FPGA_OPEN_SHARED; + break; + + case 'v': + fpgaGetOPAECVersionString(version, sizeof(version)); + fpgaGetOPAECBuildString(build, sizeof(build)); + printf("hello_fpga %s %s\n", + version, build); + return -1; + + default: /* invalid option */ + fprintf(stderr, "Invalid cmdline option \n"); + return FPGA_EXCEPTION; + } + } + + return FPGA_OK; +} + +fpga_result find_fpga(fpga_guid afu_guid, + fpga_token *accelerator_token, + uint32_t *num_matches_accelerators) +{ + fpga_properties filter = NULL; + fpga_result res1; + fpga_result res2 = FPGA_OK; + + res1 = fpgaGetProperties(NULL, &filter); + ON_ERR_GOTO(res1, out, "creating properties object"); + + res1 = fpgaPropertiesSetObjectType(filter, FPGA_ACCELERATOR); + ON_ERR_GOTO(res1, out_destroy, "setting object type"); + + res1 = fpgaPropertiesSetGUID(filter, afu_guid); + ON_ERR_GOTO(res1, out_destroy, "setting GUID"); + + if (-1 != config.target.bus) { + res1 = fpgaPropertiesSetBus(filter, config.target.bus); + ON_ERR_GOTO(res1, out_destroy, "setting bus"); + } + + res1 = fpgaEnumerate(&filter, 1, accelerator_token, 1, num_matches_accelerators); + ON_ERR_GOTO(res1, out_destroy, "enumerating accelerators"); + +out_destroy: + res2 = fpgaDestroyProperties(&filter); + ON_ERR_GOTO(res2, out, "destroying properties object"); +out: + return res1 != FPGA_OK ? res1 : res2; +} + +/* function to get the bus number when there are multiple accelerators */ +fpga_result get_bus(fpga_token tok, uint8_t *bus) +{ + fpga_result res1; + fpga_result res2 = FPGA_OK; + fpga_properties props = NULL; + + res1 = fpgaGetProperties(tok, &props); + ON_ERR_GOTO(res1, out, "reading properties from Token"); + + res1 = fpgaPropertiesGetBus(props, bus); + ON_ERR_GOTO(res1, out_destroy, "Reading bus from properties"); + +out_destroy: + res2 = fpgaDestroyProperties(&props); + ON_ERR_GOTO(res2, out, "fpgaDestroyProps"); +out: + return res1 != FPGA_OK ? res1 : res2; +} + +/* Is the FPGA simulated with ASE? */ +bool probe_for_ase(void) +{ + fpga_result r = FPGA_OK; + uint16_t device_id = 0; + fpga_properties filter = NULL; + uint32_t num_matches = 1; + fpga_token fme_token; + + /* Connect to the FPGA management engine */ + fpgaGetProperties(NULL, &filter); + fpgaPropertiesSetObjectType(filter, FPGA_DEVICE); + + /* Connecting to one is sufficient to find ASE */ + fpgaEnumerate(&filter, 1, &fme_token, 1, &num_matches); + if (0 != num_matches) { + /* Retrieve the device ID of the FME */ + fpgaDestroyProperties(&filter); + fpgaGetProperties(fme_token, &filter); + r = fpgaPropertiesGetDeviceID(filter, &device_id); + fpgaDestroyToken(&fme_token); + } + fpgaDestroyProperties(&filter); + + /* ASE's device ID is 0xa5e */ + return ((FPGA_OK == r) && (0xa5e == device_id)); +} + +int main(int argc, char *argv[]) +{ + fpga_token accelerator_token; + fpga_handle accelerator_handle; + fpga_guid guid; + uint32_t num_matches_accelerators = 0; + uint32_t use_ase; + + volatile uint64_t *dsm_ptr = NULL; + volatile uint64_t *status_ptr = NULL; + volatile uint64_t *input_ptr = NULL; + volatile uint64_t *output_ptr = NULL; + + uint64_t dsm_wsid; + uint64_t input_wsid; + uint64_t output_wsid; + uint8_t bus = 0xff; + uint32_t i; + uint32_t timeout; + fpga_result res1 = FPGA_OK; + fpga_result res2 = FPGA_OK; + + res1 = parse_args(argc, argv); + if ((int)res1 < 0) + goto out_exit; + ON_ERR_GOTO(res1, out_exit, "parsing arguments"); + + if (uuid_parse(NLB0_AFUID, guid) < 0) { + res1 = FPGA_EXCEPTION; + } + ON_ERR_GOTO(res1, out_exit, "parsing guid"); + + use_ase = probe_for_ase(); + if (use_ase) { + printf("Running in ASE mode\n"); + } + + /* Look for accelerator with NLB0_AFUID */ + res1 = find_fpga(guid, &accelerator_token, &num_matches_accelerators); + ON_ERR_GOTO(res1, out_exit, "finding FPGA accelerator"); + + if (num_matches_accelerators <= 0) { + res1 = FPGA_NOT_FOUND; + } + ON_ERR_GOTO(res1, out_exit, "no matching accelerator"); + + if (num_matches_accelerators > 1) { + printf("Found more than one suitable accelerator. "); + res1 = get_bus(accelerator_token, &bus); + ON_ERR_GOTO(res1, out_exit, "getting bus num"); + printf("Running on bus 0x%02x.\n", bus); + } + + + /* Open accelerator and map MMIO */ + res1 = fpgaOpen(accelerator_token, &accelerator_handle, config.open_flags); + ON_ERR_GOTO(res1, out_destroy_tok, "opening accelerator"); + + res1 = fpgaMapMMIO(accelerator_handle, 0, NULL); + ON_ERR_GOTO(res1, out_close, "mapping MMIO space"); + + + /* Allocate buffers */ + res1 = fpgaPrepareBuffer(accelerator_handle, LPBK1_DSM_SIZE, + (void **)&dsm_ptr, &dsm_wsid, 0); + ON_ERR_GOTO(res1, out_close, "allocating DSM buffer"); + + res1 = fpgaPrepareBuffer(accelerator_handle, LPBK1_BUFFER_ALLOCATION_SIZE, + (void **)&input_ptr, &input_wsid, 0); + ON_ERR_GOTO(res1, out_free_dsm, "allocating input buffer"); + + res1 = fpgaPrepareBuffer(accelerator_handle, LPBK1_BUFFER_ALLOCATION_SIZE, + (void **)&output_ptr, &output_wsid, 0); + ON_ERR_GOTO(res1, out_free_input, "allocating output buffer"); + + printf("Running Test\n"); + + bus = 0xff; + res1 = get_bus(accelerator_token, &bus); + ON_ERR_GOTO(res1, out_free_output, "getting bus num"); + printf("Running on bus 0x%02x.\n", bus); + + + /* Initialize buffers */ + memset((void *)dsm_ptr, 0, LPBK1_DSM_SIZE); + memset((void *)input_ptr, 0xAF, LPBK1_BUFFER_SIZE); + memset((void *)output_ptr, 0xBE, LPBK1_BUFFER_SIZE); + + cache_line *cl_ptr = (cache_line *)input_ptr; + for (i = 0; i < LPBK1_BUFFER_SIZE / CL(1); ++i) { + cl_ptr[i].uint[15] = i+1; /* set the last uint in every cacheline */ + } + + + /* Reset accelerator */ + res1 = fpgaReset(accelerator_handle); + ON_ERR_GOTO(res1, out_free_output, "resetting accelerator"); + + + /* Program DMA addresses */ + uint64_t iova = 0; + res1 = fpgaGetIOAddress(accelerator_handle, dsm_wsid, &iova); + ON_ERR_GOTO(res1, out_free_output, "getting DSM IOVA"); + + res1 = fpgaWriteMMIO64(accelerator_handle, 0, CSR_AFU_DSM_BASEL, iova); + ON_ERR_GOTO(res1, out_free_output, "writing CSR_AFU_DSM_BASEL"); + + res1 = fpgaWriteMMIO32(accelerator_handle, 0, CSR_CTL, 0); + ON_ERR_GOTO(res1, out_free_output, "writing CSR_CFG"); + res1 = fpgaWriteMMIO32(accelerator_handle, 0, CSR_CTL, 1); + ON_ERR_GOTO(res1, out_free_output, "writing CSR_CFG"); + + res1 = fpgaGetIOAddress(accelerator_handle, input_wsid, &iova); + ON_ERR_GOTO(res1, out_free_output, "getting input IOVA"); + res1 = fpgaWriteMMIO64(accelerator_handle, 0, CSR_SRC_ADDR, CACHELINE_ALIGNED_ADDR(iova)); + ON_ERR_GOTO(res1, out_free_output, "writing CSR_SRC_ADDR"); + + res1 = fpgaGetIOAddress(accelerator_handle, output_wsid, &iova); + ON_ERR_GOTO(res1, out_free_output, "getting output IOVA"); + res1 = fpgaWriteMMIO64(accelerator_handle, 0, CSR_DST_ADDR, CACHELINE_ALIGNED_ADDR(iova)); + ON_ERR_GOTO(res1, out_free_output, "writing CSR_DST_ADDR"); + + res1 = fpgaWriteMMIO32(accelerator_handle, 0, CSR_NUM_LINES, LPBK1_BUFFER_SIZE / CL(1)); + ON_ERR_GOTO(res1, out_free_output, "writing CSR_NUM_LINES"); + res1 = fpgaWriteMMIO32(accelerator_handle, 0, CSR_CFG, 0x42000); + ON_ERR_GOTO(res1, out_free_output, "writing CSR_CFG"); + + status_ptr = dsm_ptr + DSM_STATUS_TEST_COMPLETE/sizeof(uint64_t); + + + /* Start the test */ + res1 = fpgaWriteMMIO32(accelerator_handle, 0, CSR_CTL, 3); + ON_ERR_GOTO(res1, out_free_output, "writing CSR_CFG"); + + /* Wait for test completion */ + timeout = TEST_TIMEOUT; + while (0 == ((*status_ptr) & 0x1)) { + usleep(100); + if (!use_ase && (--timeout == 0)) { + res1 = FPGA_EXCEPTION; + ON_ERR_GOTO(res1, out_free_output, "test timed out"); + } + } + + /* Stop the device */ + res1 = fpgaWriteMMIO32(accelerator_handle, 0, CSR_CTL, 7); + ON_ERR_GOTO(res1, out_free_output, "writing CSR_CFG"); + + /* Wait for the AFU's read/write traffic to complete */ + uint32_t afu_traffic_trips = 0; + while (afu_traffic_trips < 100) { + /* + * CSR_STATUS1 holds two 32 bit values: num pending reads and writes. + * Wait for it to be 0. + */ + uint64_t s1; + res1 = fpgaReadMMIO64(accelerator_handle, 0, CSR_STATUS1, &s1); + ON_ERR_GOTO(res1, out_free_output, "reading CSR_STATUS1"); + if (s1 == 0) { + break; + } + + afu_traffic_trips += 1; + usleep(1000); + } + + /* Check output buffer contents */ + for (i = 0; i < LPBK1_BUFFER_SIZE; i++) { + if (((uint8_t *)output_ptr)[i] != ((uint8_t *)input_ptr)[i]) { + fprintf(stderr, "Output does NOT match input " + "at offset %i!\n", i); + break; + } + } + + printf("Done Running Test\n"); + + + /* Release buffers */ +out_free_output: + res2 = fpgaReleaseBuffer(accelerator_handle, output_wsid); + ON_ERR_GOTO(res2, out_free_input, "releasing output buffer"); +out_free_input: + res2 = fpgaReleaseBuffer(accelerator_handle, input_wsid); + ON_ERR_GOTO(res2, out_free_dsm, "releasing input buffer"); +out_free_dsm: + res2 = fpgaReleaseBuffer(accelerator_handle, dsm_wsid); + ON_ERR_GOTO(res2, out_unmap, "releasing DSM buffer"); + + /* Unmap MMIO space */ +out_unmap: + res2 = fpgaUnmapMMIO(accelerator_handle, 0); + ON_ERR_GOTO(res2, out_close, "unmapping MMIO space"); + + /* Release accelerator */ +out_close: + res2 = fpgaClose(accelerator_handle); + ON_ERR_GOTO(res2, out_destroy_tok, "closing accelerator"); + + /* Destroy token */ +out_destroy_tok: + res2 = fpgaDestroyToken(&accelerator_token); + ON_ERR_GOTO(res2, out_exit, "destroying token"); + +out_exit: + return res1 != FPGA_OK ? res1 : res2; +} diff --git a/tests/.clang-format b/tests/.clang-format new file mode 100644 index 0000000..68740ba --- /dev/null +++ b/tests/.clang-format @@ -0,0 +1,2 @@ +--- +BasedOnStyle: Google \ No newline at end of file diff --git a/tests/CMakeLists.txt b/tests/CMakeLists.txt new file mode 100644 index 0000000..d78047a --- /dev/null +++ b/tests/CMakeLists.txt @@ -0,0 +1,39 @@ +## Copyright(c) 2017-2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +cmake_minimum_required (VERSION 2.8.12) + +project(testing) + +opae_add_subdirectory(argsfilter) +opae_add_subdirectory(board) +opae_add_subdirectory(fpgaconf) +opae_add_subdirectory(fpgainfo) +opae_add_subdirectory(hello_events) +opae_add_subdirectory(hello_fpga) +opae_add_subdirectory(object_api) +opae_add_subdirectory(ras) +opae_add_subdirectory(userclk) diff --git a/tests/argsfilter/CMakeLists.txt b/tests/argsfilter/CMakeLists.txt new file mode 100644 index 0000000..0133ea3 --- /dev/null +++ b/tests/argsfilter/CMakeLists.txt @@ -0,0 +1,37 @@ +## Copyright(c) 2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +opae_test_add_static_lib(TARGET argsfilter-static + SOURCE ${OPAE_SDK_SOURCE}/tools/argsfilter/argsfilter.c + LIBS + opae-c +) + +opae_test_add(TARGET test_argsfilter_c + SOURCE test_argsfilter_c.cpp + LIBS + argsfilter-static +) diff --git a/tests/argsfilter/test_argsfilter_c.cpp b/tests/argsfilter/test_argsfilter_c.cpp new file mode 100644 index 0000000..44711be --- /dev/null +++ b/tests/argsfilter/test_argsfilter_c.cpp @@ -0,0 +1,426 @@ +// Copyright(c) 2019, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include + +extern "C" { +int set_properties_from_args(fpga_properties filter, fpga_result *result, + int *argc, char *argv[]); +} + +#include "gtest/gtest.h" +#include "mock/test_system.h" + +using namespace opae::testing; + +class argsfilter_c_p : public ::testing::TestWithParam { + protected: + argsfilter_c_p() {} + + virtual void SetUp() override + { + std::string platform_key = GetParam(); + ASSERT_TRUE(test_platform::exists(platform_key)); + platform_ = test_platform::get(platform_key); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + EXPECT_EQ(fpgaInitialize(nullptr), FPGA_OK); + + optind = 0; + } + + virtual void TearDown() override { + fpgaFinalize(); + system_->finalize(); + } + + test_platform platform_; + test_system *system_; +}; + +/** + * @test bdf + * @brief Test: set_properties_from_args + * @details When passed with valid arguments for bdf, the function
    + * returns 0.
    + */ +TEST_P(argsfilter_c_p, bdf) { + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + char four[20]; + char five[20]; + char six[20]; + char seven[20]; + char eight[20]; + char nine[20]; + char ten[20]; + char eleven[20]; + char *argv[] = { zero, one, two, three, four, five, + six, seven, eight, nine, ten, eleven }; + int argc = 12; + fpga_result result; + char bus[10]; + char device[10]; + char function[10]; + char socket_id[10]; + char segment[10]; + + sprintf(bus, "0x%x", platform_.devices[0].bus); + sprintf(device, "0x%x", platform_.devices[0].device); + sprintf(function, "0x%x", platform_.devices[0].function); + sprintf(socket_id, "0x%x", platform_.devices[0].socket_id); + sprintf(segment, "0x%x", platform_.devices[0].segment); + + fpga_properties filter = NULL; + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + + strcpy(zero, "fpgainfo"); + strcpy(one, "fme"); + strcpy(two, "-B"); + strcpy(three, bus); + strcpy(four, "-D"); + strcpy(five, device); + strcpy(six, "-F"); + strcpy(seven, function); + strcpy(eight, "-S"); + strcpy(nine, socket_id); + strcpy(ten, "--segment"); + strcpy(eleven, segment); + EXPECT_EQ(set_properties_from_args(filter, &result, &argc, argv), 0); + EXPECT_EQ(fpgaDestroyProperties(&filter), FPGA_OK); + EXPECT_EQ(result, 0); +} + +/** + * @test bus + * @brief Test: set_properties_from_args + * @details When passed with valid argument for the bus,
    + * the function returns 0.
    + */ +TEST_P(argsfilter_c_p, bus) { + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + char *argv[] = { zero, one, two, three }; + int argc = 4; + fpga_result result; + char bus[10]; + + sprintf(bus, "0x%x", platform_.devices[0].bus); + + fpga_properties filter = NULL; + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + + strcpy(zero, "fpgainfo"); + strcpy(one, "fme"); + strcpy(two, "-B"); + strcpy(three, bus); + EXPECT_EQ(set_properties_from_args(filter, &result, &argc, argv), 0); + EXPECT_EQ(fpgaDestroyProperties(&filter), FPGA_OK); + EXPECT_EQ(result, 0); +} + +/** + * @test bus_neg + * @brief Test: set_properties_from_args + * @details When passed with invalid argument for the bus,
    + * the function returns an error.
    + */ +TEST_P(argsfilter_c_p, bus_neg) { + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + char *argv[] = { zero, one, two, three }; + int argc = 4; + fpga_result result; + + fpga_properties filter = NULL; + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + + strcpy(zero, "fpgainfo"); + strcpy(one, "fme"); + strcpy(two, "-B"); + strcpy(three, "zxyw"); + EXPECT_NE(set_properties_from_args(filter, &result, &argc, argv), 0); + EXPECT_EQ(fpgaDestroyProperties(&filter), FPGA_OK); +} + +/** + * @test device + * @brief Test: set_properties_from_args + * @details When passed with valid argument for the device,
    + * the function returns 0.
    + */ +TEST_P(argsfilter_c_p, device) { + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + char *argv[] = { zero, one, two, three }; + int argc = 4; + fpga_result result; + char device[10]; + + sprintf(device, "0x%x", platform_.devices[0].device); + + fpga_properties filter = NULL; + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + + strcpy(zero, "fpgainfo"); + strcpy(one, "fme"); + strcpy(two, "-D"); + strcpy(three, device); + EXPECT_EQ(set_properties_from_args(filter, &result, &argc, argv), 0); + EXPECT_EQ(fpgaDestroyProperties(&filter), FPGA_OK); + EXPECT_EQ(result, 0); +} + +/** + * @test device_neg + * @brief Test: set_properties_from_args + * @details When passed with invalid argument for the device,
    + * the function returns an error.
    + */ +TEST_P(argsfilter_c_p, device_neg) { + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + char *argv[] = { zero, one, two, three }; + int argc = 4; + fpga_result result; + + fpga_properties filter = NULL; + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + + strcpy(zero, "fpgainfo"); + strcpy(one, "fme"); + strcpy(two, "-D"); + strcpy(three, "zxyw"); + EXPECT_NE(set_properties_from_args(filter, &result, &argc, argv), 0); + EXPECT_EQ(fpgaDestroyProperties(&filter), FPGA_OK); +} + +/** + * @test function + * @brief Test: set_properties_from_args + * @details When passed with valid argument for the function,
    + * the function returns 0.
    + */ +TEST_P(argsfilter_c_p, function) { + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + char *argv[] = { zero, one, two, three }; + int argc = 4; + fpga_result result; + char function[10]; + + sprintf(function, "0x%x", platform_.devices[0].function); + + fpga_properties filter = NULL; + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + + strcpy(zero, "fpgainfo"); + strcpy(one, "fme"); + strcpy(two, "-F"); + strcpy(three, function); + EXPECT_EQ(set_properties_from_args(filter, &result, &argc, argv), 0); + EXPECT_EQ(fpgaDestroyProperties(&filter), FPGA_OK); + EXPECT_EQ(result, 0); +} + +/** + * @test function_neg + * @brief Test: set_properties_from_args + * @details When passed with invalid argument for the function,
    + * the function returns an error.
    + */ +TEST_P(argsfilter_c_p, function_neg) { + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + char *argv[] = { zero, one, two, three }; + int argc = 4; + fpga_result result; + + fpga_properties filter = NULL; + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + + strcpy(zero, "fpgainfo"); + strcpy(one, "fme"); + strcpy(two, "-F"); + strcpy(three, "zxyw"); + EXPECT_NE(set_properties_from_args(filter, &result, &argc, argv), 0); + EXPECT_EQ(fpgaDestroyProperties(&filter), FPGA_OK); +} + +/** + * @test socket_id + * @brief Test: set_properties_from_args + * @details When passed with valid argument for the socket_id,
    + * the function returns 0.
    + */ +TEST_P(argsfilter_c_p, socket_id) { + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + char *argv[] = { zero, one, two, three }; + int argc = 4; + fpga_result result; + char socket_id[10]; + + sprintf(socket_id, "0x%x", platform_.devices[0].socket_id); + + fpga_properties filter = NULL; + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + + strcpy(zero, "fpgainfo"); + strcpy(one, "fme"); + strcpy(two, "-S"); + strcpy(three, socket_id); + EXPECT_EQ(set_properties_from_args(filter, &result, &argc, argv), 0); + EXPECT_EQ(fpgaDestroyProperties(&filter), FPGA_OK); + EXPECT_EQ(result, 0); +} + +/** + * @test socket_neg + * @brief Test: set_properties_from_args + * @details When passed with invalid argument for the socket,
    + * the function returns an error.
    + */ +TEST_P(argsfilter_c_p, socket_neg) { + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + char *argv[] = { zero, one, two, three }; + int argc = 4; + fpga_result result; + + fpga_properties filter = NULL; + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + + strcpy(zero, "fpgainfo"); + strcpy(one, "fme"); + strcpy(two, "-S"); + strcpy(three, "zxyw"); + EXPECT_NE(set_properties_from_args(filter, &result, &argc, argv), 0); + EXPECT_EQ(fpgaDestroyProperties(&filter), FPGA_OK); +} + +/** + * @test segment + * @brief Test: set_properties_from_args + * @details When passed with valid argument for the segment,
    + * the function returns 0.
    + */ +TEST_P(argsfilter_c_p, segment) { + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + char *argv[] = { zero, one, two, three }; + int argc = 4; + fpga_result result; + char segment[10]; + + sprintf(segment, "0x%x", platform_.devices[0].segment); + + fpga_properties filter = NULL; + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + + strcpy(zero, "fpgainfo"); + strcpy(one, "fme"); + strcpy(two, "--segment"); + strcpy(three, segment); + EXPECT_EQ(set_properties_from_args(filter, &result, &argc, argv), 0); + EXPECT_EQ(fpgaDestroyProperties(&filter), FPGA_OK); + EXPECT_EQ(result, 0); +} + +/** + * @test segment_neg + * @brief Test: set_properties_from_args + * @details When passed with valid argument for the segment,
    + * the function returns 0.
    + */ +TEST_P(argsfilter_c_p, segment_neg) { + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + char *argv[] = { zero, one, two, three }; + int argc = 4; + fpga_result result; + + fpga_properties filter = NULL; + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + + strcpy(zero, "fpgainfo"); + strcpy(one, "fme"); + strcpy(two, "--segment"); + strcpy(three, "zxyw"); + EXPECT_NE(set_properties_from_args(filter, &result, &argc, argv), 0); + EXPECT_EQ(fpgaDestroyProperties(&filter), FPGA_OK); +} + +/** + * @test argsfilter_neg + * @brief Test: set_properties_from_args + * @details When missing an argument for the bus,
    + * the function returns an error.
    + */ +TEST_P(argsfilter_c_p, argsfilter_neg) { + char zero[20]; + char one[20]; + char two[20]; + char *argv[] = { zero, one, two }; + int argc = 3; + fpga_result result; + + fpga_properties filter = NULL; + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + + strcpy(zero, "fpgainfo"); + strcpy(one, "fme"); + strcpy(two, "-B"); + EXPECT_NE(set_properties_from_args(filter, &result, &argc, argv), 0); + EXPECT_EQ(fpgaDestroyProperties(&filter), FPGA_OK); +} + +INSTANTIATE_TEST_CASE_P(argsfilter_c, argsfilter_c_p, + ::testing::ValuesIn(test_platform::platforms())); diff --git a/tests/board/CMakeLists.txt b/tests/board/CMakeLists.txt new file mode 100644 index 0000000..a4e935b --- /dev/null +++ b/tests/board/CMakeLists.txt @@ -0,0 +1,57 @@ +## Copyright(c) 2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +opae_test_add_static_lib(TARGET board-vc-static + SOURCE ${OPAE_SDK_SOURCE}/tools/libboard/board_vc/board_vc.c + LIBS + opae-c +) + +opae_test_add_static_lib(TARGET board-rc-static + SOURCE ${OPAE_SDK_SOURCE}/tools/libboard/board_rc/board_rc.c + LIBS + opae-c +) + +opae_test_add(TARGET test_board_vc_c + SOURCE test_board_vc.cpp + LIBS + board-vc-static +) + +target_include_directories(test_board_vc_c + PRIVATE ${OPAE_SDK_SOURCE}/tools +) + +opae_test_add(TARGET test_board_rc_c + SOURCE test_board_rc.cpp + LIBS + board-rc-static +) + +target_include_directories(test_board_rc_c + PRIVATE ${OPAE_SDK_SOURCE}/tools +) diff --git a/tests/board/test_board_rc.cpp b/tests/board/test_board_rc.cpp new file mode 100644 index 0000000..7b9e1eb --- /dev/null +++ b/tests/board/test_board_rc.cpp @@ -0,0 +1,403 @@ +// Copyright(c) 2019-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +extern "C" { +#include +#include +#include +#include +#include +#include +} + +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#include "gtest/gtest.h" +#include "intel-fpga.h" +#include "libboard/board_rc/board_rc.h" +#include "opae_int.h" +#include "mock/test_system.h" + +#define SYSFS_FME_PATH "/sys/class/fpga/intel-fpga-dev.0/intel-fpga-fme.0" + +#define FPGA_STR_SIZE 256 +#define SDR_HEADER_LEN 3 +#define SDR_MSG_LEN 4 + +typedef struct _bmc_powerdown_cause { + uint8_t _header[SDR_HEADER_LEN]; + uint8_t completion_code; + uint8_t iana[SDR_HEADER_LEN]; + uint8_t count; + uint8_t message[SDR_MSG_LEN]; +} bmc_powerdown_cause; + +typedef struct _bmc_reset_cause { + uint8_t _header[SDR_HEADER_LEN]; + uint8_t completion_code; + uint8_t iana[SDR_HEADER_LEN]; + uint8_t reset_cause; +} bmc_reset_cause; + +typedef enum { + CHIP_RESET_CAUSE_POR = 0x01, + CHIP_RESET_CAUSE_EXTRST = 0x02, + CHIP_RESET_CAUSE_BOD_IO = 0x04, + CHIP_RESET_CAUSE_WDT = 0x08, + CHIP_RESET_CAUSE_OCD = 0x10, + CHIP_RESET_CAUSE_SOFT = 0x20, + CHIP_RESET_CAUSE_SPIKE = 0x40, +} bmc_ResetCauses; + +typedef struct _bmc_device_id { + uint8_t _header[SDR_HEADER_LEN]; + uint8_t completion_code; + uint8_t device_id; + union { + struct { + uint8_t device_revision : 3; + uint8_t _unused : 3; + uint8_t provides_sdrs : 2; + } bits; + uint8_t _value; + } device_revision; + union { + struct { + uint8_t device_available : 7; + uint8_t major_fw_revision : 1; + } bits; + uint8_t _value; + } firmware_revision_1; + uint8_t firmware_revision_2; + uint8_t ipmi_version; + union { + struct { + uint8_t sensor_device : 1; + uint8_t sdr_repository_device : 1; + uint8_t sel_device : 1; + uint8_t fru_inventory_device : 1; + uint8_t ipmb_event_receiver : 1; + uint8_t ipmb_event_generator : 1; + uint8_t bridge : 1; + uint8_t chassis_device : 1; + } bits; + uint8_t _value; + } additional_device_support; + uint8_t manufacturer_id_0_7; + uint8_t manufacturer_id_8_15; + uint8_t manufacturer_id_16_23; + uint8_t product_id_0_7; + uint8_t product_id_8_15; + uint8_t aux_fw_rev_0_7; + uint8_t aux_fw_rev_8_15; + uint8_t aux_fw_rev_16_23; + uint8_t aux_fw_rev_24_31; +} bmc_device_id; + +using namespace opae::testing; + +class board_rc_c_p : public ::testing::TestWithParam { + protected: + board_rc_c_p() : tokens_{{nullptr, nullptr}} {} + + fpga_result write_sysfs_file(const char *file, void *buf, size_t count); + ssize_t eintr_write(int fd, void *buf, size_t count); + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + filter_ = nullptr; + ASSERT_EQ(fpgaInitialize(NULL), FPGA_OK); + ASSERT_EQ(fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + num_matches_ = 0; + ASSERT_EQ(fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), + FPGA_OK); + EXPECT_GT(num_matches_, 0); + dev_ = nullptr; + ASSERT_EQ(fpgaOpen(tokens_[0], &dev_, 0), FPGA_OK); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + if (dev_) { + EXPECT_EQ(fpgaClose(dev_), FPGA_OK); + dev_ = nullptr; + } + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + fpgaFinalize(); + system_->finalize(); + } + + std::array tokens_; + fpga_properties filter_; + fpga_handle dev_; + test_platform platform_; + uint32_t num_matches_; + test_system *system_; +}; + +ssize_t board_rc_c_p::eintr_write(int fd, void *buf, size_t count) { + ssize_t bytes_written = 0, total_written = 0; + char *ptr = (char *)buf; + + if (!buf) { + return -1; + } + + while (total_written < (ssize_t)count) { + bytes_written = write(fd, ptr + total_written, count - total_written); + if (bytes_written < 0) { + if (errno == EINTR) { + continue; + } + return bytes_written; + } + total_written += bytes_written; + } + return total_written; +} +fpga_result board_rc_c_p::write_sysfs_file(const char *file, void *buf, + size_t count) { + fpga_result res = FPGA_OK; + char sysfspath[256]; + int fd = 0; + + snprintf(sysfspath, sizeof(sysfspath), "%s/%s", SYSFS_FME_PATH, file); + glob_t pglob; + int gres = glob(sysfspath, GLOB_NOSORT, NULL, &pglob); + if ((gres) || (1 != pglob.gl_pathc)) { + globfree(&pglob); + return FPGA_NOT_FOUND; + } + fd = open(pglob.gl_pathv[0], O_WRONLY); + globfree(&pglob); + if (fd < 0) { + printf("open failed \n"); + return FPGA_NOT_FOUND; + } + + ssize_t total_written = eintr_write(fd, buf, count); + if (total_written == 0) { + close(fd); + printf("total_written failed \n"); + return FPGA_INVALID_PARAM; + } + + close(fd); + return res; +} + +/** + * @test board_rc_1 + * @brief Tests: read_bmc_version + * @details Validates bmc version
    + */ +TEST_P(board_rc_c_p, board_rc_1) { + int version; + + EXPECT_EQ(read_bmc_version(tokens_[0], &version), FPGA_OK); + + EXPECT_EQ(read_bmc_version(tokens_[0], NULL), FPGA_INVALID_PARAM); + + EXPECT_NE(read_bmc_version(NULL, &version), FPGA_OK); +} + +/** + * @test board_rc_2 + * @brief Tests: read_bmc_pwr_down_cause + * @details Validates bmc power down root cause
    + */ +TEST_P(board_rc_c_p, board_rc_2) { + char pwr_down_cause[FPGA_STR_SIZE]; + + EXPECT_EQ(read_bmc_pwr_down_cause(tokens_[0], pwr_down_cause), FPGA_OK); + + EXPECT_EQ(read_bmc_pwr_down_cause(tokens_[0], NULL), FPGA_INVALID_PARAM); + + EXPECT_NE(read_bmc_pwr_down_cause(NULL, pwr_down_cause), FPGA_OK); +} + +/** + * @test board_rc_3 + * @brief Tests: read_bmc_pwr_down_cause + * @details Validates bmc reset root cause
    + */ +TEST_P(board_rc_c_p, board_rc_3) { + char reset_cause[FPGA_STR_SIZE]; + + EXPECT_EQ(read_bmc_reset_cause(tokens_[0], reset_cause), FPGA_OK); + + EXPECT_EQ(read_bmc_reset_cause(tokens_[0], NULL), FPGA_INVALID_PARAM); + + EXPECT_NE(read_bmc_reset_cause(NULL, reset_cause), FPGA_OK); +} + +/** + * @test board_rc_4 + * @brief Tests: print_board_info + * @details Validates print board information
    + */ +TEST_P(board_rc_c_p, board_rc_4) { + EXPECT_EQ(print_board_info(tokens_[0]), FPGA_OK); + EXPECT_NE(print_board_info(NULL), FPGA_OK); +} + +/** + * @test board_rc_5 + * @brief Tests: read_bmc_reset_cause + * @details Validates bmc reset root cause with invalid completion code
    + */ +TEST_P(board_rc_c_p, board_rc_5) { + bmc_reset_cause bmc_rc; + bmc_rc.completion_code = 1; + write_sysfs_file((const char *)"avmmi-bmc.3.auto/bmc_info/reset_cause", + (void *)&bmc_rc, sizeof(bmc_reset_cause)); + char reset_cause[FPGA_STR_SIZE]; + + EXPECT_NE(read_bmc_reset_cause(tokens_[0], reset_cause), FPGA_OK); +} + +/** + * @test board_rc_6 + * @brief Tests: read_bmc_reset_cause + * @details Validates bmc reset root cause with different root cause
    + */ +TEST_P(board_rc_c_p, board_rc_6) { + bmc_reset_cause bmc_rc; + bmc_rc.completion_code = 0; + bmc_rc.reset_cause = CHIP_RESET_CAUSE_POR; + write_sysfs_file((const char *)"avmmi-bmc.3.auto/bmc_info/reset_cause", + (void *)&bmc_rc, sizeof(bmc_reset_cause)); + + char reset_cause[FPGA_STR_SIZE]; + EXPECT_EQ(read_bmc_reset_cause(tokens_[0], reset_cause), FPGA_OK); + + bmc_rc.reset_cause = CHIP_RESET_CAUSE_EXTRST; + write_sysfs_file((const char *)"avmmi-bmc.3.auto/bmc_info/reset_cause", + (void *)&bmc_rc, sizeof(bmc_reset_cause)); + EXPECT_EQ(read_bmc_reset_cause(tokens_[0], reset_cause), FPGA_OK); + + bmc_rc.reset_cause = CHIP_RESET_CAUSE_BOD_IO; + write_sysfs_file((const char *)"avmmi-bmc.3.auto/bmc_info/reset_cause", + (void *)&bmc_rc, sizeof(bmc_reset_cause)); + EXPECT_EQ(read_bmc_reset_cause(tokens_[0], reset_cause), FPGA_OK); + + bmc_rc.reset_cause = CHIP_RESET_CAUSE_WDT; + write_sysfs_file((const char *)"avmmi-bmc.3.auto/bmc_info/reset_cause", + (void *)&bmc_rc, sizeof(bmc_reset_cause)); + EXPECT_EQ(read_bmc_reset_cause(tokens_[0], reset_cause), FPGA_OK); + + bmc_rc.reset_cause = CHIP_RESET_CAUSE_OCD; + write_sysfs_file((const char *)"avmmi-bmc.3.auto/bmc_info/reset_cause", + (void *)&bmc_rc, sizeof(bmc_reset_cause)); + EXPECT_EQ(read_bmc_reset_cause(tokens_[0], reset_cause), FPGA_OK); + + bmc_rc.reset_cause = CHIP_RESET_CAUSE_SOFT; + write_sysfs_file((const char *)"avmmi-bmc.3.auto/bmc_info/reset_cause", + (void *)&bmc_rc, sizeof(bmc_reset_cause)); + EXPECT_EQ(read_bmc_reset_cause(tokens_[0], reset_cause), FPGA_OK); + + bmc_rc.reset_cause = CHIP_RESET_CAUSE_SPIKE; + write_sysfs_file((const char *)"avmmi-bmc.3.auto/bmc_info/reset_cause", + (void *)&bmc_rc, sizeof(bmc_reset_cause)); + EXPECT_EQ(read_bmc_reset_cause(tokens_[0], reset_cause), FPGA_OK); +} + +/** + * @test board_rc_7 + * @brief Tests: read_bmc_pwr_down_cause + * @details Validates bmc power down root cause with invalid completion code + *
    + */ +TEST_P(board_rc_c_p, board_rc_7) { + bmc_powerdown_cause bmc_pd; + bmc_pd.completion_code = 1; + write_sysfs_file((const char *)"avmmi-bmc.3.auto/bmc_info/power_down_cause", + (void *)&bmc_pd, sizeof(bmc_powerdown_cause)); + + char pwr_down_cause[FPGA_STR_SIZE]; + EXPECT_NE(read_bmc_pwr_down_cause(tokens_[0], pwr_down_cause), FPGA_OK); +} +INSTANTIATE_TEST_CASE_P( + baord_rc_c, board_rc_c_p, + ::testing::ValuesIn(test_platform::mock_platforms({"dcp-rc"}))); + +class board_rc_invalid_c_p : public board_rc_c_p {}; + +/** + * @test board_rc_8 + * @brief Tests: read_bmc_version + * @details Validates bmc power down root cause with invalid completion code + *
    + */ +TEST_P(board_rc_invalid_c_p, board_rc_8) { + int version; + EXPECT_NE(read_bmc_version(tokens_[0], &version), FPGA_OK); +} + +/** + * @test board_rc_9 + * @brief Tests: read_bmc_pwr_down_cause + * @details Validates bmc power down root cause with invalid completion code + *
    + */ +TEST_P(board_rc_invalid_c_p, board_rc_9) { + char pwr_down_cause[FPGA_STR_SIZE]; + EXPECT_NE(read_bmc_pwr_down_cause(tokens_[0], pwr_down_cause), FPGA_OK); +} + +/** + * @test board_rc_9 + * @brief Tests: read_bmc_reset_cause + * @details Validates bmc power down root cause with invalid completion code + *
    + */ +TEST_P(board_rc_invalid_c_p, board_rc_10) { + char reset_cause[FPGA_STR_SIZE]; + EXPECT_NE(read_bmc_reset_cause(tokens_[0], reset_cause), FPGA_OK); +} +INSTANTIATE_TEST_CASE_P( + board_rc_invalid_c, board_rc_invalid_c_p, + ::testing::ValuesIn(test_platform::mock_platforms({"skx-p"}))); diff --git a/tests/board/test_board_vc.cpp b/tests/board/test_board_vc.cpp new file mode 100644 index 0000000..d5d0cfb --- /dev/null +++ b/tests/board/test_board_vc.cpp @@ -0,0 +1,358 @@ +// Copyright(c) 2019-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +extern "C" { + +#include +#include +#include +#include +#include +#include +#include "opae_int.h" +} + +#include +#include "intel-fpga.h" +#include + +#include +#include +#include "gtest/gtest.h" +#include "mock/test_system.h" +#include "libboard/board_vc/board_vc.h" + + +using namespace opae::testing; + +class board_vc_c_p : public ::testing::TestWithParam { +protected: + board_vc_c_p() : tokens_{ {nullptr, nullptr} } {} + + fpga_result write_sysfs_file(const char *file, + void *buf, size_t count); + ssize_t eintr_write(int fd, void *buf, size_t count); + fpga_result delete_sysfs_file(const char *file); + + virtual void SetUp() override { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + filter_ = nullptr; + ASSERT_EQ(fpgaInitialize(NULL), FPGA_OK); + ASSERT_EQ(fpgaGetProperties(nullptr, &filter_), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter_, FPGA_DEVICE), FPGA_OK); + num_matches_ = 0; + ASSERT_EQ(fpgaEnumerate(&filter_, 1, tokens_.data(), tokens_.size(), + &num_matches_), FPGA_OK); + EXPECT_GT(num_matches_, 0); + dev_ = nullptr; + ASSERT_EQ(fpgaOpen(tokens_[0], &dev_, 0), FPGA_OK); + } + + virtual void TearDown() override { + EXPECT_EQ(fpgaDestroyProperties(&filter_), FPGA_OK); + if (dev_) { + EXPECT_EQ(fpgaClose(dev_), FPGA_OK); + dev_ = nullptr; + } + for (auto &t : tokens_) { + if (t) { + EXPECT_EQ(fpgaDestroyToken(&t), FPGA_OK); + t = nullptr; + } + } + fpgaFinalize(); + system_->finalize(); + } + + std::array tokens_; + fpga_properties filter_; + fpga_handle dev_; + test_platform platform_; + uint32_t num_matches_; + test_system *system_; +}; + +ssize_t board_vc_c_p::eintr_write(int fd, void *buf, size_t count) +{ + ssize_t bytes_written = 0, total_written = 0; + char *ptr = (char*)buf; + + if (!buf) { + return -1; + } + + while (total_written < (ssize_t)count) { + bytes_written = + write(fd, ptr + total_written, count - total_written); + if (bytes_written < 0) { + if (errno == EINTR) { + continue; + } + return bytes_written; + } + total_written += bytes_written; + } + return total_written; + +} +fpga_result board_vc_c_p::write_sysfs_file(const char *file, + void *buf, size_t count) { + fpga_result res = FPGA_OK; + char sysfspath[SYSFS_MAX_SIZE]; + int fd = 0; + + snprintf(sysfspath, sizeof(sysfspath), + "%s/%s", "/sys/class/fpga/intel-fpga-dev.0/intel-fpga-fme.0", file); + + glob_t pglob; + int gres = glob(sysfspath, GLOB_NOSORT, NULL, &pglob); + if ((gres) || (1 != pglob.gl_pathc)) { + globfree(&pglob); + return FPGA_NOT_FOUND; + } + fd = open(pglob.gl_pathv[0], O_WRONLY); + globfree(&pglob); + if (fd < 0) { + printf("open failed \n"); + return FPGA_NOT_FOUND; + } + + ssize_t total_written = eintr_write(fd, buf, count); + if (total_written == 0) { + close(fd); + printf("total_written failed \n"); + return FPGA_INVALID_PARAM; + } + + close(fd); + return res; +} + +fpga_result board_vc_c_p::delete_sysfs_file(const char *file) { + fpga_result res = FPGA_OK; + char sysfspath[SYSFS_MAX_SIZE]; + int status = 0; + + snprintf(sysfspath, sizeof(sysfspath), + "%s/%s", "/sys/class/fpga/intel-fpga-dev.0/intel-fpga-fme.0", file); + + glob_t pglob; + int gres = glob(sysfspath, GLOB_NOSORT, NULL, &pglob); + if ((gres) || (1 != pglob.gl_pathc)) { + globfree(&pglob); + return FPGA_NOT_FOUND; + } + status = remove(pglob.gl_pathv[0]); + + globfree(&pglob); + if (status < 0) { + printf("delete failed = %d \n", status); + return FPGA_NOT_FOUND; + } + + return res; +} + +/** +* @test board_vc_1 +* @brief Tests: read_bmcfw_version +* @details Validates bmc firmware version
    +*/ +TEST_P(board_vc_c_p, board_vc_1) { + + char bmcfw_ver[SYSFS_MAX_SIZE]; + + EXPECT_EQ(read_bmcfw_version(tokens_[0], bmcfw_ver, SYSFS_MAX_SIZE), FPGA_OK); + + EXPECT_EQ(read_bmcfw_version(tokens_[0], NULL, SYSFS_MAX_SIZE), FPGA_INVALID_PARAM); + + EXPECT_EQ(read_bmcfw_version(NULL, bmcfw_ver, SYSFS_MAX_SIZE), FPGA_INVALID_PARAM); +} + +/** +* @test board_vc_2 +* @brief Tests: read_max10fw_version +* @details Validates max10 firmware version
    +*/ +TEST_P(board_vc_c_p, board_vc_2) { + + char max10fw_ver[SYSFS_MAX_SIZE]; + + EXPECT_EQ(read_max10fw_version(tokens_[0], max10fw_ver, SYSFS_MAX_SIZE), FPGA_OK); + + EXPECT_EQ(read_max10fw_version(tokens_[0], NULL, SYSFS_MAX_SIZE), FPGA_INVALID_PARAM); + + EXPECT_EQ(read_max10fw_version(NULL, max10fw_ver, SYSFS_MAX_SIZE), FPGA_INVALID_PARAM); +} + +/** +* @test board_vc_3 +* @brief Tests: read_pcb_info +* @details Validates pcb information
    +*/ +TEST_P(board_vc_c_p, board_vc_3) { + + char pcb_info[SYSFS_MAX_SIZE]; + + EXPECT_EQ(read_pcb_info(tokens_[0], pcb_info, SYSFS_MAX_SIZE), FPGA_OK); + + EXPECT_EQ(read_pcb_info(tokens_[0], NULL, SYSFS_MAX_SIZE), FPGA_INVALID_PARAM); + + EXPECT_EQ(read_pcb_info(NULL, pcb_info, SYSFS_MAX_SIZE), FPGA_INVALID_PARAM); +} + +/** +* @test board_vc_4 +* @brief Tests: read_pkvl_info +* @details Validates pkvl information
    +*/ +TEST_P(board_vc_c_p, board_vc_4) { + + fpga_pkvl_info pkvl_info; + int fpga_mode; + + EXPECT_EQ(read_pkvl_info(tokens_[0], &pkvl_info, &fpga_mode), FPGA_OK); + + EXPECT_EQ(read_pkvl_info(tokens_[0], &pkvl_info, NULL), FPGA_INVALID_PARAM); + + EXPECT_EQ(read_pkvl_info(tokens_[0], NULL, &fpga_mode), FPGA_INVALID_PARAM); + + EXPECT_EQ(read_pkvl_info(NULL, &pkvl_info, &fpga_mode), FPGA_INVALID_PARAM); +} + +/** +* @test board_vc_5 +* @brief Tests: read_mac_info +* @details Validates fpga pkvl mac information
    +*/ +TEST_P(board_vc_c_p, board_vc_5) { + + unsigned char buf[SYSFS_MAX_SIZE] = { 0, }; + + EXPECT_EQ(read_mac_info(tokens_[0], buf, sizeof(buf)), FPGA_OK); + + EXPECT_EQ(read_mac_info(NULL, buf, sizeof(buf)), FPGA_INVALID_PARAM); + + EXPECT_EQ(read_mac_info(tokens_[0], NULL, sizeof(buf)), FPGA_INVALID_PARAM); +} + +/** +* @test board_vc_6 +* @brief Tests: read_phy_group_info +* @details Validates fpga phy group information
    +*/ +TEST_P(board_vc_c_p, board_vc_6) { + + uint32_t group_num = 0; + + EXPECT_EQ(read_phy_group_info(tokens_[0], NULL, &group_num), FPGA_OK); + + EXPECT_EQ(read_phy_group_info(tokens_[0], NULL, NULL), FPGA_INVALID_PARAM); + + EXPECT_EQ(read_phy_group_info(NULL, NULL, &group_num), FPGA_NOT_FOUND); +} + +/** +* @test board_vc_7 +* @brief Tests: print_board_info +* @details Validates fpga board info
    +*/ +TEST_P(board_vc_c_p, board_vc_7) { + + EXPECT_EQ(print_board_info(tokens_[0]), FPGA_OK); + + EXPECT_EQ(print_mac_info(tokens_[0]), FPGA_OK); + +} + +/** +* @test board_vc_8 +* @brief Tests: read_max10fw_version +* read_bmcfw_version, +* @details Validates fpga invalid fpga firmware version
    +*/ +TEST_P(board_vc_c_p, board_vc_8) { + + char buf[10] = { 0 }; + write_sysfs_file((const char *)"spi-altera.0.auto/spi_master/spi0/spi0.0/bmcfw_flash_ctrl/bmcfw_version", (void*)buf, sizeof(buf)); + + char bmcfw_ver[SYSFS_MAX_SIZE]; + EXPECT_NE(read_bmcfw_version(tokens_[0], bmcfw_ver, SYSFS_MAX_SIZE), FPGA_OK); + + write_sysfs_file((const char *)"spi-altera.0.auto/spi_master/spi0/spi0.0/max10_version", (void*)buf, sizeof(buf)); + + char max10fw_ver[SYSFS_MAX_SIZE]; + EXPECT_NE(read_max10fw_version(tokens_[0], max10fw_ver, SYSFS_MAX_SIZE), FPGA_OK); +} +INSTANTIATE_TEST_CASE_P(baord_vc_c, board_vc_c_p, + ::testing::ValuesIn(test_platform::mock_platforms({ "dcp-vc" }))); + +// test invalid sysfs attributes +class board_vc_invalid_c_p : public board_vc_c_p { }; + +/** +* @test board_vc_9 +* @brief Tests: read_max10fw_version +* read_max10fw_version,read_pcb_info +* read_pkvl_info,read_mac_info +* read_phy_group_info,print_board_info +* print_phy_info,print_mac_info +* @details Validates function with invalid sysfs
    +*/ +TEST_P(board_vc_invalid_c_p, board_vc_9) { + + char bmcfw_ver[SYSFS_MAX_SIZE]; + EXPECT_EQ(read_bmcfw_version(tokens_[0], bmcfw_ver, SYSFS_MAX_SIZE), FPGA_NOT_FOUND); + + char max10fw_ver[SYSFS_MAX_SIZE]; + EXPECT_EQ(read_max10fw_version(tokens_[0], max10fw_ver, SYSFS_MAX_SIZE), FPGA_NOT_FOUND); + + char pcb_info[SYSFS_MAX_SIZE]; + EXPECT_EQ(read_pcb_info(tokens_[0], pcb_info, SYSFS_MAX_SIZE), FPGA_NOT_FOUND); + + fpga_pkvl_info pkvl_info; + int fpga_mode; + EXPECT_EQ(read_pkvl_info(tokens_[0], &pkvl_info, &fpga_mode), FPGA_NOT_FOUND); + + unsigned char buf[8] = { 0 }; + EXPECT_EQ(read_mac_info(tokens_[0], buf, 8), FPGA_NOT_FOUND); + + uint32_t group_num = 0; + EXPECT_EQ(read_phy_group_info(tokens_[0], NULL, &group_num), FPGA_NOT_FOUND); + + EXPECT_EQ(print_board_info(tokens_[0]), FPGA_NOT_FOUND); + + EXPECT_EQ(print_mac_info(tokens_[0]), FPGA_NOT_FOUND); + + EXPECT_EQ(print_phy_info(tokens_[0]), FPGA_NOT_FOUND); +} +INSTANTIATE_TEST_CASE_P(board_vc_invalid_c, board_vc_invalid_c_p, + ::testing::ValuesIn(test_platform::mock_platforms({ "skx-p" }))); diff --git a/tests/fpgaconf/CMakeLists.txt b/tests/fpgaconf/CMakeLists.txt new file mode 100644 index 0000000..ab5aee8 --- /dev/null +++ b/tests/fpgaconf/CMakeLists.txt @@ -0,0 +1,43 @@ +## Copyright(c) 2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +opae_test_add_static_lib(TARGET fpgaconf-static + SOURCE ${OPAE_SDK_SOURCE}/tools/fpgaconf/fpgaconf.c + LIBS bitstream +) + +target_compile_definitions(fpgaconf-static + PRIVATE main=fpgaconf_main +) + +opae_test_add(TARGET test_fpgaconf_fpgaconf_c + SOURCE test_fpgaconf_c.cpp + LIBS fpgaconf-static +) + +#target_include_directories(test_board_vc_c +# PRIVATE ${OPAE_SDK_SOURCE}/tools +#) diff --git a/tests/fpgaconf/test_fpgaconf_c.cpp b/tests/fpgaconf/test_fpgaconf_c.cpp new file mode 100644 index 0000000..045e18c --- /dev/null +++ b/tests/fpgaconf/test_fpgaconf_c.cpp @@ -0,0 +1,1030 @@ +// Copyright(c) 2018-2019, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include +#include +#include "gtest/gtest.h" +#include "mock/test_system.h" +#include "mock/test_utils.h" +extern "C" { + +#include +#include + +struct config { + unsigned int verbosity; + bool dry_run; + enum { INTERACTIVE, /* ask if ambiguous */ + NORMAL, /* stop if ambiguous */ + AUTOMATIC /* choose if ambiguous */ + } mode; + int flags; + struct target { + int segment; + int bus; + int device; + int function; + int socket; + } target; + char *filename; +}; +extern struct config config; + +void help(void); + +void print_err(const char *s, fpga_result res); + +void print_msg(unsigned int verbosity, const char *s); + +int parse_args(int argc, char *argv[]); + +int print_interface_id(fpga_guid actual_interface_id); + +int find_fpga(fpga_guid interface_id, fpga_token *fpga); + +int program_bitstream(fpga_token token, uint32_t slot_num, + opae_bitstream_info *info, int flags); + +int fpgaconf_main(int argc, char *argv[]); + +} + +fpga_guid test_guid = { 0x01, 0x23, 0x45, 0x67, 0x89, 0xab, 0xcd, 0xef, + 0x01, 0x23, 0x45, 0x67, 0x89, 0xab, 0xcd, 0xef }; + +#include +#include +#include +#include +#include +#include +#include +#include +#include +using namespace opae::testing; + +class fpgaconf_c_p : public ::testing::TestWithParam { + protected: + fpgaconf_c_p() {} + + virtual void SetUp() override { + strcpy(tmp_gbs_, "tmp-XXXXXX.gbs"); + close(mkstemps(tmp_gbs_, 4)); + std::string platform_key = GetParam(); + ASSERT_TRUE(test_platform::exists(platform_key)); + platform_ = test_platform::get(platform_key); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + EXPECT_EQ(fpgaInitialize(NULL), FPGA_OK); + + // assemble valid bitstream header + auto fme_guid = platform_.devices[0].fme_guid; + auto afu_guid = platform_.devices[0].afu_guid; + + auto bitstream_j = jobject + ("version", int32_t(1)) + ("afu-image", jobject + ("clock-frequency-high", int32_t(312)) + ("clock-frequency-low", int32_t(156)) + ("power", int32_t(50)) + ("interface-uuid", fme_guid) + ("magic-no", int32_t(488605312)) + ("accelerator-clusters", { + jobject + ("total-contexts", int32_t(1)) + ("name", "nlb") + ("accelerator-type-uuid", afu_guid) + } + ) + ) + ("platform-name", "platformX"); + + bitstream_valid_ = system_->assemble_gbs_header(platform_.devices[0], bitstream_j.c_str()); + bitstream_j.put(); + + std::ofstream gbs; + gbs.open(tmp_gbs_, std::ios::out|std::ios::binary); + gbs.write((const char *) bitstream_valid_.data(), bitstream_valid_.size()); + gbs.close(); + + optind = 0; + config_ = config; + } + + virtual void TearDown() override { + config = config_; + fpgaFinalize(); + system_->finalize(); + if (!::testing::Test::HasFatalFailure() && + !::testing::Test::HasNonfatalFailure()) { + unlink(tmp_gbs_); + } + } + + void copy_bitstream(std::string path) { + copy_gbs_ = path; + std::ifstream src(tmp_gbs_, std::ios::binary); + std::ofstream dst(copy_gbs_, std::ios::binary); + + dst << src.rdbuf(); + } + + char tmp_gbs_[20]; + std::string copy_gbs_; + std::vector bitstream_valid_; + struct config config_; + test_platform platform_; + test_system *system_; +}; + +/** + * @test help + * @brief Test: help + * @details help displays the application help message.
    + */ +TEST_P(fpgaconf_c_p, help) { + help(); +} + +/** + * @test print_err + * @brief Test: print_err + * @details print_err sends the given string and a decoding of the fpga_result
    + * to stderr.
    + */ +TEST_P(fpgaconf_c_p, print_err) { + print_err("msg", FPGA_OK); +} + +/** + * @test print_msg + * @brief Test: print_msg + * @details print_msg sends the given string to stdout
    + * if the given verbosity is less than or equal config.verbosity.
    + */ +TEST_P(fpgaconf_c_p, print_msg) { + print_msg(0, "msg"); +} + +/** + * @test parse_args0 + * @brief Test: parse_args + * @details When given an invalid command option,
    + * parse_args returns a negative value.
    + */ +TEST_P(fpgaconf_c_p, parse_args0) { + char zero[20]; + char one[20]; + strcpy(zero, "fpgaconf"); + strcpy(one, "-Y"); + + char *argv[] = { zero, one }; + + EXPECT_LT(parse_args(2, argv), 0); +} + +/** + * @test parse_args1 + * @brief Test: parse_args + * @details When given valid command options,
    + * parse_args populates the global config struct
    + * and the fn returns 0.
    + */ +TEST_P(fpgaconf_c_p, parse_args1) { + char tmpfilename[] = "tmp-empty-XXXXXX.gbs"; + close(mkstemps(tmpfilename, 4)); + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + char four[20]; + char five[20]; + char six[20]; + char seven[20]; + char eight[20]; + char nine[20]; + char ten[20]; + char eleven[20]; + char twelve[20]; + char thirteen[20]; + char fourteen[20]; + char fifteen[20]; + char sixteen[30]; + strcpy(zero, "fpgaconf"); + strcpy(one, "-V"); + strcpy(two, "-n"); + strcpy(three, "--force"); + strcpy(four, "--segment"); + strcpy(five, "0x1234"); + strcpy(six, "-B"); + strcpy(seven, "0x5e"); + strcpy(eight, "-D"); + strcpy(nine, "0xab"); + strcpy(ten, "-F"); + strcpy(eleven, "3"); + strcpy(twelve, "-S"); + strcpy(thirteen, "2"); + strcpy(fourteen, "-A"); + strcpy(fifteen, "-I"); + strcpy(sixteen, tmpfilename); + + char *argv[] = { zero, one, two, three, four, + five, six, seven, eight, nine, + ten, eleven, twelve, thirteen, fourteen, + fifteen, sixteen }; + + EXPECT_EQ(parse_args(17, argv), 0); + EXPECT_EQ(config.verbosity, 1); + EXPECT_NE(config.dry_run, 0); + EXPECT_NE(config.flags & FPGA_RECONF_FORCE, 0); + EXPECT_EQ(config.target.segment, 0x1234); + EXPECT_EQ(config.target.bus, 0x5e); + EXPECT_EQ(config.target.device, 0xab); + EXPECT_EQ(config.target.function, 3); + EXPECT_EQ(config.target.socket, 2); + EXPECT_EQ(config.mode, 0); + ASSERT_NE(config.filename, nullptr); + EXPECT_STREQ(basename(config.filename), tmpfilename); + free(config.filename); + unlink(tmpfilename); +} + +/** + * @test parse_args2 + * @brief Test: parse_args + * @details When given "-h",
    + * parse_args prints the help message and returns a negative value.
    + */ +TEST_P(fpgaconf_c_p, parse_args2) { + char zero[20]; + char one[20]; + strcpy(zero, "fpgaconf"); + strcpy(one, "-h"); + + char *argv[] = { zero, one }; + + EXPECT_LT(parse_args(2, argv), 0); +} + +/** + * @test invalid_parse_args1 + * @brief Test: parse_args + * @details When given an invalid command options,
    + * parse_args populates the global config struct
    + * and the fn returns -1.
    + */ +TEST_P(fpgaconf_c_p, invalid_parse_args1) { + char zero[32]; + char one[32]; + char two[32]; + char three[36]; + char four[32]; + char five[32]; + char six[32]; + char seven[32]; + char eight[32]; + char nine[32]; + char ten[48]; + char eleven[32]; + char twelve[32]; + char thirteen[32]; + strcpy(zero, " fpgaconf Q&%^#;'kk/"); + strcpy(one, "-verbosesss \n\t\b\e\a\?"); + strcpy(two, "--n"); + strcpy(three, "--f123 23ksfa;.'/'l|hrce"); + strcpy(four, "--se!gmentt lsdfhskfa"); + strcpy(five, "0x1234"); + strcpy(six, "-bussssss"); + strcpy(seven, "0x5e"); + strcpy(eight, "-Devic\xF0\x90sss \t\n\b\a\e\v"); + strcpy(nine, "0xab"); + strcpy(ten, " =====%34 -Function \x09\x0B\x0D"); + strcpy(eleven, "3"); + strcpy(twelve, "-Socket__ \xF1-\xF3 \x8F"); + strcpy(thirteen, "2"); + + char *argv[] = { zero, one, two, three, four, + five, six, seven, eight, nine, + ten, eleven, twelve, thirteen }; + + EXPECT_LT(parse_args(14, argv), 0); +} + +/** + * @test invalid_parse_args2 + * @brief Test: parse_args + * @details When given an invalid command options,
    + * parse_args populates the global config struct
    + * and the fn returns -1.
    + */ +TEST_P(fpgaconf_c_p, invalid_parse_args2) { + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + char four[20]; + char five[20]; + char six[20]; + char seven[20]; + char eight[20]; + char nine[20]; + char ten[20]; + char eleven[20]; + char twelve[20]; + char thirteen[20]; + char fourteen[20]; + strcpy(zero, " "); + strcpy(one, "-v"); + strcpy(two, "-n"); + strcpy(three, "--force"); + strcpy(four, "--segment"); + strcpy(five, "0xffff1234"); + strcpy(six, "-B"); + strcpy(seven, "0x5eeeeeeeee"); + strcpy(eight, "-D"); + strcpy(nine, "0xab124 \xF1 0"); + strcpy(ten, "-F"); + strcpy(eleven, "-33492\t000"); + strcpy(twelve, "-S"); + strcpy(thirteen, "\000 00000000"); + strcpy(fourteen, "-A"); + + char *argv[] = { zero, one, two, three, + ten, eleven, twelve, thirteen, fourteen, + four, five, six, seven, eight, nine}; + + EXPECT_LT(parse_args(15, argv), 0); +} + +/* + * @test parse_args3 + * @brief Test: parse_args + * @details When given a gbs file that does not exist
    + * parse_args fails at parsing the command line
    + * and the fn returns non-zero value + */ +TEST_P(fpgaconf_c_p, parse_args3) { + const char *argv[] = { "fpgaconf", "no-file.gbs" }; + EXPECT_NE(parse_args(2, (char**)argv), 0); +} + +/** + * @test ifc_id1 + * @brief Test: print_interface_id + * @details When the given config.target settings match no device,
    + * print_interface_id returns 0.
    + */ +TEST_P(fpgaconf_c_p, ifc_id1) { + config.target.bus = 0xff; + EXPECT_EQ(print_interface_id(test_guid), 0); +} + +/** + * @test find_fpga0 + * @brief Test: find_fpga + * @details When the given config.target settings match no device,
    + * find_fpga returns 0.
    + */ +TEST_P(fpgaconf_c_p, find_fpga0) { + config.target.bus = 0xff; + fpga_token tok = nullptr; + EXPECT_EQ(find_fpga(test_guid, &tok), 0); + EXPECT_EQ(tok, nullptr); +} + +/** + * @test main0 + * @brief Test: fpgaconf_main + * @details When the command params are invalid,
    + * fpgaconf_main returns 1.
    + */ +TEST_P(fpgaconf_c_p, main0) { + char zero[20]; + char one[20]; + strcpy(zero, "fpgaconf"); + strcpy(one, "-Y"); + + char *argv[] = { zero, one }; + + EXPECT_EQ(fpgaconf_main(2, argv), 1); +} + +/** + * @test main1 + * @brief Test: fpgaconf_main + * @details When the command params are valid,
    + * and they identify a valid accelerator device,
    + * fpgaconf_main loads the bitstream, finds the device,
    + * and PR's it, returning 0.
    + */ +TEST_P(fpgaconf_c_p, main1) { + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + char four[20]; + char five[20]; + char six[20]; + char seven[20]; + char eight[20]; + char nine[20]; + char ten[20]; + strcpy(zero, "fpgaconf"); + strcpy(one, "-n"); + strcpy(two, "--segment"); + sprintf(three, "%d", platform_.devices[0].segment); + strcpy(four, "-B"); + sprintf(five, "%d", platform_.devices[0].bus); + strcpy(six, "-D"); + sprintf(seven, "%d", platform_.devices[0].device); + strcpy(eight, "-F"); + sprintf(nine, "%d", platform_.devices[0].function); + strcpy(ten, tmp_gbs_); + + char *argv[] = { zero, one, two, three, four, + five, six, seven, eight, nine, + ten }; + + EXPECT_EQ(fpgaconf_main(11, argv), 0); +} + +/** + * @test main2 + * @brief Test: fpgaconf_main + * @details When the command params are valid,
    + * but no valid accelerator device can be found,
    + * fpgaconf_main displays an error and returns non-zero.
    + */ +TEST_P(fpgaconf_c_p, main2) { + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + char four[20]; + char five[20]; + strcpy(zero, "fpgaconf"); + strcpy(one, "-v"); + strcpy(two, "-n"); + strcpy(three, "-B"); + strcpy(four, "0xff"); + strcpy(five, tmp_gbs_); + + char *argv[] = { zero, one, two, three, four, + five }; + + EXPECT_NE(fpgaconf_main(6, argv), 0); +} + +/** + * @test main_seg_neg + * @brief Test: fpgaconf_main + * @details When the command params for sement are invalid,
    + * fpgaconf_main displays an error and returns non-zero.
    + */ +TEST_P(fpgaconf_c_p, main_seg_neg) { + char zero[20]; + char one[20]; + char two[20]; + strcpy(zero, "fpgaconf"); + strcpy(one, "--segment"); + strcpy(two, "k"); + + char *argv[] = { zero, one, two }; + + EXPECT_NE(fpgaconf_main(3, argv), 0); +} + +/** + * @test main_bus_neg + * @brief Test: fpgaconf_main + * @details When the command params for bus are invalid,
    + * fpgaconf_main displays an error and returns non-zero.
    + */ +TEST_P(fpgaconf_c_p, main_bus_neg) { + char zero[20]; + char one[20]; + char two[20]; + strcpy(zero, "fpgaconf"); + strcpy(one, "-B"); + strcpy(two, "k"); + + char *argv[] = { zero, one, two }; + + EXPECT_NE(fpgaconf_main(3, argv), 0); +} + +/** + * @test main_dev_neg + * @brief Test: fpgaconf_main + * @details When the command params for device are invalid,
    + * fpgaconf_main displays an error and returns non-zero.
    + */ +TEST_P(fpgaconf_c_p, main_dev_neg) { + char zero[20]; + char one[20]; + char two[20]; + strcpy(zero, "fpgaconf"); + strcpy(one, "-D"); + strcpy(two, "k"); + + char *argv[] = { zero, one, two }; + + EXPECT_NE(fpgaconf_main(3, argv), 0); +} + +/** + * @test main_soc_neg + * @brief Test: fpgaconf_main + * @details When the command params for socket are invalid,
    + * fpgaconf_main displays an error and returns non-zero.
    + */ +TEST_P(fpgaconf_c_p, main_soc_neg) { + char zero[20]; + char one[20]; + char two[20]; + strcpy(zero, "fpgaconf"); + strcpy(one, "-S"); + strcpy(two, "k"); + + char *argv[] = { zero, one, two }; + + EXPECT_NE(fpgaconf_main(3, argv), 0); +} + +/** + * @test main_missing_arg + * @brief Test: fpgaconf_main + * @details When the command params is missing an argument,
    + * fpgaconf_main displays an error and returns non-zero.
    + */ +TEST_P(fpgaconf_c_p, main_missing_arg) { + char zero[20]; + char one[20]; + strcpy(zero, "fpgaconf"); + strcpy(one, "-S"); + + char *argv[] = { zero, one }; + + EXPECT_NE(fpgaconf_main(2, argv), 0); +} + +/** + * @test main_missing_gbs + * @brief Test: fpgaconf_main + * @details When the command params is missing the gbs param,
    + * fpgaconf_main displays an error and returns non-zero.
    + */ +TEST_P(fpgaconf_c_p, main_missing_gbs) { + char zero[20]; + strcpy(zero, "fpgaconf"); + + char *argv[] = { zero }; + + EXPECT_NE(fpgaconf_main(1, argv), 0); +} + +/** + * @test embed_nullchar + * @brief Test: fpgaconf_main + * @details When the command params contains nullbyte,
    + * fpgaconf_main displays an error and returns non-zero.
    + */ +TEST_P(fpgaconf_c_p, embed_nullchar1) { + copy_bitstream("copy_bitstream.gbs"); + const char *argv[] = { "fpgaconf", "-B", "0x5e", "copy_bitstream\0.gbs"}; + + EXPECT_NE(fpgaconf_main(4, (char**)argv), 0); + unlink(copy_gbs_.c_str()); +} + +TEST_P(fpgaconf_c_p, embed_nullchar2) { + copy_bitstream("copy_bitstream.gbs"); + const char *argv[] = { "fpgaconf", "-B", "0x5e", "\0 copy_bitstream.gbs"}; + + EXPECT_NE(fpgaconf_main(4, (char**)argv), 0); + unlink(copy_gbs_.c_str()); +} + +/** + * @test encoding_path + * @brief Test: fpgaconf_main + * @details When command param is encoding path,
    + * fpgaconf_main displays file error and returns non-zero.
    + */ +TEST_P(fpgaconf_c_p, encoding_path) { + copy_bitstream("copy_bitstream.gbs"); + char zero[32]; + char one[32]; + char two[32]; + char three[40]; + strcpy(zero, "fpgaconf"); + strcpy(one, "-B"); + strcpy(two, "0x5e"); + + char *argv[] = { zero, one, two, three}; + + // File not found + strcpy(three, "copy_bitstream%2egbs"); + EXPECT_NE(fpgaconf_main(4, argv), 0); + + // File not found + memset(three, 0, sizeof(three)); + strcpy(three, "copy_bitstream..gbs"); + EXPECT_NE(fpgaconf_main(4, argv), 0); + + // File not found + memset(three, 0, sizeof(three)); + strcpy(three, "....copy_bitstream.gbs"); + EXPECT_NE(fpgaconf_main(4, argv), 0); + + // File not found + memset(three, 0, sizeof(three)); + strcpy(three, "%252E%252E%252Fcopy_bitstream.gbs"); + EXPECT_NE(fpgaconf_main(4, argv), 0); + + unlink(copy_gbs_.c_str()); +} + +/** + * @test relative_path + * @brief Test: fpgaconf_main + * @details When gbs file locates in parent directory and command params
    + * contains path traversal. On success, fpgaconf_main loads bitstream
    + * and returns zero. Otherwise, it displays file error and returns non-zero.
    + */ +TEST_P(fpgaconf_c_p, relative_path) { + copy_bitstream("../copy_bitstream.gbs"); + char zero[32]; + char one[32]; + char two[32]; + char three[32]; + char four[32]; + strcpy(zero, "fpgaconf"); + strcpy(one, "-n"); + strcpy(two, "-B"); + strcpy(three, "0x5e"); + + char *argv[] = { zero, one, two, three, four}; + + strcpy(four, "../copy_bitstream.gbs"); + EXPECT_EQ(fpgaconf_main(5, argv), 0); + + // Fail not found + memset(four, 0, sizeof(four)); + strcpy(four, "../..../copy_bitstream.gbs"); + EXPECT_NE(fpgaconf_main(5, argv), 0); + + // Fail not found + memset(four, 0, sizeof(four)); + strcpy(four, "..%2fcopy_bitstream.gbs"); + EXPECT_NE(fpgaconf_main(5, argv), 0); + + // Fail not found + memset(four, 0, sizeof(four)); + strcpy(four, "%2e%2e/copy_bitstream.gbs"); + EXPECT_NE(fpgaconf_main(5, argv), 0); + + // Fail not found + memset(four, 0, sizeof(four)); + strcpy(four, "%2e%2e%2fcopy_bitstream.gbs"); + EXPECT_NE(fpgaconf_main(5, argv), 0); + + unlink(copy_gbs_.c_str()); +} + +/** + * @test absolute_path_pos + * @brief Test: fpgaconf_main + * @details When the command params are valid with absolute gbs path,
    + * fpgaconf_main loads in bitstream and returns 0.
    + */ +TEST_P(fpgaconf_c_p, absolute_path_pos) { + copy_bitstream("copy_bitstream.gbs"); + char zero[32]; + char one[32]; + char two[32]; + char three[32]; + char four[128]; + strcpy(zero, "fpgaconf"); + strcpy(one, "-n"); + strcpy(two, "-B"); + strcpy(three, "0x5e"); + + char *argv[] = { zero, one, two, three, four}; + char *current_path = get_current_dir_name(); + std::string bitstream_path = (std::string)current_path + "/copy_bitstream.gbs"; + + strcpy(four, bitstream_path.c_str()); + EXPECT_EQ(fpgaconf_main(5, argv), 0); + + free(current_path); + unlink(copy_gbs_.c_str()); +} + +/** + * @test absolute_path_neg + * @brief Test: fpgaconf_main + * @details When the command params are valid but bitstream data is,
    + * invalid, fpgaconf_main loads in bitstream and fails to
    + * set userclock, it returns none-zero.
    + */ +TEST_P(fpgaconf_c_p, absolute_path_neg) { + bitstream_valid_ = system_->assemble_gbs_header(platform_.devices[0]); + std::ofstream gbs; + gbs.open(tmp_gbs_, std::ios::out|std::ios::binary); + gbs.write((const char *) bitstream_valid_.data(), bitstream_valid_.size()); + gbs.close(); + + copy_bitstream("copy_bitstream.gbs"); + char zero[32]; + char one[32]; + char two[32]; + char three[128]; + strcpy(zero, "fpgaconf"); + strcpy(one, "-B"); + strcpy(two, "0x5e"); + + char *argv[] = { zero, one, two, three}; + char *current_path = get_current_dir_name(); + std::string bitstream_path = (std::string)current_path + "/copy_bitstream.gbs"; + + strcpy(three, bitstream_path.c_str()); + EXPECT_NE(fpgaconf_main(4, argv), 0); + + free(current_path); + unlink(copy_gbs_.c_str()); +} + +/** + * @test read_symlink_bs + * @brief Test: + * @details Tests for symlink on gbs file. When successful,
    + * fpgaconf_main loads the bitstream into the gbs_data field
    + * and the fn returns 0. When file doesn't exist, fpgaconf_main
    + * displays error and returns -1.
    + */ +TEST_P(fpgaconf_c_p, main_symlink_bs) { + copy_bitstream("copy_bitstream.gbs"); + const std::string symlink_gbs = "bits_symlink"; + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + char four[20]; + char five[20]; + strcpy(zero, "fpgaconf"); + strcpy(one, "-V"); + strcpy(two, "-n"); + strcpy(three, "-B"); + strcpy(four, "0x5e"); + + char *argv[] = { zero, one, two, three, four, + five }; + + auto ret = symlink(copy_gbs_.c_str(), symlink_gbs.c_str()); + EXPECT_EQ(ret, 0); + // Success case + strcpy(five, symlink_gbs.c_str()); + EXPECT_EQ(fpgaconf_main(6, argv), 0); + + // remove bitstream file + unlink(copy_gbs_.c_str()); + + // Fail case + EXPECT_NE(fpgaconf_main(6, argv), 0); + unlink(symlink_gbs.c_str()); +} + +/** + * @test circular_symlink + * @brief Test: fpgaconf_c_p + * @details Tests for circular symlink on gbs file.
    + * fpgaconf_c_p displays error and returns -1.
    + */ +TEST_P(fpgaconf_c_p, circular_symlink) { + const std::string symlink_A = "./link1/bits_symlink_A"; + const std::string symlink_B = "./link2/bits_symlink_B"; + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + char four[20]; + char five[32]; + strcpy(zero, "fpgaconf"); + strcpy(one, "-v"); + strcpy(two, "-n"); + strcpy(three, "-B"); + strcpy(four, "0x5e"); + + char *argv[] = { zero, one, two, three, four, + five }; + + // Create link directories + auto ret = mkdir("./link1", S_IRWXU | S_IRWXG | S_IROTH | S_IXOTH); + EXPECT_EQ(ret, 0); + ret = mkdir("./link2", S_IRWXU | S_IRWXG | S_IROTH | S_IXOTH); + EXPECT_EQ(ret, 0); + + // Create circular symlinks + ret = symlink("link1", symlink_B.c_str()); + EXPECT_EQ(ret, 0); + ret = symlink("link2", symlink_A.c_str()); + EXPECT_EQ(ret, 0); + + strcpy(five, symlink_A.c_str()); + EXPECT_NE(fpgaconf_main(6, argv), 0); + + memset(five, 0, sizeof(five)); + strcpy(five, symlink_B.c_str()); + EXPECT_NE(fpgaconf_main(6, argv), 0); + + // Clean up + unlink(symlink_A.c_str()); + unlink(symlink_B.c_str()); + remove("link1"); + remove("link2"); +} + +INSTANTIATE_TEST_CASE_P(fpgaconf_c, fpgaconf_c_p, + ::testing::ValuesIn(test_platform::platforms({"skx-p"}))); + + +class fpgaconf_c_mock_p : public fpgaconf_c_p{ + protected: + fpgaconf_c_mock_p(){} +}; + +/** + * @test ifc_id0 + * @brief Test: print_interface_id + * @details When the config.target struct is populated with
    + * bus, device, function, and socket,
    + * print_interface_id uses those settings for enumeration,
    + * returning the number of matches found.
    + */ +TEST_P(fpgaconf_c_mock_p, ifc_id0) { + config.target.segment = platform_.devices[0].segment; + config.target.bus = platform_.devices[0].bus; + config.target.device = platform_.devices[0].device; + config.target.function = platform_.devices[0].function; + config.target.socket = platform_.devices[0].socket_id; + EXPECT_EQ(print_interface_id(test_guid), 1); +} + +/** + * @test find_fpga1 + * @brief Test: find_fpga + * @details When the config.target struct is populated with
    + * bus, device, function, and socket,
    + * find_fpga uses those settings in conjunction with the + * given PR interface ID for enumeration,
    + * returning the number of matches found.
    + */ +TEST_P(fpgaconf_c_mock_p, find_fpga1) { + config.target.segment = platform_.devices[0].segment; + config.target.bus = platform_.devices[0].bus; + config.target.device = platform_.devices[0].device; + config.target.function = platform_.devices[0].function; + config.target.socket = platform_.devices[0].socket_id; + + fpga_guid pr_ifc_id; + ASSERT_EQ(uuid_parse(platform_.devices[0].fme_guid, pr_ifc_id), 0); + + fpga_token tok = nullptr; + EXPECT_EQ(find_fpga(pr_ifc_id, &tok), 1); + ASSERT_NE(tok, nullptr); + EXPECT_EQ(fpgaDestroyToken(&tok), FPGA_OK); +} + +/** + * @test prog_bs0 + * @brief Test: program_bitstream + * @details When config.dry_run is set to true,
    + * program_bitstream skips the PR step,
    + * and the fn returns 1.
    + */ +TEST_P(fpgaconf_c_mock_p, prog_bs0) { + config.target.segment = platform_.devices[0].segment; + config.target.bus = platform_.devices[0].bus; + config.target.device = platform_.devices[0].device; + config.target.function = platform_.devices[0].function; + config.target.socket = platform_.devices[0].socket_id; + + config.dry_run = true; + + fpga_guid pr_ifc_id; + ASSERT_EQ(uuid_parse(platform_.devices[0].fme_guid, pr_ifc_id), 0); + + opae_bitstream_info info; + ASSERT_EQ(opae_load_bitstream(tmp_gbs_, &info), FPGA_OK); + + fpga_token tok = nullptr; + EXPECT_EQ(find_fpga(pr_ifc_id, &tok), 1); + ASSERT_NE(tok, nullptr); + + EXPECT_EQ(program_bitstream(tok, 0, &info, 0), 1); + + EXPECT_EQ(opae_unload_bitstream(&info), FPGA_OK); + EXPECT_EQ(fpgaDestroyToken(&tok), FPGA_OK); +} + +/** + * @test prog_bs1 + * @brief Test: program_bitstream + * @details When config.dry_run is set to false,
    + * program_bitstream attempts the PR,
    + * but fails to set user clocks,
    + * causing the function to return -1.
    + */ +TEST_P(fpgaconf_c_mock_p, prog_bs1) { + config.target.segment = platform_.devices[0].segment; + config.target.bus = platform_.devices[0].bus; + config.target.device = platform_.devices[0].device; + config.target.function = platform_.devices[0].function; + config.target.socket = platform_.devices[0].socket_id; + + ASSERT_EQ(config.dry_run, false); + + fpga_guid pr_ifc_id; + ASSERT_EQ(uuid_parse(platform_.devices[0].fme_guid, pr_ifc_id), 0); + + opae_bitstream_info info; + ASSERT_EQ(opae_load_bitstream(tmp_gbs_, &info), FPGA_OK); + + fpga_token tok = nullptr; + EXPECT_EQ(find_fpga(pr_ifc_id, &tok), 1); + ASSERT_NE(tok, nullptr); + + EXPECT_EQ(program_bitstream(tok, 0, &info, 0), -1); + + EXPECT_EQ(opae_unload_bitstream(&info), FPGA_OK); + EXPECT_EQ(fpgaDestroyToken(&tok), FPGA_OK); +} + +/** + * @test prog_bs2 + * @brief Test: program_bitstream + * @details When config.dry_run is set to false,
    + * program_bitstream attempts the PR,
    + * which fails when given an invalid bitstream,
    + * causing the function to return -1.
    + */ +TEST_P(fpgaconf_c_mock_p, prog_bs2) { + bitstream_valid_ = system_->assemble_gbs_header(platform_.devices[0]); + std::ofstream gbs; + gbs.open(tmp_gbs_, std::ios::out|std::ios::binary); + gbs.write((const char *) bitstream_valid_.data(), bitstream_valid_.size()); + gbs.close(); + + config.target.segment = platform_.devices[0].segment; + config.target.bus = platform_.devices[0].bus; + config.target.device = platform_.devices[0].device; + config.target.function = platform_.devices[0].function; + config.target.socket = platform_.devices[0].socket_id; + + ASSERT_EQ(config.dry_run, false); + + fpga_guid pr_ifc_id; + ASSERT_EQ(uuid_parse(platform_.devices[0].fme_guid, pr_ifc_id), 0); + + opae_bitstream_info info; + ASSERT_EQ(opae_load_bitstream(tmp_gbs_, &info), FPGA_OK); + + fpga_token tok = nullptr; + EXPECT_EQ(find_fpga(pr_ifc_id, &tok), 1); + ASSERT_NE(tok, nullptr); + + EXPECT_EQ(program_bitstream(tok, 0, &info, 0), -1); + + EXPECT_EQ(opae_unload_bitstream(&info), FPGA_OK); + EXPECT_EQ(fpgaDestroyToken(&tok), FPGA_OK); +} + +INSTANTIATE_TEST_CASE_P(fpgaconf_c, fpgaconf_c_mock_p, + ::testing::ValuesIn(test_platform::mock_platforms({"skx-p"}))); + diff --git a/tests/fpgainfo/CMakeLists.txt b/tests/fpgainfo/CMakeLists.txt new file mode 100644 index 0000000..58dde40 --- /dev/null +++ b/tests/fpgainfo/CMakeLists.txt @@ -0,0 +1,59 @@ +## Copyright(c) 2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +opae_test_add_static_lib(TARGET fpgainfo-static + SOURCE + ${OPAE_SDK_SOURCE}/tools/fpgainfo/bmcdata.c + ${OPAE_SDK_SOURCE}/tools/fpgainfo/bmcinfo.c + ${OPAE_SDK_SOURCE}/tools/fpgainfo/errors.c + ${OPAE_SDK_SOURCE}/tools/fpgainfo/fmeinfo.c + ${OPAE_SDK_SOURCE}/tools/fpgainfo/fpgainfo.c + ${OPAE_SDK_SOURCE}/tools/fpgainfo/portinfo.c + ${OPAE_SDK_SOURCE}/tools/fpgainfo/powerinfo.c + ${OPAE_SDK_SOURCE}/tools/fpgainfo/tempinfo.c + ${OPAE_SDK_SOURCE}/tools/fpgainfo/board.c + ${OPAE_SDK_SOURCE}/tools/fpgainfo/main.c + LIBS + argsfilter-static +) + +target_compile_definitions(fpgainfo-static + PRIVATE main=fpgainfo_main +) + +target_include_directories(fpgainfo-static + PRIVATE ${OPAE_SDK_SOURCE}/tools/argsfilter +) + +opae_test_add(TARGET test_fpgainfo_c + SOURCE test_fpgainfo_c.cpp + LIBS fpgainfo-static +) + +opae_test_add(TARGET test_fpgainfo_board_c + SOURCE test_board_c.cpp + LIBS fpgainfo-static +) diff --git a/tests/fpgainfo/test_board_c.cpp b/tests/fpgainfo/test_board_c.cpp new file mode 100644 index 0000000..d6f7038 --- /dev/null +++ b/tests/fpgainfo/test_board_c.cpp @@ -0,0 +1,309 @@ +// Copyright(c) 2019-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#include "gtest/gtest.h" +#include "mock/test_system.h" + +extern "C" { +fpga_result load_board_plugin(fpga_token token, void** dl_handle); +int unload_board_plugin(void); +int parse_mac_args(int argc, char *argv[]); +fpga_result mac_filter(fpga_properties * filter, int arc, char *argv[]); +fpga_result mac_command(fpga_token *tokens, int num_tokens, int argc, char *argv[]); +int parse_phy_args(int argc, char *argv[]); +fpga_result phy_filter(fpga_properties *filter, int argc, char *argv[]); +fpga_result phy_command(fpga_token *tokens, int num_tokens, int argc, char *argv[]); +fpga_result mac_info(fpga_token token); +fpga_result phy_group_info(fpga_token token); +} + +using namespace opae::testing; + +class fpgainfo_board_c_p : public ::testing::TestWithParam { + protected: + fpgainfo_board_c_p() {} + + virtual void SetUp() override + { + ASSERT_TRUE(test_platform::exists(GetParam())); + platform_ = test_platform::get(GetParam()); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + EXPECT_EQ(fpgaInitialize(nullptr), FPGA_OK); + + optind = 0; + } + + virtual void TearDown() override { + fpgaFinalize(); + system_->finalize(); + } + + test_platform platform_; + test_system *system_; +}; + +/** + * @test invalid_loading_tests + * @brief Test: load_board_plugin, unload_board_plugin + * @detail: Given invalid params to load_board_plugin, + * the fn returns FPGA_INVALID_PARAM + * unload_board_plugin return FPGA_OK + */ +TEST(fpgainfo_board_c, invalid_loading_tests) { + EXPECT_EQ(load_board_plugin(NULL, NULL), FPGA_INVALID_PARAM); + + EXPECT_EQ(unload_board_plugin(), FPGA_OK); +} + +/** + * @test invalid_loading_tests + * @brief Test: load_board_plugin + * @detail Given valid filter and invalid token upon enumeration, + * load_board_plugin returns FPGA_INVALID_PARAM + */ +TEST_P(fpgainfo_board_c_p, load_board_plugin) { + void* dl_handle = NULL; + fpga_properties filter = NULL; + fpga_token tokens = NULL; + uint32_t matches = 0; + + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + ASSERT_EQ(fpgaEnumerate(&filter, 1, &tokens, 1, &matches), FPGA_OK); + ASSERT_GT(matches, 0); + + EXPECT_EQ(load_board_plugin(&tokens, &dl_handle), FPGA_INVALID_PARAM); + + EXPECT_EQ(fpgaDestroyProperties(&filter), FPGA_OK); + EXPECT_EQ(fpgaDestroyToken(&tokens), FPGA_OK); +} + +/** + * @test parse_mac_args0 + * @brief Test: parse_mac_args + * @details When passed with valid command options,
    + * the fn returns 0. For invalid options, fn returns -1.
    + */ +TEST_P(fpgainfo_board_c_p, parse_mac_args0) { + char zero[20]; + char one[20]; + char two[20]; + char *argv[] = { zero, one, two }; + + strcpy(zero, "fpgainfo"); + strcpy(one, "mac"); + EXPECT_EQ(parse_mac_args(2, argv), 0); + + strcpy(two, "-h"); + EXPECT_EQ(parse_mac_args(3, argv), -1); + + strcpy(two, "-z"); + EXPECT_EQ(parse_mac_args(3, argv), -1); +} + +/** + * @test mac_filter + * @brief Test: mac_filter + * @details When passed with invalid command options,
    + * the fn returns FPGA_INVALID_PARAM.
    + */ +TEST_P(fpgainfo_board_c_p, mac_filter) { + char zero[20]; + char one[20]; + char *argv[] = { zero, one }; + + fpga_properties filter = NULL; + strcpy(zero, "fpgainfo"); + strcpy(one, "mac"); + EXPECT_EQ(mac_filter(&filter, 2, argv), FPGA_INVALID_PARAM); +} + +/** +* @test mac_command0 +* @brief Test: mac_command +* @details When passed with invalid token,
    +* the fn returns FPGA_INVALID_PARAM.
    +*/ +TEST_P(fpgainfo_board_c_p, mac_command0) { + char *argv[] = { }; + + fpga_token tokens = NULL; + EXPECT_EQ(mac_command(&tokens, 0, 0, argv), FPGA_OK); +} + +/** +* @test mac_command1 +* @brief Test: mac_command +* @details When passed with valid token and fails to get properties,
    +* the fn returns FPGA_INVALID_PARAM.
    +*/ +TEST_P(fpgainfo_board_c_p, mac_command1) { + char *argv[] = { }; + + fpga_properties filter = NULL; + fpga_token tokens = NULL; + uint32_t matches = 0, num_tokens = 0; + + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + ASSERT_EQ(fpgaEnumerate(&filter, 1, &tokens, 1, &matches), FPGA_OK); + ASSERT_GT(matches, 0); + + EXPECT_EQ(mac_command(&tokens, num_tokens, 0, argv), FPGA_OK); + + EXPECT_EQ(fpgaDestroyProperties(&filter), FPGA_OK); + EXPECT_EQ(fpgaDestroyToken(&tokens), FPGA_OK); +} + +/** +* @test mac_info +* @brief Test: mac_info +* @details When passed with invalid token,
    +* the fn returns FPGA_INVALID_PARAM.
    +*/ +TEST_P(fpgainfo_board_c_p, mac_info) { + fpga_token tokens = NULL; + EXPECT_EQ(mac_info(tokens), FPGA_INVALID_PARAM); +} + +/** + * @test parse_phy_args0 + * @brief Test: parse_phy_args + * @details When passed with valid command options,
    + * the fn returns 0. For invalid options, fn returns -1.
    + */ +TEST_P(fpgainfo_board_c_p, parse_phy_args0) { + char zero[20]; + char one[20]; + char two[20]; + char *argv[] = { zero, one, two }; + + strcpy(zero, "fpgainfo"); + strcpy(one, "phy"); + EXPECT_EQ(parse_phy_args(2, argv), 0); + + strcpy(two, "-h"); + EXPECT_EQ(parse_phy_args(3, argv), -1); + + strcpy(two, "-z"); + EXPECT_EQ(parse_phy_args(3, argv), -1); +} + +/** + * @test parse_phy_args0 + * @brief Test: parse_phy_args + * @details When passed with valid command options,
    + * the fn returns 0. + * For invalid options, fn returns -1.
    + */ +TEST_P(fpgainfo_board_c_p, parse_phy_args1) { + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + char *argv[] = { zero, one, two, three}; + + strcpy(zero, "fpgainfo"); + strcpy(one, "phy"); + strcpy(two, "-G"); + strcpy(three, "all"); + EXPECT_EQ(parse_phy_args(4, argv), 0); + + strcpy(three, "0"); + EXPECT_EQ(parse_phy_args(4, argv), 0); + + strcpy(three, "1"); + EXPECT_EQ(parse_phy_args(4, argv), 0); + + strcpy(three, "99"); + EXPECT_EQ(parse_phy_args(4, argv), -1); +} + +/** + * @test phy_filter + * @brief Test: phy_filter + * @details When passed with invalid command options,
    + * the fn returns FPGA_INVALID_PARAM.
    + */ +TEST_P(fpgainfo_board_c_p, phy_filter) { + char zero[20]; + char one[20]; + char *argv[] = { zero, one }; + + fpga_properties filter = NULL; + strcpy(zero, "fpgainfo"); + strcpy(one, "phy"); + EXPECT_EQ(phy_filter(&filter, 2, argv), FPGA_INVALID_PARAM); +} + +/** +* @test phy_command0 +* @brief Test: phy_command +* @details The phy_command fn always returen FPGA_OK
    +*/ +TEST_P(fpgainfo_board_c_p, phy_command0) { + char *argv[] = { }; + + fpga_token tokens = NULL; + EXPECT_EQ(phy_command(&tokens, 0, 0, argv), FPGA_OK); +} + +/** +* @test phy_command1 +* @brief Test: phy_command +* @details The phy_command fn always returen FPGA_OK
    +*/ +TEST_P(fpgainfo_board_c_p, phy_command1) { + char *argv[] = { }; + + fpga_properties filter = NULL; + fpga_token tokens = NULL; + uint32_t matches = 0, num_tokens = 0; + + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + ASSERT_EQ(fpgaEnumerate(&filter, 1, &tokens, 1, &matches), FPGA_OK); + ASSERT_GT(matches, 0); + + EXPECT_EQ(phy_command(&tokens, num_tokens, 0, argv), FPGA_OK); + + EXPECT_EQ(fpgaDestroyProperties(&filter), FPGA_OK); + EXPECT_EQ(fpgaDestroyToken(&tokens), FPGA_OK); +} + +/** +* @test phy_group_info +* @brief Test: phy_group_info +* @details Given an invalid option to phy_grou_info, the
    +* fn returns FPGA_INVALID_PARAM.
    +*/ +TEST_P(fpgainfo_board_c_p, phy_group_info) { + fpga_token tokens = NULL; + EXPECT_EQ(phy_group_info(tokens), FPGA_INVALID_PARAM); +} + +INSTANTIATE_TEST_CASE_P(fpgainfo_c, fpgainfo_board_c_p, + ::testing::ValuesIn(test_platform::platforms({ "skx-p","dcp-rc","dcp-vc" }))); + diff --git a/tests/fpgainfo/test_fpgainfo_c.cpp b/tests/fpgainfo/test_fpgainfo_c.cpp new file mode 100644 index 0000000..215afd7 --- /dev/null +++ b/tests/fpgainfo/test_fpgainfo_c.cpp @@ -0,0 +1,1448 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include +#include + +extern "C" { + +typedef fpga_result (*filter_fn)(fpga_properties *, int, char **); +typedef fpga_result (*command_fn)(fpga_token *, int, int, char **); +typedef void (*help_fn)(void); + +typedef enum metrics_inquiry { FPGA_ALL, FPGA_POWER, FPGA_THERMAL } metrics_inquiry; + +struct command_handler { + const char *command; + filter_fn filter; + command_fn run; + help_fn help; +}; +extern struct command_handler *cmd_array; + +void help(void); + +int parse_args(int argc, char *argv[]); + +struct command_handler *get_command(char *cmd); + +fpga_result errors_filter(fpga_properties *filter, int argc, char *argv[]); + +fpga_result errors_command(fpga_token *tokens, int num_tokens, int argc, + char *argv[]); +void errors_help(void); + +fpga_result fme_filter(fpga_properties *filter, int argc, char *argv[]); + +fpga_result fme_command(fpga_token *tokens, int num_tokens, int argc, + char *argv[]); +void fme_help(void); + +void fpgainfo_print_common(const char *hdr, fpga_properties props); + +void fpgainfo_print_err(const char *s, fpga_result res); + +fpga_result port_filter(fpga_properties *filter, int argc, char *argv[]); + +fpga_result port_command(fpga_token *tokens, int num_tokens, int argc, + char *argv[]); +void port_help(void); + +fpga_result power_filter(fpga_properties *filter, int argc, char *argv[]); + +fpga_result power_command(fpga_token *tokens, int num_tokens, int argc, + char *argv[]); +void power_help(void); + +fpga_result temp_filter(fpga_properties *filter, int argc, char *argv[]); + +fpga_result temp_command(fpga_token *tokens, int num_tokens, int argc, + char *argv[]); +void temp_help(void); + +fpga_result bmc_filter(fpga_properties *filter, int argc, char *argv[]); + +fpga_result bmc_command(fpga_token *tokens, int num_tokens, int argc, + char *argv[]); +void bmc_help(void); + +fpga_result perf_command(fpga_token *tokens, int num_tokens, int argc, + char *argv[]); +void perf_help(void); + +fpga_result get_metrics(fpga_token token, metrics_inquiry inquiry, + fpga_metric_info *metrics_info, uint64_t *num_metrics_info, + fpga_metric *metrics,uint64_t *num_metrics); + +void replace_chars(char *str, char match, char rep); + +void upcase_pci(char *str, size_t len); + +void upcase_first(char *str); + +int str_in_list(const char *key, const char *list[], size_t size); + +int fpgainfo_main(int argc, char *argv[]); + +int parse_error_args(int argc, char *argv[]); + +} + +#include +#include +#include +#include +#include +#include +#include +#include "gtest/gtest.h" +#include "mock/test_system.h" + +using namespace opae::testing; + +class fpgainfo_c_p : public ::testing::TestWithParam { + protected: + fpgainfo_c_p() {} + + virtual void SetUp() override + { + std::string platform_key = GetParam(); + ASSERT_TRUE(test_platform::exists(platform_key)); + platform_ = test_platform::get(platform_key); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + EXPECT_EQ(fpgaInitialize(nullptr), FPGA_OK); + + optind = 0; + } + + virtual void TearDown() override { + fpgaFinalize(); + system_->finalize(); + } + + test_platform platform_; + test_system *system_; +}; + +/** + * @test get_command0 + * @brief Test: get_command + * @details When passed with valid commands, the fn
    + * returns non-nullptr
    + */ +TEST_P(fpgainfo_c_p, get_command0) { + char cmd[20]; + + strcpy(cmd, "errors"); + EXPECT_NE(get_command(cmd), nullptr); + + strcpy(cmd, "power"); + EXPECT_NE(get_command(cmd), nullptr); + + strcpy(cmd, "temp"); + EXPECT_NE(get_command(cmd), nullptr); + + strcpy(cmd, "fme"); + EXPECT_NE(get_command(cmd), nullptr); + + strcpy(cmd, "port"); + EXPECT_NE(get_command(cmd), nullptr); + + /* + strcpy(cmd, "bmc"); + EXPECT_NE(get_command(cmd), nullptr); + */ +} + +/** + * @test get_command1 + * @brief Test: get_command + * @details When passed with invalid commands, the fn
    + * returns nullptr
    + */ +TEST_P(fpgainfo_c_p, get_command1) { + char cmd[20]; + + strcpy(cmd, "???"); + EXPECT_EQ(get_command(cmd), nullptr); +} + + +/** + * @test errors_filter0 + * @brief Test: errors_filter + * @details When passed with valid arguments, the function
    + * returns FPGA_OK.
    + */ +TEST_P(fpgainfo_c_p, errors_filter0) { + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + char *argv3[] = { zero, one, two }; + char *argv4[] = { zero, one, two, three }; + + fpga_properties filter = NULL; + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + + strcpy(zero, "fpgainfo"); + strcpy(one, "errors"); + strcpy(two, "fme"); + EXPECT_EQ(errors_filter(&filter, 3, argv3), FPGA_OK); + + strcpy(two, "port"); + EXPECT_EQ(errors_filter(&filter, 3, argv3), FPGA_OK); + + strcpy(two, "all"); + EXPECT_EQ(errors_filter(&filter, 3, argv3), FPGA_OK); + + strcpy(two, "fme"); + strcpy(three, "-c"); + EXPECT_EQ(errors_filter(&filter, 4, argv4), FPGA_OK); + + strcpy(two, "port"); + EXPECT_EQ(errors_filter(&filter, 4, argv4), FPGA_OK); + + strcpy(two, "all"); + EXPECT_EQ(errors_filter(&filter, 4, argv4), FPGA_OK); + + strcpy(two, "fme"); + strcpy(three, "--force"); + EXPECT_EQ(errors_filter(&filter, 4, argv4), FPGA_OK); + + strcpy(two, "port"); + EXPECT_EQ(errors_filter(&filter, 4, argv4), FPGA_OK); + + strcpy(two, "all"); + EXPECT_EQ(errors_filter(&filter, 4, argv4), FPGA_OK); + + ASSERT_EQ(fpgaDestroyProperties(&filter), FPGA_OK); +} + +/** + * @test errors_command0 + * @brief Test: errors_command + * @details When passed with valid arguments, the fn prints
    + * relevant information and returns FPGA_OK.
    + */ +TEST_P(fpgainfo_c_p, errors_command0) { + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + char *argv3[] = { zero, one, two }; + char *argv4[] = { zero, one, two, three }; + + fpga_properties filter = NULL; + fpga_token *tokens = NULL; + uint32_t matches = 0, num_tokens = 0;; + + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + ASSERT_EQ(fpgaEnumerate(&filter, 1, NULL, 0, &matches), FPGA_OK); + ASSERT_GT(matches, 0); + tokens = (fpga_token *)malloc(matches * sizeof(fpga_token)); + + num_tokens = matches; + ASSERT_EQ(fpgaEnumerate(&filter, 1, tokens, num_tokens, &matches), FPGA_OK); + + strcpy(zero, "fpgainfo"); + strcpy(one, "errors"); + strcpy(two, "all"); + EXPECT_EQ(errors_command(tokens, num_tokens, 3, argv3), FPGA_OK); + + strcpy(two, "fme"); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter,FPGA_DEVICE), FPGA_OK); + EXPECT_EQ(errors_command(tokens, num_tokens, 3, argv3), FPGA_OK); + + strcpy(two, "port"); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter,FPGA_ACCELERATOR), FPGA_OK); + EXPECT_EQ(errors_command(tokens, num_tokens, 3, argv3), FPGA_OK); + + strcpy(two, "all"); + strcpy(three, "-h"); + EXPECT_EQ(errors_command(tokens, num_tokens, 4, argv4), FPGA_OK); + + strcpy(two, "fme"); + strcpy(three, "-h"); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter,FPGA_DEVICE), FPGA_OK); + EXPECT_EQ(errors_command(tokens, num_tokens, 4, argv4), FPGA_OK); + + strcpy(two, "port"); + strcpy(three, "-h"); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter,FPGA_ACCELERATOR), FPGA_OK); + EXPECT_EQ(errors_command(tokens, num_tokens, 4, argv4), FPGA_OK); + + strcpy(two, "all"); + strcpy(three, "-c"); + EXPECT_EQ(errors_command(tokens, num_tokens, 4, argv4), FPGA_OK); + + strcpy(two, "fme"); + strcpy(three, "-c"); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter,FPGA_DEVICE), FPGA_OK); + EXPECT_EQ(errors_command(tokens, num_tokens, 4, argv4), FPGA_OK); + + strcpy(two, "port"); + strcpy(three, "-c"); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter,FPGA_ACCELERATOR), FPGA_OK); + EXPECT_EQ(errors_command(tokens, num_tokens, 4, argv4), FPGA_OK); + + strcpy(two, "all"); + strcpy(three, "--force"); + EXPECT_EQ(errors_command(tokens, num_tokens, 4, argv4), FPGA_OK); + + strcpy(two, "fme"); + strcpy(three, "--force"); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter,FPGA_DEVICE), FPGA_OK); + EXPECT_EQ(errors_command(tokens, num_tokens, 4, argv4), FPGA_OK); + + strcpy(two, "port"); + strcpy(three, "--force"); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter,FPGA_ACCELERATOR), FPGA_OK); + EXPECT_EQ(errors_command(tokens, num_tokens, 4, argv4), FPGA_OK); + + for (uint32_t i = 0; i < num_tokens; ++i) { + fpgaDestroyToken(&tokens[i]); + } + free(tokens); + fpgaDestroyProperties(&filter); +} + +/** + * @test parse_error_args_errors_clear + * @brief Test: parse_error_args + * @details When passed the clear errors option, the function
    + * prints and clears errors.
    + */ +TEST_P(fpgainfo_c_p, parse_error_args_errors_clear) { + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + char *argv[] = { zero, one, two, three }; + + fpga_properties filter = NULL; + fpga_token *tokens = NULL; + uint32_t matches = 0, num_tokens = 0;; + + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + ASSERT_EQ(fpgaEnumerate(&filter, 1, NULL, 0, &matches), FPGA_OK); + ASSERT_GT(matches, 0); + tokens = (fpga_token *)malloc(matches * sizeof(fpga_token)); + + num_tokens = matches; + ASSERT_EQ(fpgaEnumerate(&filter, 1, tokens, num_tokens, &matches), FPGA_OK); + + strcpy(zero, "fpgainfo"); + strcpy(one, "errors"); + strcpy(two, "all"); + strcpy(three, "-c"); + EXPECT_EQ(parse_error_args(4, argv), 0); + + EXPECT_EQ(errors_command(tokens, num_tokens, 4, argv), FPGA_OK); + + for (uint32_t i = 0; i < num_tokens; ++i) { + fpgaDestroyToken(&tokens[i]); + } + free(tokens); + fpgaDestroyProperties(&filter); +} + +/** + * @test parse_error_args_neg + * @brief Test: parse_error_args + * @details When passed an invalid option, the function prints
    + * help message for errors subcommand and returns + * an error.
    + */ +TEST_P(fpgainfo_c_p, parse_error_args_neg) { + char zero[20]; + char one[20]; + char *argv[] = { zero, one }; + + strcpy(zero, "fpgainfo"); + strcpy(one, "-k"); + EXPECT_NE(parse_error_args(2, argv), 0); +} + +/** + * @test errors_help + * @brief Test: errors_help + * @details The function prints help message for errors
    + * subcommand.
    + */ +TEST_P(fpgainfo_c_p, errors_help) { + errors_help(); +} + +/** + * @test parse_error_args_help + * @brief Test: parse_error_args + * @details When passed the help option, the function prints
    + * help message for errors subcommand.
    + */ +TEST_P(fpgainfo_c_p, parse_error_args_help) { + char zero[20]; + char one[20]; + char *argv[] = { zero, one }; + + std::string expected = "\nPrint and clear errors\n" + " fpgainfo errors [-h] [-c] {all,fme,port}\n\n" + " -h,--help Print this help\n" + " -c,--clear Clear all errors\n" + " --force Retry clearing errors 64 times\n" + " to clear certain error conditions\n" + "\n"; + + strcpy(zero, "fpgainfo"); + strcpy(one, "-h"); + + testing::internal::CaptureStdout(); + + EXPECT_NE(parse_error_args(2, argv), 0); + + std::string log_stdout = testing::internal::GetCapturedStdout(); + + EXPECT_TRUE(log_stdout.find(expected) != std::string::npos); +} + +/** + * @test errors_filter1 + * @brief Test: errors_filter + * @details When passed with invalid arguments, the function
    + * prints help message and returns FPGA_OK.
    + */ +TEST_P(fpgainfo_c_p, errors_filter1) { + char zero[20]; + char one[20]; + char two[20]; + char *argv[] = { zero, one, two }; + + fpga_properties filter = NULL; + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + + strcpy(zero, "fpgainfo"); + strcpy(one, "errors"); + strcpy(two, "???"); + EXPECT_EQ(errors_filter(&filter, 3, argv), FPGA_OK); + + ASSERT_EQ(fpgaDestroyProperties(&filter), FPGA_OK); +} + +/** + * @test errors_filter2 + * @brief Test: errors_filter + * @details When passed with argument that requires additional
    + * option, missing the option causes the fn to print
    + * help messages and return FPGA_OK.
    + */ +TEST_P(fpgainfo_c_p, errors_filter2) { + char zero[20]; + char one[20]; + char two[20]; + char *argv2[] = { zero, one }; + char *argv3[] = { zero, one, two }; + + fpga_properties filter = NULL; + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + + strcpy(zero, "fpgainfo"); + strcpy(one, "errors"); + EXPECT_EQ(errors_filter(&filter, 2, argv2), FPGA_OK); + + strcpy(two, "-c"); + EXPECT_EQ(errors_filter(&filter, 3, argv3), FPGA_OK); + + strcpy(two, "--force"); + EXPECT_EQ(errors_filter(&filter, 3, argv3), FPGA_OK); + + ASSERT_EQ(fpgaDestroyProperties(&filter), FPGA_OK); +} + +/** + * @test fme_filter0 + * @brief Test: fme_filter + * @details When passed with valid arguments, the function
    + * returns FPGA_OK.
    + */ +TEST_P(fpgainfo_c_p, fme_filter0) { + char zero[20]; + char one[20]; + char *argv[] = { zero, one }; + + fpga_properties filter = NULL; + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + + strcpy(zero, "fpgainfo"); + strcpy(one, "fme"); + EXPECT_EQ(fme_filter(&filter, 2, argv), FPGA_OK); + ASSERT_EQ(fpgaDestroyProperties(&filter), FPGA_OK); +} + +/** + * @test fme_command0 + * @brief Test: fme_command + * @details When passed with valid arguments, the fn prints
    + * relevant information and returns FPGA_OK.
    + */ +TEST_P(fpgainfo_c_p, fme_command0) { + char zero[20]; + char one[20]; + char *argv[] = { zero, one }; + + fpga_properties filter = NULL; + fpga_token *tokens = NULL; + uint32_t matches = 0, num_tokens = 0;; + + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter,FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(fpgaEnumerate(&filter, 1, NULL, 0, &matches), FPGA_OK); + ASSERT_GT(matches, 0); + tokens = (fpga_token *)malloc(matches * sizeof(fpga_token)); + + num_tokens = matches; + ASSERT_EQ(fpgaEnumerate(&filter, 1, tokens, num_tokens, &matches), FPGA_OK); + + strcpy(zero, "fpgainfo"); + strcpy(one, "fme"); + EXPECT_EQ(fme_command(tokens, num_tokens, 2, argv), FPGA_OK); + + for (uint32_t i = 0; i < num_tokens; ++i) { + fpgaDestroyToken(&tokens[i]); + } + free(tokens); + fpgaDestroyProperties(&filter); +} + +/** + * @test fme_command1 + * @brief Test: fme_command + * @details When passed with '-h', the fn prints
    + * fme help message and returns FPGA_OK.
    + */ +TEST_P(fpgainfo_c_p, fme_command1) { + char zero[20]; + char one[20]; + char two[20]; + char *argv[] = { zero, one, two }; + + fpga_token *tokens = NULL; + + strcpy(zero, "fpgainfo"); + strcpy(one, "fme"); + strcpy(two, "-h"); + EXPECT_EQ(fme_command(tokens, 0, 3, argv), FPGA_OK); +} + +/** + * @test fme_help + * @brief Test: fme_help + * @details The function prints help message for fme subcommand.
    + */ +TEST_P(fpgainfo_c_p, fme_help) { + fme_help(); +} + +/** + * @test port_filter0 + * @brief Test: port_filter + * @details When passed with valid arguments, the function
    + * returns FPGA_OK.
    + */ +TEST_P(fpgainfo_c_p, port_filter0) { + char zero[20]; + char one[20]; + char *argv[] = { zero, one }; + + fpga_properties filter = NULL; + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + + strcpy(zero, "fpgainfo"); + strcpy(one, "port"); + EXPECT_EQ(port_filter(&filter, 2, argv), FPGA_OK); + ASSERT_EQ(fpgaDestroyProperties(&filter), FPGA_OK); +} + +/** + * @test port_command0 + * @brief Test: port_command + * @details When passed with valid arguments, the fn prints
    + * relevant information and returns FPGA_OK.
    + */ +TEST_P(fpgainfo_c_p, port_command0) { + char zero[20]; + char one[20]; + char *argv[] = { zero, one }; + + fpga_properties filter = NULL; + fpga_token *tokens = NULL; + uint32_t matches = 0, num_tokens = 0;; + + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter,FPGA_ACCELERATOR), FPGA_OK); + ASSERT_EQ(fpgaEnumerate(&filter, 1, NULL, 0, &matches), FPGA_OK); + ASSERT_GT(matches, 0); + tokens = (fpga_token *)malloc(matches * sizeof(fpga_token)); + + num_tokens = matches; + ASSERT_EQ(fpgaEnumerate(&filter, 1, tokens, num_tokens, &matches), FPGA_OK); + + strcpy(zero, "fpgainfo"); + strcpy(one, "port"); + EXPECT_EQ(port_command(tokens, num_tokens, 2, argv), FPGA_OK); + + for (uint32_t i = 0; i < num_tokens; ++i) { + fpgaDestroyToken(&tokens[i]); + } + free(tokens); + fpgaDestroyProperties(&filter); +} + +/** + * @test port_command1 + * @brief Test: port_command + * @details When passed with '-h', the fn prints
    + * port help message and returns FPGA_OK.
    + */ +TEST_P(fpgainfo_c_p, port_command1) { + char zero[20]; + char one[20]; + char two[20]; + char *argv[] = { zero, one, two }; + + fpga_token *tokens = NULL; + + strcpy(zero, "fpgainfo"); + strcpy(one, "port"); + strcpy(two, "-h"); + EXPECT_EQ(port_command(tokens, 0, 3, argv), FPGA_OK); +} + +/** + * @test port_help + * @brief Test: port_help + * @details The function prints help message for port subcommand.
    + */ +TEST_P(fpgainfo_c_p, port_help) { + port_help(); +} + +/** + * @test power_filter0 + * @brief Test: power_filter + * @details When passed with valid arguments, the function
    + * returns FPGA_OK.
    + */ +TEST_P(fpgainfo_c_p, power_filter0) { + char zero[20]; + char one[20]; + char *argv[] = { zero, one }; + + fpga_properties filter = NULL; + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + + strcpy(zero, "fpgainfo"); + strcpy(one, "power"); + EXPECT_EQ(power_filter(&filter, 2, argv), FPGA_OK); + ASSERT_EQ(fpgaDestroyProperties(&filter), FPGA_OK); +} + +/** + * @test power_command0 + * @brief Test: power_command + * @details When passed with valid arguments, the fn prints
    + * relevant information and returns FPGA_OK.
    + */ +TEST_P(fpgainfo_c_p, power_command0) { + char zero[20]; + char one[20]; + char *argv[] = { zero, one }; + + fpga_properties filter = NULL; + fpga_token *tokens = NULL; + uint32_t matches = 0, num_tokens = 0;; + + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter,FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(fpgaEnumerate(&filter, 1, NULL, 0, &matches), FPGA_OK); + ASSERT_GT(matches, 0); + tokens = (fpga_token *)malloc(matches * sizeof(fpga_token)); + + num_tokens = matches; + ASSERT_EQ(fpgaEnumerate(&filter, 1, tokens, num_tokens, &matches), FPGA_OK); + + strcpy(zero, "fpgainfo"); + strcpy(one, "power"); + EXPECT_EQ(power_command(tokens, num_tokens, 2, argv), FPGA_OK); + + for (uint32_t i = 0; i < num_tokens; ++i) { + fpgaDestroyToken(&tokens[i]); + } + free(tokens); + fpgaDestroyProperties(&filter); +} + +/** + * @test power_command1 + * @brief Test: power_command + * @details When passed with '-h', the fn prints
    + * power help message and returns FPGA_OK.
    + */ +TEST_P(fpgainfo_c_p, power_command1) { + char zero[20]; + char one[20]; + char two[20]; + char *argv[] = { zero, one, two }; + + fpga_token *tokens = NULL; + + strcpy(zero, "fpgainfo"); + strcpy(one, "power"); + strcpy(two, "-h"); + EXPECT_EQ(power_command(tokens, 0, 3, argv), FPGA_OK); +} + +/** + * @test power_help + * @brief Test: power_help + * @details The function prints help message for power subcommand.
    + */ +TEST_P(fpgainfo_c_p, power_help) { + power_help(); +} + +/** + * @test temp_filter0 + * @brief Test: temp_filter + * @details When passed with valid arguments, the function
    + * returns FPGA_OK.
    + */ +TEST_P(fpgainfo_c_p, temp_filter0) { + char zero[20]; + char one[20]; + char *argv[] = { zero, one }; + + fpga_properties filter = NULL; + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + + strcpy(zero, "fpgainfo"); + strcpy(one, "temp"); + EXPECT_EQ(temp_filter(&filter, 2, argv), FPGA_OK); + ASSERT_EQ(fpgaDestroyProperties(&filter), FPGA_OK); +} + +/** + * @test temp_command0 + * @brief Test: temp_command + * @details When passed with valid arguments, the fn prints
    + * relevant information and returns FPGA_OK.
    + */ +TEST_P(fpgainfo_c_p, temp_command0) { + char zero[20]; + char one[20]; + char *argv[] = { zero, one }; + + fpga_properties filter = NULL; + fpga_token *tokens = NULL; + uint32_t matches = 0, num_tokens = 0;; + + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter,FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(fpgaEnumerate(&filter, 1, NULL, 0, &matches), FPGA_OK); + ASSERT_GT(matches, 0); + tokens = (fpga_token *)malloc(matches * sizeof(fpga_token)); + + num_tokens = matches; + ASSERT_EQ(fpgaEnumerate(&filter, 1, tokens, num_tokens, &matches), FPGA_OK); + + strcpy(zero, "fpgainfo"); + strcpy(one, "temp"); + EXPECT_EQ(temp_command(tokens, num_tokens, 2, argv), FPGA_OK); + + for (uint32_t i = 0; i < num_tokens; ++i) { + fpgaDestroyToken(&tokens[i]); + } + free(tokens); + fpgaDestroyProperties(&filter); +} + +/** + * @test temp_command1 + * @brief Test: temp_command + * @details When passed with '-h', the fn prints
    + * temp help message and returns FPGA_OK.
    + */ +TEST_P(fpgainfo_c_p, temp_command1) { + char zero[20]; + char one[20]; + char two[20]; + char *argv[] = { zero, one, two }; + + fpga_token *tokens = NULL; + + strcpy(zero, "fpgainfo"); + strcpy(one, "temp"); + strcpy(two, "-h"); + EXPECT_EQ(temp_command(tokens, 0, 3, argv), FPGA_OK); +} + +/** + * @test temp_help + * @brief Test: temp_help + * @details The function prints help message for temp subcommand.
    + */ +TEST_P(fpgainfo_c_p, temp_help) { + temp_help(); +} + +/** + * @test bmc_filter0 + * @brief Test: bmc_filter + * @details When passed with valid arguments, the function
    + * returns FPGA_OK.
    + */ +TEST_P(fpgainfo_c_p, bmc_filter0) { + char zero[20]; + char one[20]; + char *argv[] = { zero, one }; + + fpga_properties filter = NULL; + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + + strcpy(zero, "fpgainfo"); + strcpy(one, "bmc"); + EXPECT_EQ(bmc_filter(&filter, 2, argv), FPGA_OK); + ASSERT_EQ(fpgaDestroyProperties(&filter), FPGA_OK); +} + +/** + * @test bmc_command0 + * @brief Test: bmc_command + * @details When passed with valid arguments, the fn prints
    + * relevant information and returns FPGA_OK.
    + */ +TEST_P(fpgainfo_c_p, bmc_command0) { + char zero[20]; + char one[20]; + char *argv[] = { zero, one }; + + fpga_properties filter = NULL; + fpga_token *tokens = NULL; + uint32_t matches = 0, num_tokens = 0;; + + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter,FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(fpgaEnumerate(&filter, 1, NULL, 0, &matches), FPGA_OK); + ASSERT_GT(matches, 0); + tokens = (fpga_token *)malloc(matches * sizeof(fpga_token)); + + num_tokens = matches; + ASSERT_EQ(fpgaEnumerate(&filter, 1, tokens, num_tokens, &matches), FPGA_OK); + + strcpy(zero, "fpgainfo"); + strcpy(one, "bmc"); + EXPECT_EQ(bmc_command(tokens, num_tokens, 2, argv), FPGA_OK); + + for (uint32_t i = 0; i < num_tokens; ++i) { + fpgaDestroyToken(&tokens[i]); + } + free(tokens); + fpgaDestroyProperties(&filter); +} + +/** + * @test bmc_command1 + * @brief Test: bmc_command + * @details When passed with '-h', the fn prints
    + * bmc help message and returns FPGA_OK.
    + */ +TEST_P(fpgainfo_c_p, bmc_command1) { + char zero[20]; + char one[20]; + char two[20]; + char *argv[] = { zero, one, two }; + + fpga_token *tokens = NULL; + + strcpy(zero, "fpgainfo"); + strcpy(one, "bmc"); + strcpy(two, "-h"); + EXPECT_EQ(bmc_command(tokens, 0, 3, argv), FPGA_OK); +} + +/** + * @test bmc_command2 + * @brief Test: bmc_command + * @details When passed with invalid '-xyz', the fn prints
    + * bmc help message and returns FPGA_INVALID_PARAM.
    + */ +TEST_P(fpgainfo_c_p, bmc_command2) { + char zero[20]; + char one[20]; + char two[20]; + char *argv[] = { zero, one, two }; + + fpga_token *tokens = NULL; + + strcpy(zero, "fpgainfo"); + strcpy(one, "bmc"); + strcpy(two, "-xyz"); + EXPECT_EQ(bmc_command(tokens, 0, 3, argv), FPGA_INVALID_PARAM); +} + +/** + * @test perf_command0 + * @brief Test: perf_command + * @details When passed with valid arguments, the fn prints
    + * relevant information and returns FPGA_OK.
    + */ +TEST_P(fpgainfo_c_p, perf_command0) { + char zero[20]; + char one[20]; + char *argv[] = { zero, one }; + + fpga_properties filter = NULL; + fpga_token *tokens = NULL; + uint32_t matches = 0, num_tokens = 0;; + + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter,FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(fpgaEnumerate(&filter, 1, NULL, 0, &matches), FPGA_OK); + ASSERT_GT(matches, 0); + tokens = (fpga_token *)malloc(matches * sizeof(fpga_token)); + + num_tokens = matches; + ASSERT_EQ(fpgaEnumerate(&filter, 1, tokens, num_tokens, &matches), FPGA_OK); + + strcpy(zero, "fpgainfo"); + strcpy(one, "perf"); + EXPECT_EQ(perf_command(tokens, num_tokens, 2, argv), FPGA_OK); + + for (uint32_t i = 0; i < num_tokens; ++i) { + fpgaDestroyToken(&tokens[i]); + } + free(tokens); + fpgaDestroyProperties(&filter); +} + +/** + * @test perf_command1 + * @brief Test: perf_command + * @details When passed with '-h', the fn prints
    + * perf help message and returns FPGA_OK.
    + */ +TEST_P(fpgainfo_c_p, perf_command1) { + char zero[20]; + char one[20]; + char two[20]; + char *argv[] = { zero, one, two }; + + fpga_token *tokens = NULL; + + strcpy(zero, "fpgainfo"); + strcpy(one, "perf"); + strcpy(two, "-h"); + EXPECT_EQ(perf_command(tokens, 0, 3, argv), FPGA_OK); +} + +/** + * @test perf_command2 + * @brief Test: perf_command + * @details When passed with invalid '-xyz' param, the fn prints
    + * perf help message and returns FPGA_INVALID_PARAM.
    + */ +TEST_P(fpgainfo_c_p, perf_command2) { + char zero[20]; + char one[20]; + char two[20]; + char *argv[] = { zero, one, two }; + + fpga_token *tokens = NULL; + + strcpy(zero, "fpgainfo"); + strcpy(one, "perf"); + strcpy(two, "-xyz"); + EXPECT_EQ(perf_command(tokens, 0, 3, argv), FPGA_INVALID_PARAM); +} + +/** + * @test bmc_help + * @brief Test: bmc_help + * @details The function prints help message for bmc subcommand.
    + */ +TEST_P(fpgainfo_c_p, bmc_help) { + bmc_help(); +} + +/** + * @test perf_help + * @brief Test: perf_help + * @details The function prints help message for perf subcommand.
    + */ +TEST_P(fpgainfo_c_p, perf_help) { + perf_help(); +} + +/** + * @test get_metrics0 + * @brief Test: get_metrics + * @details When passed with valid arguments, the fn
    + * retrieve required information from BMC and
    + * returns FPGA_OK.
    + */ + +TEST_P(fpgainfo_c_p, get_metrics0) { + fpga_properties filter = NULL; + fpga_token token; + fpga_metric_info metrics_info[64]; + fpga_metric metrics[64]; + uint64_t num_metrics; + uint64_t num_metrics_info; + uint32_t matches = 0; + + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter,FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(fpgaEnumerate(&filter, 1, &token, 1, &matches), FPGA_OK); + + EXPECT_EQ(get_metrics(token, FPGA_ALL, metrics_info, &num_metrics_info, metrics, &num_metrics), FPGA_OK); + + fpgaDestroyToken(&token); + fpgaDestroyProperties(&filter); +} + +/** + * @test get_metrics1 + * @brief Test: get_metrics + * @details When passed with valid arguments, the fn
    + * retrieve required information from BMC and
    + * returns FPGA_OK.
    + */ +TEST_P(fpgainfo_c_p, get_metrics1) { + fpga_properties filter = NULL; + fpga_token token; + fpga_metric_info metrics_info[64]; + fpga_metric metrics[64]; + uint64_t num_metrics; + uint64_t num_metrics_info; + uint32_t matches = 0; + + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter,FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(fpgaEnumerate(&filter, 1, &token, 1, &matches), FPGA_OK); + + EXPECT_EQ(get_metrics(token, FPGA_POWER, metrics_info, &num_metrics_info, metrics, &num_metrics), FPGA_OK); + + fpgaDestroyToken(&token); + fpgaDestroyProperties(&filter); +} + +/** + * @test get_metrics2 + * @brief Test: get_metrics + * @details When passed with valid arguments, the fn
    + * retrieve required information from BMC and
    + * returns FPGA_OK.
    + */ +TEST_P(fpgainfo_c_p, get_metrics2) { + fpga_properties filter = NULL; + fpga_token token; + fpga_metric_info metrics_info[64]; + fpga_metric metrics[64]; + uint64_t num_metrics; + uint64_t num_metrics_info; + uint32_t matches = 0; + + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter,FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(fpgaEnumerate(&filter, 1, &token, 1, &matches), FPGA_OK); + + EXPECT_EQ(get_metrics(token, FPGA_THERMAL, metrics_info, &num_metrics_info, metrics, &num_metrics), FPGA_OK); + + fpgaDestroyToken(&token); + fpgaDestroyProperties(&filter); +} + +/** + * @test fpgainfo_print_common + * @brief Test: fpgainfo_print_common + */ +TEST_P(fpgainfo_c_p, fpgainfo_print_common) { + fpga_properties filter = NULL; + fpga_token token = nullptr; + uint32_t matches = 0;; + + ASSERT_EQ(fpgaGetProperties(NULL, &filter), FPGA_OK); + ASSERT_EQ(fpgaPropertiesSetObjectType(filter,FPGA_DEVICE), FPGA_OK); + ASSERT_EQ(fpgaEnumerate(&filter, 1, &token, 1, &matches), FPGA_OK); + + fpgainfo_print_common("//****** HEADER ******//", filter); + + fpgaDestroyToken(&token); + fpgaDestroyProperties(&filter); +} + +/** + * @test fpgainfo_print_err + * @brief Test: fpgainfo_print_err + */ +TEST_P(fpgainfo_c_p, fpgainfo_print_err) { + fpgainfo_print_err("ERROR:", FPGA_INVALID_PARAM); + fpgainfo_print_err("ERROR:", FPGA_BUSY); + fpgainfo_print_err("ERROR:", FPGA_EXCEPTION); + fpgainfo_print_err("ERROR:", FPGA_NOT_FOUND); + fpgainfo_print_err("ERROR:", FPGA_NO_MEMORY); + fpgainfo_print_err("ERROR:", FPGA_NOT_SUPPORTED); + fpgainfo_print_err("ERROR:", FPGA_NO_DRIVER); + fpgainfo_print_err("ERROR:", FPGA_NO_DAEMON); + fpgainfo_print_err("ERROR:", FPGA_NO_ACCESS); + fpgainfo_print_err("ERROR:", FPGA_NO_ACCESS); +} + + +/** + * @test replace_chars0 + * @brief Test: replace_chars + */ +TEST(fpgainfo_c, replace_chars0) { + char input[256]; + strcpy(input, "one_two_three_four"); + replace_chars(input, '_', ' '); + EXPECT_STREQ(input, "one two three four"); +} + +/** + * @test replace_chars1 + * @brief Test: replace_chars + */ +TEST(fpgainfo_c, replace_chars1) { + char input[256]; + strcpy(input, "one_two_three_four"); + replace_chars(input, ':', ' '); + EXPECT_STREQ(input, "one_two_three_four"); +} + +/** + * @test upcase_pci0 + * @brief Test: upcase_pci + */ +TEST(fpgainfo_c, upcase_pci0) { + char input[256]; + strcpy(input, "pcie 0"); + upcase_pci(input, strnlen(input, 256)); + EXPECT_STREQ(input, "PCIe 0"); +} + +/** + * @test upcase_pci1 + * @brief Test: upcase_pci + */ +TEST(fpgainfo_c, upcase_pci1) { + char input[256]; + strcpy(input, " pcie 0 pcie 1 pcie 2 "); + upcase_pci(input, strnlen(input, 256)); + EXPECT_STREQ(input, " PCIe 0 PCIe 1 PCIe 2 "); +} + +/** + * @test upcase_pci2 + * @brief Test: upcase_pci + */ +TEST(fpgainfo_c, upcase_pci2) { + char input[256]; + strcpy(input, " pc "); + upcase_pci(input, strnlen(input, 256)); + EXPECT_STREQ(input, " pc "); +} + +/** + * @test upcase_first0 + * @brief Test: upcase_first + */ +TEST(fpgainfo_c, upcase_first0) { + char input[256]; + strcpy(input, "one two three four"); + upcase_first(input); + EXPECT_STREQ(input, "One Two Three Four"); +} + +/** + * @test upcase_first1 + * @brief Test: upcase_first + */ +TEST(fpgainfo_c, upcase_first1) { + char input[256]; + strcpy(input, "One Two Three Four"); + upcase_first(input); + EXPECT_STREQ(input, "One Two Three Four"); +} + +/** + * @test upcase_first2 + * @brief Test: upcase_first + */ +TEST(fpgainfo_c, upcase_first2) { + char input[256] = { 0 }; + strcpy(input, ""); + upcase_first(input); + EXPECT_STREQ(input, ""); +} + +/** + * @test str_in_list0 + * @brief Test: upcase_first + */ +TEST(fpgainfo_c, str_in_list0) { + const char *slist[] = { "one", "two", "two", "three", "four" }; + int idx = str_in_list("one", slist, 5); + EXPECT_EQ(idx, 0); + + idx = str_in_list("two", slist, 5); + EXPECT_EQ(idx, 1); + + idx = str_in_list("three", slist, 5); + EXPECT_EQ(idx, 3); + + idx = str_in_list("four", slist, 5); + EXPECT_EQ(idx, 4); + + idx = str_in_list("five", slist, 5); + EXPECT_EQ(idx, INT_MAX); +} + +/** + * @test parse_args0 + * @brief Test: parse_args + * @details When passed with valid command options,
    + * the fn returns 0.
    + */ +TEST_P(fpgainfo_c_p, parse_args0) { + char zero[20]; + char one[20]; + char *argv[] = { zero, one }; + + strcpy(zero, "fpgainfo"); + strcpy(one, "fme"); + EXPECT_EQ(parse_args(2, argv), 0); + + strcpy(one, "port"); + EXPECT_EQ(parse_args(2, argv), 0); + + strcpy(one, "power"); + EXPECT_EQ(parse_args(2, argv), 0); + + strcpy(one, "temp"); + EXPECT_EQ(parse_args(2, argv), 0); +} + +/** + * @test parse_args1 + * @brief Test: parse_args + * @details When passed with '-h' or '--help', the fn
    + * prints help message and return non-zero.
    + */ +TEST_P(fpgainfo_c_p, parse_args1) { + char zero[20]; + char one[20]; + char *argv[] = { zero, one }; + + strcpy(zero, "fpgainfo"); + strcpy(one, "-h"); + EXPECT_NE(parse_args(2, argv), 0); + + optind = 0; + strcpy(one, "--help"); + EXPECT_NE(parse_args(2, argv), 0); +} + +/** + * @test parse_args2 + * @brief Test: parse_args + * @details When passed with invalid options, the fn
    + * prints error message and return zero.
    + */ +TEST_P(fpgainfo_c_p, parse_args2) { + char zero[20]; + char one[20]; + char *argv[] = { zero, one }; + + strcpy(zero, "fpgainfo"); + strcpy(one, "?"); + EXPECT_EQ(parse_args(2, argv), 0); +} + +/** + * @test parse_args3 + * @brief Test: parse_args + * @details When passed with an invalid options, the fn
    + * returns zero.
    + */ +TEST_P(fpgainfo_c_p, parse_args3) { + char zero[20]; + char one[20]; + char *argv[] = { zero, one }; + + strcpy(zero, "fpgainfo"); + strcpy(one, "Īŗį½¹ĻƒĪ¼Īµ"); + + /* FIXME: Parse_arg will return 0 on all inputs + that doesn't match MAIN_GETOPT_STRING. + Main fails on get_command call but + will return 0. + + */ + EXPECT_EQ(parse_args(2, argv), 0); + EXPECT_EQ(fpgainfo_main(2, argv), 0); + + strcpy(one, "\x00 \x09\x0A\x0D\x20\x7E"); + + EXPECT_EQ(parse_args(2, argv), 0); + EXPECT_EQ(fpgainfo_main(2, argv), 0); +} + +/** + * @test help + * @brief Test: help + * @details help displays the application help message.
    + */ +TEST_P(fpgainfo_c_p, help) { + help(); +} + +/** + * @test main_1 + * @brief Test: fpgainfo_main + * @details When passed with no argument, the fn
    + * returns an error.
    + */ +TEST_P(fpgainfo_c_p, main_1) { + char zero[20]; + char *argv[] = { zero }; + + strcpy(zero, "fpgainfo"); + + EXPECT_NE(fpgainfo_main(1, argv), 0); +} + +/** + * @test main_2 + * @brief Test: fpgainfo_main + * @details When passed with the version option, the fn
    + * returns zero.
    + */ +TEST_P(fpgainfo_c_p, main_2) { + char zero[20]; + char one[20]; + char *argv[] = { zero, one }; + + strcpy(zero, "fpgainfo"); + strcpy(one, "-v"); + + EXPECT_EQ(fpgainfo_main(2, argv), 0); +} + +/** + * @test main_3 + * @brief Test: fpgainfo_main + * @details When missing an argument, the fn
    + * returns an error.
    + */ +TEST_P(fpgainfo_c_p, main_3) { + char zero[20]; + char one[20]; + char *argv[] = { zero, one }; + + strcpy(zero, "fpgainfo"); + strcpy(one, "-B"); + + EXPECT_NE(fpgainfo_main(2, argv), 0); +} + +/** + * @test main_4 + * @brief Test: fpgainfo_main + * @details When passed with invalid option, the fn
    + * returns an error.
    + */ +TEST_P(fpgainfo_c_p, main_4) { + char zero[20]; + char one[20]; + char *argv[] = { zero, one }; + + strcpy(zero, "fpgainfo"); + strcpy(one, "-K"); + + EXPECT_NE(fpgainfo_main(2, argv), 0); +} + +/** + * @test main_5 + * @brief Test: fpgainfo_main + * @details When passed with valid option, the fn
    + * returns 0.
    + */ +TEST_P(fpgainfo_c_p, main_5) { + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + char *argv[] = { zero, one, two, three }; + char bus[10]; + + sprintf(bus, "0x%x", platform_.devices[0].bus); + + strcpy(zero, "fpgainfo"); + strcpy(one, "fme"); + strcpy(two, "-B"); + strcpy(three, bus); + + EXPECT_EQ(fpgainfo_main(4, argv), 0); +} + +/** + * @test main_6 + * @brief Test: fpgainfo_main + * @details When passed with invalid option, the fn
    + * returns an error.
    + */ +TEST_P(fpgainfo_c_p, main_6) { + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + char *argv[] = { zero, one, two, three }; + + strcpy(zero, "fpgainfo"); + strcpy(one, "fme"); + strcpy(two, "-B"); + strcpy(three, "0xFF"); + + EXPECT_NE(fpgainfo_main(4, argv), 0); +} + +INSTANTIATE_TEST_CASE_P(fpgainfo_c, fpgainfo_c_p, + ::testing::ValuesIn(test_platform::platforms({ "skx-p","dcp-rc","dcp-vc" }))); diff --git a/tests/hello_fpga/CMakeLists.txt b/tests/hello_fpga/CMakeLists.txt new file mode 100644 index 0000000..ff41285 --- /dev/null +++ b/tests/hello_fpga/CMakeLists.txt @@ -0,0 +1,40 @@ +## Copyright(c) 2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +opae_test_add_static_lib(TARGET hello_fpga-static + SOURCE ${OPAE_SDK_SOURCE}/samples/hello_fpga/hello_fpga.c + LIBS + opae-c +) + +target_compile_definitions(hello_fpga-static + PRIVATE main=hello_fpga_main +) + +opae_test_add(TARGET test_hello_fpga_c + SOURCE test_hello_fpga_c.cpp + LIBS hello_fpga-static +) diff --git a/tests/hello_fpga/test_hello_fpga_c.cpp b/tests/hello_fpga/test_hello_fpga_c.cpp new file mode 100644 index 0000000..964a9ff --- /dev/null +++ b/tests/hello_fpga/test_hello_fpga_c.cpp @@ -0,0 +1,338 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include + +extern "C" { + +#include +#include + +void print_err(const char *s, fpga_result res); + +struct config { + struct target { + int bus; + } target; + int open_flags; +}; +extern struct config config; + +fpga_result parse_args(int argc, char *argv[]); + +fpga_result find_fpga(fpga_guid afu_guid, + fpga_token *accelerator_token, + uint32_t *num_matches_accelerators); + +fpga_result get_bus(fpga_token tok, uint8_t *bus); + +int hello_fpga_main(int argc, char *argv[]); + +} + +#define INVALID_AFU_ID "00000000-0000-0000-0000-000000000000" + +#include + +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include "gtest/gtest.h" +#include "mock/test_system.h" +#include +#include "intel-fpga.h" +#include "fpga-dfl.h" + +using namespace opae::testing; + +int mmio_ioctl(mock_object * m, int request, va_list argp){ + int retval = -1; + errno = EINVAL; + UNUSED_PARAM(m); + UNUSED_PARAM(request); + struct fpga_port_region_info *rinfo = va_arg(argp, struct fpga_port_region_info *); + if (!rinfo) { + FPGA_MSG("rinfo is NULL"); + goto out_EINVAL; + } + if (rinfo->argsz != sizeof(*rinfo)) { + FPGA_MSG("wrong structure size"); + goto out_EINVAL; + } + if (rinfo->index > 1 ) { + FPGA_MSG("unsupported MMIO index"); + goto out_EINVAL; + } + if (rinfo->padding != 0) { + FPGA_MSG("unsupported padding"); + goto out_EINVAL; + } + rinfo->flags = FPGA_REGION_READ | FPGA_REGION_WRITE | FPGA_REGION_MMAP; + rinfo->size = 0x40000; + rinfo->offset = 0; + retval = 0; + errno = 0; +out: + return retval; + +out_EINVAL: + retval = -1; + errno = EINVAL; + goto out; +} + +class hello_fpga_c_p : public ::testing::TestWithParam { + protected: + hello_fpga_c_p() {} + + virtual void SetUp() override { + std::string platform_key = GetParam(); + ASSERT_TRUE(test_platform::exists(platform_key)); + platform_ = test_platform::get(platform_key); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + EXPECT_EQ(fpgaInitialize(NULL), FPGA_OK); + + optind = 0; + config_ = config; + } + + virtual void TearDown() override { + config = config_; + fpgaFinalize(); + system_->finalize(); + } + + struct config config_; + test_platform platform_; + test_system *system_; +}; + +/** + * @test print_err + * @brief Test: print_err + * @details print_err prints the given string and
    + * the decoded representation of the fpga_result
    + * to stderr.
    + */ +TEST_P(hello_fpga_c_p, print_err) { + print_err("msg", FPGA_OK); +} + +/** + * @test parse_args0 + * @brief Test: parse_args + * @details When passed an invalid command option,
    + * parse_args prints a message and
    + * returns a value other than FPGA_OK.
    + */ +TEST_P(hello_fpga_c_p, parse_args0) { + char zero[20]; + char one[20]; + strcpy(zero, "hello_fpga"); + strcpy(one, "-Y"); + + char *argv[] = { zero, one }; + + EXPECT_NE(parse_args(2, argv), FPGA_OK); +} + +/** + * @test parse_args1 + * @brief Test: parse_args + * @details When given a command option that requires a param,
    + * omitting the required param causes parse_args to
    + * return a value other than FPGA_OK.
    + */ +TEST_P(hello_fpga_c_p, parse_args1) { + char zero[20]; + char one[20]; + strcpy(zero, "hello_fpga"); + strcpy(one, "-B"); + + char *argv[] = { zero, one }; + + EXPECT_NE(parse_args(2, argv), FPGA_OK); +} + +/** + * @test parse_args2 + * @brief Test: parse_args + * @details When given valid command options,
    + * parse_args populates the global config struct,
    + * and returns FPGA_OK.
    + */ +TEST_P(hello_fpga_c_p, parse_args2) { + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + strcpy(zero, "hello_fpga"); + strcpy(one, "-B"); + strcpy(two, "3"); + strcpy(three, "-s"); + + char *argv[] = { zero, one, two, three }; + + EXPECT_EQ(parse_args(4, argv), FPGA_OK); + EXPECT_EQ(config.target.bus, 3); + EXPECT_EQ(config.open_flags, FPGA_OPEN_SHARED); +} + +/** + * @test find_fpga0 + * @brief Test: find_fpga + * @details When passed a guid that matches no device,
    + * find_fpga sets *num_matches_accelerators to 0,
    + * and the fn returns FPGA_OK.
    + */ +TEST_P(hello_fpga_c_p, find_fpga0) { + fpga_guid guid; + fpga_token tok = nullptr; + uint32_t matches = 0xff; + + config.target.bus = platform_.devices[0].bus; + + ASSERT_EQ(uuid_parse(INVALID_AFU_ID, guid), 0); + EXPECT_EQ(find_fpga(guid, &tok, &matches), FPGA_OK); + EXPECT_EQ(tok, nullptr); + EXPECT_EQ(matches, 0); +} + +/** + * @test get_bus0 + * @brief Test: get_bus + * @details When passed a valid fpga_token,
    + * get_bus retrieves the associated bus into *bus,
    + * and the fn returns FPGA_OK.
    + */ +TEST_P(hello_fpga_c_p, get_bus0) { + fpga_guid guid; + fpga_token tok = nullptr; + uint32_t matches = 0xff; + + config.target.bus = platform_.devices[0].bus; + + ASSERT_EQ(uuid_parse(platform_.devices[0].afu_guid, guid), 0); + EXPECT_EQ(find_fpga(guid, &tok, &matches), FPGA_OK); + ASSERT_NE(tok, nullptr); + ASSERT_GT(matches, 0); + + uint8_t bus = 0xff; + EXPECT_EQ(get_bus(tok, &bus), FPGA_OK); + EXPECT_EQ(bus, platform_.devices[0].bus); + + EXPECT_EQ(fpgaDestroyToken(&tok), FPGA_OK); +} + +/** + * @test main0 + * @brief Test: hello_fpga_main + * @details When given an invalid command option,
    + * hello_fpga_main displays an error message,
    + * and the fn returns non-zero.
    + */ +TEST_P(hello_fpga_c_p, main0) { + char zero[20]; + char one[20]; + strcpy(zero, "hello_fpga"); + strcpy(one, "-Y"); + + char *argv[] = { zero, one }; + + EXPECT_NE(hello_fpga_main(2, argv), 0); +} + +INSTANTIATE_TEST_CASE_P(hello_fpga_c, hello_fpga_c_p, + ::testing::ValuesIn(test_platform::keys(true))); + +class mock_hello_fpga_c_p : public hello_fpga_c_p { + protected: + mock_hello_fpga_c_p() {} +}; + +/** + * @test main1 + * @brief Test: hello_fpga_main + * @details When given a valid command line,
    + * hello_fpga_main (mock) runs the NLB0 workload.
    + * The workload times out in a mock environment,
    + * causing hello_fpga_main to return FPGA_EXCEPTION.
    + */ +TEST_P(mock_hello_fpga_c_p, main1) { + char zero[20]; + char one[20]; + char two[20]; + strcpy(zero, "hello_fpga"); + strcpy(one, "-B"); + sprintf(two, "%d", platform_.devices[0].bus); + + char *argv[] = { zero, one, two }; + + system_->register_ioctl_handler(FPGA_PORT_GET_REGION_INFO, mmio_ioctl); + system_->register_ioctl_handler(DFL_FPGA_PORT_GET_REGION_INFO, mmio_ioctl); + + EXPECT_EQ(hello_fpga_main(3, argv), FPGA_EXCEPTION); +} + +INSTANTIATE_TEST_CASE_P(mock_hello_fpga_c, mock_hello_fpga_c_p, + ::testing::ValuesIn(test_platform::mock_platforms({"skx-p", "dcp-rc"}))); + +class hw_hello_fpga_c_p : public mock_hello_fpga_c_p { + protected: + hw_hello_fpga_c_p() {} +}; + +/** + * @test main1 + * @brief Test: hello_fpga_main + * @details When given a valid command line,
    + * hello_fpga_main (hw) runs the NLB0 workload,
    + * and the fn returns FPGA_OK.
    + */ +TEST_P(hw_hello_fpga_c_p, main1) { + char zero[20]; + char one[20]; + char two[20]; + strcpy(zero, "hello_fpga"); + strcpy(one, "-B"); + sprintf(two, "%d", platform_.devices[0].bus); + + char *argv[] = { zero, one, two }; + + EXPECT_EQ(hello_fpga_main(3, argv), FPGA_OK); +} + +INSTANTIATE_TEST_CASE_P(hw_hello_fpga_c, hw_hello_fpga_c_p, + ::testing::ValuesIn(test_platform::hw_platforms({"skx-p","dcp-rc"}))); diff --git a/tests/mock_driver.png b/tests/mock_driver.png new file mode 100755 index 0000000..2fb21bc Binary files /dev/null and b/tests/mock_driver.png differ diff --git a/tests/readme.md b/tests/readme.md new file mode 100644 index 0000000..c386a9d --- /dev/null +++ b/tests/readme.md @@ -0,0 +1,58 @@ +# OPAE Testing Framework # + +## Objective ## + +The main goal of the testing framework used by OPAE is to enable (Google test) +tests that don't require the presence of either an OPAE kernel driver or an +OPAE compatible FPGA. An additional goal is to allow authoring tests that can +easily ported from a mock environment to a real system. + + +## Mocking the Kernel Driver ## + +The two interfaces to the OPAE Linux driver are `ioctl` calls and `sysfs` +files. The OPAE C library uses both of these interfaces in its implementation +to control and/or query the device. To test the API functions (including +internal functions used within), a `mock` driver has been developed that can +allow for calling these functions without calling the kernel driver through +these interfaces. At a high level, system calls related to `ioctl` and `sysfs` +files (eg. `ioctl`, `open`) are implemented in a C file called `mock.c` which +in turn calls into a C++ singleton class called `test_system` using its C +interface. This C++ singleton can also be used by any google test code to call +any necessary setup and teardown routines. When calls such as `open` are called +and handled by `test_system`, paths used by the OPAE kernel driver +(`/dev/intel-fpga-port.0` or `/sys/class/fpga/intel-fpga-port.0`) can be +re-rooted to point to files in a mock sysfs subtree. + +The following diagram illustrate a general flow of a google test using the mock +driver and the test_system. +![OPAE mock test](mock_driver.png "OPAE mock test") + +### test_system ### + +As mentioned above, `test_system` is a singleton class that is designed to be +called from either C code (by using its C interface) or by using its C++ +`instance()` function. This allows it to be called from both google test tests +as well as from C functions that are being mocked. Tests that depend on any +sysfs paths handled by the OPAE kernel driver should be implemented as part of +a test fixture. This fixture should call `test_system::initialize` in its setup +and should also call `test_system::finalize` in its teardown. If a unit test +does not depend on any sysfs paths used by the OPAE kernel driver, then there +is no need to call these two functions and those functions should be +implemented without a fixture. The class `test_system` is compiled into a +shared library (`libtest_system.so`) and should be linked with any OPAE test +that uses it. Additionally, the file `mock.c` is not included in this library +and should be included into any test executable that uses `test_system`. + +### ioctl handlers ### + +The file `ioctl_handlers.cpp` includes some default ioctl handler functions for +most of the ioctl requests (as identified by the request constant in +`intel-fpga.h`). This file calls the function +`test_system::register_ioctl_handler` which maps a request constant to a +function that should be called when the request is made. A templated function, +`dummy_ioctl` is provided to allow registering an ioctl handler that uses its +template arguments to define what to return from ioctl and also to specify what +`errno` should be set to. This is intended for authoring negative tests that +depend on `ioctl` calls. + diff --git a/tests/userclk/CMakeLists.txt b/tests/userclk/CMakeLists.txt new file mode 100644 index 0000000..680e1cd --- /dev/null +++ b/tests/userclk/CMakeLists.txt @@ -0,0 +1,40 @@ +## Copyright(c) 2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +opae_test_add_static_lib(TARGET userclk-static + SOURCE ${OPAE_SDK_SOURCE}/tools/extra/userclk/main.c + LIBS + opae-c +) + +target_compile_definitions(userclk-static + PRIVATE main=userclk_main +) + +opae_test_add(TARGET test_userclk_c + SOURCE test_userclk_c.cpp + LIBS userclk-static +) diff --git a/tests/userclk/test_userclk_c.cpp b/tests/userclk/test_userclk_c.cpp new file mode 100644 index 0000000..a66cb25 --- /dev/null +++ b/tests/userclk/test_userclk_c.cpp @@ -0,0 +1,796 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include + +extern "C" { + +#include +#include + +struct UserClkCommandLine +{ + int segment; + int bus; + int device; + int function; + int socket; + int freq_high; + int freq_low; +}; +extern struct UserClkCommandLine userclkCmdLine; + +void UserClkAppShowHelp(void); + +void print_err(const char *s, fpga_result res); + +int ParseCmds(struct UserClkCommandLine *userclkCmdLine, int argc, char *argv[]); + +int userclk_main(int argc, char *argv[]); + +} + +#include + +#include +#include +#include +#include +#include +#include +#include +#include "gtest/gtest.h" +#include "mock/test_system.h" + +using namespace opae::testing; + +class userclk_c_p : public ::testing::TestWithParam { + protected: + userclk_c_p() {} + + virtual void SetUp() override { + std::string platform_key = GetParam(); + ASSERT_TRUE(test_platform::exists(platform_key)); + platform_ = test_platform::get(platform_key); + system_ = test_system::instance(); + system_->initialize(); + system_->prepare_syfs(platform_); + + EXPECT_EQ(fpgaInitialize(NULL), FPGA_OK); + + optind = 0; + cmd_line_ = userclkCmdLine; + } + + virtual void TearDown() override { + userclkCmdLine = cmd_line_; + fpgaFinalize(); + system_->finalize(); + } + + struct UserClkCommandLine cmd_line_; + test_platform platform_; + test_system *system_; +}; + +/** + * @test help + * @brief Test: UserClkAppShowHelp + * @details UserClkAppShowHelp displays the application help message.
    + */ +TEST_P(userclk_c_p, help) { + UserClkAppShowHelp(); +} + +/** + * @test print_err + * @brief Test: print_err + * @details print_err prints the given string and
    + * the decoded representation of the fpga_result
    + * to stderr.
    + */ +TEST_P(userclk_c_p, print_err) { + print_err("msg", FPGA_OK); +} + +/** + * @test parse_cmd0 + * @brief Test: ParseCmds + * @details When passed an invalid command option,
    + * ParseCmds prints a message and
    + * returns a negative value.
    + */ +TEST_P(userclk_c_p, parse_cmd0) { + struct UserClkCommandLine cmd; + + char zero[20]; + char one[20]; + strcpy(zero, "userclk"); + strcpy(one, "-Y"); + + char *argv[] = { zero, one }; + + EXPECT_LT(ParseCmds(&cmd, 2, argv), 0); +} + +/** + * @test parse_cmd1 + * @brief Test: ParseCmds + * @details When called with "-h",
    + * ParseCmds prints the app help and
    + * returns a negative value.
    + */ +TEST_P(userclk_c_p, parse_cmd1) { + struct UserClkCommandLine cmd; + + char zero[20]; + char one[20]; + strcpy(zero, "userclk"); + strcpy(one, "-h"); + + char *argv[] = { zero, one }; + + EXPECT_LT(ParseCmds(&cmd, 2, argv), 0); +} + +/** + * @test parse_cmd2 + * @brief Test: ParseCmds + * @details When given a command option that requires a param,
    + * omitting the required param causes ParseCmds to
    + * return a negative value.
    + */ +TEST_P(userclk_c_p, parse_cmd2) { + struct UserClkCommandLine cmd; + + char zero[20]; + char one[20]; + strcpy(zero, "userclk"); + + char *argv[] = { zero, one }; + + strcpy(one, "--segment"); + EXPECT_LT(ParseCmds(&cmd, 2, argv), 0); + + optind = 0; + strcpy(one, "-B"); + EXPECT_LT(ParseCmds(&cmd, 2, argv), 0); + + optind = 0; + strcpy(one, "-D"); + EXPECT_LT(ParseCmds(&cmd, 2, argv), 0); + + optind = 0; + strcpy(one, "-F"); + EXPECT_LT(ParseCmds(&cmd, 2, argv), 0); + + optind = 0; + strcpy(one, "-S"); + EXPECT_LT(ParseCmds(&cmd, 2, argv), 0); + + optind = 0; + strcpy(one, "-P"); + EXPECT_LT(ParseCmds(&cmd, 2, argv), 0); + + optind = 0; + strcpy(one, "-H"); + EXPECT_LT(ParseCmds(&cmd, 2, argv), 0); + + optind = 0; + strcpy(one, "-L"); + EXPECT_LT(ParseCmds(&cmd, 2, argv), 0); +} + +/** + * @test parse_cmd3 + * @brief Test: ParseCmds + * @details When given valid command options,
    + * ParseCmds populates the given UserClkCommandLine,
    + * returning zero.
    + */ +TEST_P(userclk_c_p, parse_cmd3) { + struct UserClkCommandLine cmd = cmd_line_; + + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + char four[20]; + char five[20]; + char six[20]; + char seven[20]; + char eight[20]; + char nine[20]; + char ten[20]; + char eleven[20]; + char twelve[20]; + char thirteen[20]; + char fourteen[20]; + strcpy(zero, "userclk"); + strcpy(one, "--segment"); + strcpy(two, "0x1234"); + strcpy(three, "-B"); + strcpy(four, "3"); + strcpy(five, "-D"); + strcpy(six, "4"); + strcpy(seven, "-F"); + strcpy(eight, "5"); + strcpy(nine, "-S"); + strcpy(ten, "6"); + strcpy(eleven, "-H"); + strcpy(twelve, "8"); + strcpy(thirteen, "-L"); + strcpy(fourteen, "9"); + + char *argv[] = { zero, one, two, three, four, + five, six, seven, eight, nine, + ten, eleven, twelve, thirteen, fourteen }; + + EXPECT_EQ(ParseCmds(&cmd, 15, argv), 0); + EXPECT_EQ(cmd.segment, 0x1234); + EXPECT_EQ(cmd.bus, 3); + EXPECT_EQ(cmd.device, 4); + EXPECT_EQ(cmd.function, 5); + EXPECT_EQ(cmd.socket, 6); + EXPECT_EQ(cmd.freq_high, 8); + EXPECT_EQ(cmd.freq_low, 9); +} + +/** + * @test invalid_cmd_characters_02 + * @brief Test: ParseCmds, userclk_main + * @details When given invalid command options,
    + * ParseCmds populates the given UserClkCommandLine,
    + * returning FPGA_INVALID_PARAM.
    + */ +TEST_P(userclk_c_p, invalid_cmd_characters_01) { + struct UserClkCommandLine cmd = cmd_line_; + + char zero[32]; + char one[32]; + char two[32]; + char three[32]; + char four[32]; + char five[48]; + char six[32]; + char seven[32]; + char eight[32]; + char nine[32]; + char ten[32]; + char eleven[32]; + char twelve[32]; + char thirteen[32]; + char fourteen[32]; + strcpy(zero, "userclk_+*(^> "); + strcpy(one, "--segm ent"); + strcpy(two, "0x1234"); + strcpy(three, "-Bus"); + strcpy(four, "3"); + strcpy(five, "-Devi +_( \v -0923198 (*)& ces"); + strcpy(six, "4"); + strcpy(seven, "-Fun\t\nction"); + strcpy(eight, "5"); + strcpy(nine, "-Sockett\e \'\?//tttttt \b"); + strcpy(ten, "6"); + strcpy(eleven, "- High"); + strcpy(twelve, "-100"); + strcpy(thirteen, " ---Low"); + strcpy(fourteen, "-200"); + + char *argv[] = { zero, one, two, three, four, + five, six, seven, eight, nine, + ten, eleven, twelve, thirteen, fourteen }; + + EXPECT_NE(ParseCmds(&cmd, 15, argv), 0); + EXPECT_NE(userclk_main(15, argv), 0); +} + +/** + * @test invalid_cmd_characters_02 + * @brief Test: ParseCmds, userclk_main + * @details When given invalid command options,
    + * ParseCmds populates the given UserClkCommandLine,
    + * returning zero. Userclk_main returns FPGA_INVALID_PARAM.
    + */ +TEST_P(userclk_c_p, invalid_cmd_characters_02) { + struct UserClkCommandLine cmd; + + char zero[32]; + char one[32]; + char two[48]; + char three[32]; + char four[32]; + char five[32]; + char six[32]; + char seven[32]; + char eight[48]; + char nine[32]; + char ten[32]; + char eleven[32]; + char twelve[32]; + char thirteen[32]; + char fourteen[32]; + strcpy(zero, ""); + strcpy(one, "--segment"); + strcpy(two, "0x0123897349 *(^%$%^$%@^?> + * userclk_main displays the app help message,
    + * and the fn returns non-zero.
    + */ +TEST_P(userclk_c_p, main0) { + char zero[20]; + strcpy(zero, "userclk"); + + char *argv[] = { zero }; + + EXPECT_NE(userclk_main(1, argv), 0); +} + +/** + * @test main1 + * @brief Test: userclk_main + * @details When given "-h",
    + * userclk_main displays the app help message,
    + * and the fn returns non-zero.
    + */ +TEST_P(userclk_c_p, main1) { + char zero[20]; + char one[20]; + strcpy(zero, "userclk"); + strcpy(one, "-h"); + + char *argv[] = { zero, one }; + + EXPECT_NE(userclk_main(2, argv), 0); +} + +/** + * @test main2 + * @brief Test: userclk_main + * @details When given valid command parameters,
    + * but no device is identified by those parameters,
    + * userclk_main displays an error message,
    + * and the fn returns non-zero.
    + */ +TEST_P(userclk_c_p, main2) { + char zero[20]; + char one[20]; + char two[20]; + strcpy(zero, "userclk"); + strcpy(one, "-B"); + strcpy(two, "99"); + + char *argv[] = { zero, one, two }; + + EXPECT_NE(userclk_main(3, argv), 0); +} + +INSTANTIATE_TEST_CASE_P(userclk_c, userclk_c_p, + ::testing::ValuesIn(test_platform::platforms({}))); + +class userclk_c_hw_p : public userclk_c_p{ + protected: + userclk_c_hw_p() {}; +}; + +/** + * @test main3 + * @brief Test: userclk_main + * @details When given valid command parameters that identify an accelerator,
    + * if --freq-low is not given,
    + * then low is calculated from high,
    + * and the fn returns zero.
    + */ +TEST_P(userclk_c_hw_p, main3) { + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + char four[20]; + char five[20]; + char six[20]; + char seven[20]; + char eight[20]; + char nine[20]; + char ten[20]; + char eleven[20]; + char twelve[20]; + strcpy(zero, "userclk"); + strcpy(one, "--segment"); + sprintf(two, "%d", platform_.devices[0].segment); + strcpy(three, "-B"); + sprintf(four, "%d", platform_.devices[0].bus); + strcpy(five, "-D"); + sprintf(six, "%d", platform_.devices[0].device); + strcpy(seven, "-F"); + sprintf(eight, "%d", platform_.devices[0].function); + strcpy(nine, "-S"); + sprintf(ten, "%d", platform_.devices[0].socket_id); + strcpy(eleven, "-H"); + strcpy(twelve, "400"); + + char *argv[] = { zero, one, two, three, four, + five, six, seven, eight, nine, + ten, eleven, twelve }; + + EXPECT_EQ(userclk_main(13, argv), FPGA_OK); +} + +/** + * @test main4 + * @brief Test: userclk_main + * @details When given valid command parameters that identify an accelerator,
    + * if --freq-high is not given,
    + * then high is calculated from low,
    + * and the fn returns zero.
    + */ +TEST_P(userclk_c_hw_p, main4) { + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + char four[20]; + char five[20]; + char six[20]; + char seven[20]; + char eight[20]; + char nine[20]; + char ten[20]; + char eleven[20]; + char twelve[20]; + strcpy(zero, "userclk"); + strcpy(one, "--segment"); + sprintf(two, "%d", platform_.devices[0].segment); + strcpy(three, "-B"); + sprintf(four, "%d", platform_.devices[0].bus); + strcpy(five, "-D"); + sprintf(six, "%d", platform_.devices[0].device); + strcpy(seven, "-F"); + sprintf(eight, "%d", platform_.devices[0].function); + strcpy(nine, "-S"); + sprintf(ten, "%d", platform_.devices[0].socket_id); + strcpy(eleven, "-L"); + strcpy(twelve, "200"); + + char *argv[] = { zero, one, two, three, four, + five, six, seven, eight, nine, + ten, eleven, twelve }; + + EXPECT_EQ(userclk_main(13, argv), FPGA_OK); +} + +/** + * @test main5 + * @brief Test: userclk_main + * @details When given valid command parameters that identify an accelerator,
    + * if both --freq-high (-H) and --freq-low (-L) are given,
    + * then high must equal 2 * low,
    + * or else the fn returns FPGA_INVALID_PARAM.
    + */ +TEST_P(userclk_c_hw_p, main5) { + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + char four[20]; + char five[20]; + char six[20]; + char seven[20]; + char eight[20]; + char nine[20]; + char ten[20]; + char eleven[20]; + char twelve[20]; + char thirteen[20]; + char fourteen[20]; + strcpy(zero, "userclk"); + strcpy(one, "--segment"); + sprintf(two, "%d", platform_.devices[0].segment); + strcpy(three, "-B"); + sprintf(four, "%d", platform_.devices[0].bus); + strcpy(five, "-D"); + sprintf(six, "%d", platform_.devices[0].device); + strcpy(seven, "-F"); + sprintf(eight, "%d", platform_.devices[0].function); + strcpy(nine, "-S"); + sprintf(ten, "%d", platform_.devices[0].socket_id); + strcpy(eleven, "-H"); + strcpy(twelve, "300"); + strcpy(thirteen, "-L"); + strcpy(fourteen, "100"); + + char *argv[] = { zero, one, two, three, four, + five, six, seven, eight, nine, + ten, eleven, twelve, thirteen, fourteen }; + + EXPECT_EQ(userclk_main(15, argv), FPGA_INVALID_PARAM); +} + +/** + * @test main6 + * @brief Test: userclk_main + * @details When given valid command parameters that identify an accelerator,
    + * if neither --freq-high (-H) nor --freq-low (-L) is given,
    + * then the function prints an error
    + * and returns FPGA_INVALID_PARAM.
    + */ +TEST_P(userclk_c_hw_p, main6) { + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + char four[20]; + char five[20]; + char six[20]; + char seven[20]; + char eight[20]; + char nine[20]; + char ten[20]; + strcpy(zero, "userclk"); + strcpy(one, "--segment"); + sprintf(two, "%d", platform_.devices[0].segment); + strcpy(three, "-B"); + sprintf(four, "%d", platform_.devices[0].bus); + strcpy(five, "-D"); + sprintf(six, "%d", platform_.devices[0].device); + strcpy(seven, "-F"); + sprintf(eight, "%d", platform_.devices[0].function); + strcpy(nine, "-S"); + sprintf(ten, "%d", platform_.devices[0].socket_id); + + char *argv[] = { zero, one, two, three, four, + five, six, seven, eight, nine, + ten }; + + EXPECT_EQ(userclk_main(11, argv), FPGA_INVALID_PARAM); +} + +INSTANTIATE_TEST_CASE_P(userclk_c, userclk_c_hw_p, + ::testing::ValuesIn(test_platform::hw_platforms({"skx-p","dcp-rc"}))); + + +class userclk_c_mock_p : public userclk_c_p{ + protected: + userclk_c_mock_p() {}; +}; + +/** + * @test main3 + * @brief Test: userclk_main + * @details When given valid command parameters that identify an accelerator,
    + * if --freq-low is not given,
    + * then low is calculated from high,
    + * and the fn returns zero.
    + */ +TEST_P(userclk_c_mock_p, main3) { + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + char four[20]; + char five[20]; + char six[20]; + char seven[20]; + char eight[20]; + char nine[20]; + char ten[20]; + char eleven[20]; + char twelve[20]; + strcpy(zero, "userclk"); + strcpy(one, "--segment"); + sprintf(two, "%d", platform_.devices[0].segment); + strcpy(three, "-B"); + sprintf(four, "%d", platform_.devices[0].bus); + strcpy(five, "-D"); + sprintf(six, "%d", platform_.devices[0].device); + strcpy(seven, "-F"); + sprintf(eight, "%d", platform_.devices[0].function); + strcpy(nine, "-S"); + sprintf(ten, "%d", platform_.devices[0].socket_id); + strcpy(eleven, "-H"); + strcpy(twelve, "400"); + + char *argv[] = { zero, one, two, three, four, + five, six, seven, eight, nine, + ten, eleven, twelve }; + + /* + ** FIXME: main should return zero in this case, but + ** the user clocks API polls on a sysfs file with a + ** timeout. Because the sysfs file never updates in + ** a mock environment, the API will time out and return + ** FPGA_NOT_SUPPORTED. + EXPECT_EQ(userclk_main(13, argv), 0); + */ + EXPECT_EQ(userclk_main(13, argv), FPGA_NOT_SUPPORTED); +} + +/** + * @test main4 + * @brief Test: userclk_main + * @details When given valid command parameters that identify an accelerator,
    + * if --freq-high is not given,
    + * then high is calculated from low,
    + * and the fn returns zero.
    + */ +TEST_P(userclk_c_mock_p, main4) { + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + char four[20]; + char five[20]; + char six[20]; + char seven[20]; + char eight[20]; + char nine[20]; + char ten[20]; + char eleven[20]; + char twelve[20]; + strcpy(zero, "userclk"); + strcpy(one, "--segment"); + sprintf(two, "%d", platform_.devices[0].segment); + strcpy(three, "-B"); + sprintf(four, "%d", platform_.devices[0].bus); + strcpy(five, "-D"); + sprintf(six, "%d", platform_.devices[0].device); + strcpy(seven, "-F"); + sprintf(eight, "%d", platform_.devices[0].function); + strcpy(nine, "-S"); + sprintf(ten, "%d", platform_.devices[0].socket_id); + strcpy(eleven, "-L"); + strcpy(twelve, "200"); + + char *argv[] = { zero, one, two, three, four, + five, six, seven, eight, nine, + ten, eleven, twelve }; + + /* + ** FIXME: main should return zero in this case, but + ** the user clocks API polls on a sysfs file with a + ** timeout. Because the sysfs file never updates in + ** a mock environment, the API will time out and return + ** FPGA_NOT_SUPPORTED. + EXPECT_EQ(userclk_main(13, argv), 0); + */ + EXPECT_EQ(userclk_main(13, argv), FPGA_NOT_SUPPORTED); +} + +/** + * @test main5 + * @brief Test: userclk_main + * @details When given valid command parameters that identify an accelerator,
    + * if both --freq-high (-H) and --freq-low (-L) are given,
    + * then high must equal 2 * low,
    + * or else the fn returns FPGA_INVALID_PARAM.
    + */ +TEST_P(userclk_c_mock_p, main5) { + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + char four[20]; + char five[20]; + char six[20]; + char seven[20]; + char eight[20]; + char nine[20]; + char ten[20]; + char eleven[20]; + char twelve[20]; + char thirteen[20]; + char fourteen[20]; + strcpy(zero, "userclk"); + strcpy(one, "--segment"); + sprintf(two, "%d", platform_.devices[0].segment); + strcpy(three, "-B"); + sprintf(four, "%d", platform_.devices[0].bus); + strcpy(five, "-D"); + sprintf(six, "%d", platform_.devices[0].device); + strcpy(seven, "-F"); + sprintf(eight, "%d", platform_.devices[0].function); + strcpy(nine, "-S"); + sprintf(ten, "%d", platform_.devices[0].socket_id); + strcpy(eleven, "-H"); + strcpy(twelve, "300"); + strcpy(thirteen, "-L"); + strcpy(fourteen, "100"); + + char *argv[] = { zero, one, two, three, four, + five, six, seven, eight, nine, + ten, eleven, twelve, thirteen, fourteen }; + + EXPECT_EQ(userclk_main(15, argv), FPGA_INVALID_PARAM); +} + +/** + * @test main6 + * @brief Test: userclk_main + * @details When given valid command parameters that identify an accelerator,
    + * if neither --freq-high (-H) nor --freq-low (-L) is given,
    + * then the function prints an error
    + * and returns FPGA_INVALID_PARAM.
    + */ +TEST_P(userclk_c_mock_p, main6) { + char zero[20]; + char one[20]; + char two[20]; + char three[20]; + char four[20]; + char five[20]; + char six[20]; + char seven[20]; + char eight[20]; + char nine[20]; + char ten[20]; + strcpy(zero, "userclk"); + strcpy(one, "--segment"); + sprintf(two, "%d", platform_.devices[0].segment); + strcpy(three, "-B"); + sprintf(four, "%d", platform_.devices[0].bus); + strcpy(five, "-D"); + sprintf(six, "%d", platform_.devices[0].device); + strcpy(seven, "-F"); + sprintf(eight, "%d", platform_.devices[0].function); + strcpy(nine, "-S"); + sprintf(ten, "%d", platform_.devices[0].socket_id); + + char *argv[] = { zero, one, two, three, four, + five, six, seven, eight, nine, + ten }; + + EXPECT_EQ(userclk_main(11, argv), FPGA_INVALID_PARAM); +} + +INSTANTIATE_TEST_CASE_P(userclk_c, userclk_c_mock_p, + ::testing::ValuesIn(test_platform::mock_platforms({"skx-p", "dcp-rc"}))); + + diff --git a/tools/CMakeLists.txt b/tools/CMakeLists.txt new file mode 100644 index 0000000..9674af0 --- /dev/null +++ b/tools/CMakeLists.txt @@ -0,0 +1,43 @@ +## Copyright(c) 2017-2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +opae_add_subdirectory(argsfilter) +opae_add_subdirectory(libboard/board_rc) +opae_add_subdirectory(libboard/board_vc) +opae_add_subdirectory(libboard/board_dc) +opae_add_subdirectory(fpgaconf) +opae_add_subdirectory(fpgainfo) +opae_add_subdirectory(fpgametrics) + +# extra +opae_add_subdirectory(extra/userclk) +opae_add_subdirectory(extra/packager) +opae_add_subdirectory(extra/c++utils) +opae_add_subdirectory(extra/fpgadiag) +opae_add_subdirectory(extra/mmlink) +opae_add_subdirectory(extra/ras) +opae_add_subdirectory(extra/pac_hssi_config) +opae_add_subdirectory(extra/fpgabist) diff --git a/tools/argsfilter/.clang-format b/tools/argsfilter/.clang-format new file mode 100644 index 0000000..e479d3f --- /dev/null +++ b/tools/argsfilter/.clang-format @@ -0,0 +1,22 @@ +--- +BasedOnStyle: LLVM +Language: Cpp +IndentWidth: 8 +UseTab: Always +BreakBeforeBraces: Linux +AlwaysBreakBeforeMultilineStrings: true +AllowShortIfStatementsOnASingleLine: false +AllowShortLoopsOnASingleLine: false +AllowShortFunctionsOnASingleLine: false +IndentCaseLabels: false +AlignEscapedNewlinesLeft: false +AlignTrailingComments: true +AllowAllParametersOfDeclarationOnNextLine: false +AlignAfterOpenBracket: true +SpaceAfterCStyleCast: false +MaxEmptyLinesToKeep: 2 +BreakBeforeBinaryOperators: NonAssignment +BreakStringLiterals: false +SortIncludes: false +ContinuationIndentWidth: 8 + diff --git a/tools/argsfilter/CMakeLists.txt b/tools/argsfilter/CMakeLists.txt new file mode 100644 index 0000000..10dcf7d --- /dev/null +++ b/tools/argsfilter/CMakeLists.txt @@ -0,0 +1,31 @@ +## Copyright(c) 2018-2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +opae_add_static_library(TARGET argsfilter + SOURCE argsfilter.c + LIBS opae-c + COMPONENT toolargsfilter +) diff --git a/tools/argsfilter/argsfilter.c b/tools/argsfilter/argsfilter.c new file mode 100644 index 0000000..3a93955 --- /dev/null +++ b/tools/argsfilter/argsfilter.c @@ -0,0 +1,221 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +#include "argsfilter.h" +#include +#include +#include +#ifdef _WIN32 +#define EX_OK 0 +#define EX_USAGE (-1) +#define EX_SOFTWARE (-2) +#else +#include +#endif + +#define RETURN_ON_ERR(res, desc) \ + do { \ + if ((res) != FPGA_OK) { \ + optind = 1; \ + opterr = old_opterr; \ + fprintf(stderr, "Error %s: %s\n", (desc), \ + fpgaErrStr(res)); \ + return EX_SOFTWARE; \ + } \ + } while (0) + +int set_properties_from_args(fpga_properties filter, fpga_result *result, + int *argc, char *argv[]) +{ + // prefix the short options with '-' so that unrecognized options are + // ignored + const char *short_opts = "-:B:D:F:S:"; + struct option longopts[] = { + {"bus", required_argument, NULL, 'B'}, + {"device", required_argument, NULL, 'D'}, + {"function", required_argument, NULL, 'F'}, + {"socket-id", required_argument, NULL, 'S'}, + {"segment", required_argument, NULL, 0xe}, + {0, 0, 0, 0}, + }; + int supported_options = sizeof(longopts) / sizeof(longopts[0]) - 1; + int getopt_ret = -1; + int option_index = 0; + char *endptr = NULL; + int found_opts[] = {0, 0, 0, 0, 0}; + int next_found = 0; + int old_opterr = opterr; + opterr = 0; + struct _args_filter_config { + int bus; + int device; + int function; + int socket_id; + int segment; + } args_filter_config = { + .bus = -1, .device = -1, .function = -1, .socket_id = -1, + .segment = -1 }; + + while (-1 + != (getopt_ret = getopt_long(*argc, argv, short_opts, longopts, + &option_index))) { + const char *tmp_optarg = optarg; + + if ((optarg) && ('=' == *tmp_optarg)) + ++tmp_optarg; + + switch (getopt_ret) { + case 'B': /* bus */ + if (NULL == tmp_optarg) + break; + endptr = NULL; + args_filter_config.bus = + (int)strtoul(tmp_optarg, &endptr, 0); + if (endptr != tmp_optarg + strlen(tmp_optarg)) { + fprintf(stderr, "invalid bus: %s\n", + tmp_optarg); + return EX_USAGE; + } + found_opts[next_found++] = optind - 2; + break; + + case 'D': /* device */ + if (NULL == tmp_optarg) + break; + endptr = NULL; + args_filter_config.device = + (int)strtoul(tmp_optarg, &endptr, 0); + if (endptr != tmp_optarg + strlen(tmp_optarg)) { + fprintf(stderr, "invalid device: %s\n", + tmp_optarg); + return EX_USAGE; + } + found_opts[next_found++] = optind - 2; + break; + + case 'F': /* function */ + if (NULL == tmp_optarg) + break; + endptr = NULL; + args_filter_config.function = + (int)strtoul(tmp_optarg, &endptr, 0); + if (endptr != tmp_optarg + strlen(tmp_optarg)) { + fprintf(stderr, "invalid function: %s\n", + tmp_optarg); + return EX_USAGE; + } + found_opts[next_found++] = optind - 2; + break; + + case 'S': /* socket */ + if (NULL == tmp_optarg) + break; + endptr = NULL; + args_filter_config.socket_id = + (int)strtoul(tmp_optarg, &endptr, 0); + if (endptr != tmp_optarg + strlen(tmp_optarg)) { + fprintf(stderr, "invalid socket: %s\n", + tmp_optarg); + return EX_USAGE; + } + found_opts[next_found++] = optind - 2; + break; + case 0xe: /* segment */ + if (NULL == tmp_optarg) + break; + endptr = NULL; + args_filter_config.segment = + (int)strtoul(tmp_optarg, &endptr, 0); + if (endptr != tmp_optarg + strlen(tmp_optarg)) { + fprintf(stderr, "invalid segment: %s\n", + tmp_optarg); + return EX_USAGE; + } + found_opts[next_found++] = optind - 2; + break; + case ':': /* missing option argument */ + fprintf(stderr, "Missing option argument\n"); + return EX_USAGE; + + case '?': + break; + case 1: + break; + default: /* invalid option */ + fprintf(stderr, "Invalid cmdline options\n"); + return EX_USAGE; + } + } + + if (-1 != args_filter_config.bus) { + *result = fpgaPropertiesSetBus(filter, args_filter_config.bus); + RETURN_ON_ERR(*result, "setting bus"); + } + if (-1 != args_filter_config.device) { + *result = fpgaPropertiesSetDevice(filter, + args_filter_config.device); + RETURN_ON_ERR(*result, "setting device"); + } + + if (-1 != args_filter_config.function) { + *result = fpgaPropertiesSetFunction( + filter, args_filter_config.function); + RETURN_ON_ERR(*result, "setting function"); + } + + if (-1 != args_filter_config.socket_id) { + *result = fpgaPropertiesSetSocketID( + filter, args_filter_config.socket_id); + RETURN_ON_ERR(*result, "setting socket id"); + } + + if (-1 != args_filter_config.segment) { + *result = fpgaPropertiesSetSegment( + filter, args_filter_config.segment); + RETURN_ON_ERR(*result, "setting segment"); + } + // using the list of optind values + // shorten the argv vector starting with a decrease + // of 2 and incrementing that amount by two for each option found + int removed = 0; + int i, j; + for (i = 0; i < supported_options; ++i) { + if (found_opts[i]) { + for (j = found_opts[i] - removed; j < *argc - 2; j++) { + argv[j] = argv[j + 2]; + } + removed += 2; + } else { + break; + } + } + *argc -= removed; + // restore getopt variables + // setting optind to zero will cause getopt to reinitialize for future + // calls within the program + optind = 0; + opterr = old_opterr; + return EX_OK; +} diff --git a/tools/argsfilter/argsfilter.h b/tools/argsfilter/argsfilter.h new file mode 100644 index 0000000..857528e --- /dev/null +++ b/tools/argsfilter/argsfilter.h @@ -0,0 +1,67 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +/* + * @file argsfilter.h + * + * @brief + */ + +#ifndef ARGSFILTER_H +#define ARGSFILTER_H +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/* @brief Prepare a filter (fpga_properties) from an argument vector. + * This sets properties for bus, device, function, or socket-id + * if command line options corresponding to those are found in + * the argument vector (argv). + * + * @param filter The fpga_properties object to modify. + * @param result An fpga_result value that will be either FPGA_OK if + * all OPAE property operations are successful or the result + * of the first failing operation. + * @param argc Pointer to the size of the argument vector. + * @param argv[] The command line argument vector itself. + * + * @return An error code that may be used to exit the program. + * This will be EX_OK (0) or EX_SOFTWARE (70). See sysexits for + * details on the exit codes. + * + * @note This will modify the command line argument vector and count + * (argv and argc) if any relevant command line options are found + * so that in the end, the resulting argument vector will be missing + * those options used for updating the fpga_properties filter. + */ +int set_properties_from_args(fpga_properties filter, fpga_result *result, + int *argc, char *argv[]); + +#ifdef __cplusplus +} +#endif +#endif /* !ARGSFILTER_H */ diff --git a/tools/extra/mmlink/CMakeLists.txt b/tools/extra/mmlink/CMakeLists.txt new file mode 100644 index 0000000..ad8b413 --- /dev/null +++ b/tools/extra/mmlink/CMakeLists.txt @@ -0,0 +1,43 @@ +## Copyright(c) 2017-2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +opae_add_executable(TARGET mmlink + SOURCE + mm_debug_link_linux.cpp + mmlink_connection.cpp + mmlink_server.cpp main.cpp + LIBS + opae-c + ${libjson-c_LIBRARIES} + COMPONENT toolmmlink +) + +set_target_properties(mmlink + PROPERTIES + CXX_STANDARD 11 + CXX_STANDARD_REQUIRED YES + CXX_EXTENSIONS NO +) diff --git a/tools/extra/mmlink/main.cpp b/tools/extra/mmlink/main.cpp new file mode 100644 index 0000000..9f5071c --- /dev/null +++ b/tools/extra/mmlink/main.cpp @@ -0,0 +1,419 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H +#include +#include +#include +#include +#include + +#include +#include "mmlink_server.h" +#include "mm_debug_link_interface.h" + +// STP index in AFU +#define FPGA_PORT_INDEX_STP 1 +#define FPGA_PORT_STP_DFH_REVBIT 12 + +#define GETOPT_STRING ":hB:D:F:S:P:Iv" + +#define PRINT_ERR(format, ...) \ + printf("%s:%u:%s() : " format "\n", __FILE__, __LINE__, __func__,\ + ## __VA_ARGS__) + +struct option longopts[] = { + {"help", no_argument, NULL, 'h'}, + {"segment", required_argument, NULL, 0xe}, + {"bus", required_argument, NULL, 'B'}, + {"device", required_argument, NULL, 'D'}, + {"function", required_argument, NULL, 'F'}, + {"socket-id", required_argument, NULL, 'S'}, + {"port", required_argument, NULL, 'P'}, + {"ip", required_argument, NULL, 'I'}, + {"version", no_argument, NULL, 'v'}, + {0,0,0,0} +}; + +// mmlink Command line struct +struct MMLinkCommandLine +{ + int segment; + int bus; + int device; + int function; + int socket; + int port; + char ip[16]; +}; + +struct MMLinkCommandLine mmlinkCmdLine = { -1, -1, -1, -1, -1, 0, { 0, } }; + +// mmlink Command line input help +void MMLinkAppShowHelp() +{ + printf("Usage:\n"); + printf("mmlink\n"); + printf(" --segment=\n"); + printf(" --bus= " + "OR -B \n"); + printf(" --device= " + "OR -D \n"); + printf(" --function= " + "OR -F \n"); + printf(" --socket-id= " + "OR -S \n"); + printf(" --port= " + "OR -P \n"); + printf(" --ip= " + "OR -I \n"); + printf(" -v,--version Print version and exit\n"); + printf("\n"); + +} + +/* + * macro to check return codes, print error message, and goto cleanup label + * NOTE: this changes the program flow (uses goto)! + */ +#define ON_ERR_GOTO(res, label, desc) \ + do { \ + if ((res) != FPGA_OK) { \ + print_err((desc), (res)); \ + goto label; \ + } \ + } while (0) + +void print_err(const char *s, fpga_result res) +{ + fprintf(stderr, "Error %s: %s\n", s, fpgaErrStr(res)); +} + +void mmlink_sig_handler(int sig) +{ + UNUSED_PARAM(sig); + perror("SIGINT: stopping the server\n"); +} + +int ParseCmds(struct MMLinkCommandLine *mmlinkCmdLine, + int argc, + char *argv[]); +int run_mmlink(fpga_handle port_handle, + uint64_t *mmio_ptr, + struct MMLinkCommandLine *mmlinkCmdLine ); + +int main( int argc, char** argv ) +{ + fpga_properties filter = NULL; + uint32_t num_matches = 1; + fpga_result result = FPGA_OK; + fpga_token port_token = NULL; + fpga_handle port_handle = NULL; + uint64_t *mmio_ptr = NULL; + int res; + + // Parse command line + if ( argc < 2 ) { + MMLinkAppShowHelp(); + return 1; + } else if ( 0 != (res = ParseCmds(&mmlinkCmdLine, argc, argv)) ) { + if (res != -2) + PRINT_ERR( "Error scanning command line \n."); + return 2; + } + + if ('\0' == mmlinkCmdLine.ip[0]) { + strncpy(mmlinkCmdLine.ip, "0.0.0.0", 8); + mmlinkCmdLine.ip[7] = '\0'; + } + + printf(" ------- Command line Input START ----\n\n"); + + printf(" Segment : %d\n", mmlinkCmdLine.segment); + printf(" Bus : %d\n", mmlinkCmdLine.bus); + printf(" Device : %d\n", mmlinkCmdLine.device); + printf(" Function : %d\n", mmlinkCmdLine.function); + printf(" Socket-id : %d\n", mmlinkCmdLine.socket); + printf(" Port : %d\n", mmlinkCmdLine.port); + printf(" IP address : %s\n", mmlinkCmdLine.ip); + printf(" ------- Command line Input END ----\n\n"); + + // Signal Handler + signal(SIGINT, mmlink_sig_handler); + + // Enum FPGA device + result = fpgaGetProperties(NULL, &filter); + ON_ERR_GOTO(result, out_exit, "creating properties object"); + + result = fpgaPropertiesSetObjectType(filter, FPGA_ACCELERATOR); + ON_ERR_GOTO(result, out_destroy_prop, "setting object type"); + + if (mmlinkCmdLine.segment > -1){ + result = fpgaPropertiesSetSegment(filter, mmlinkCmdLine.segment); + ON_ERR_GOTO(result, out_destroy_prop, "setting segment"); + } + + if (mmlinkCmdLine.bus > -1){ + result = fpgaPropertiesSetBus(filter, mmlinkCmdLine.bus); + ON_ERR_GOTO(result, out_destroy_prop, "setting bus"); + } + + if (mmlinkCmdLine.device > -1) { + result = fpgaPropertiesSetDevice(filter, mmlinkCmdLine.device); + ON_ERR_GOTO(result, out_destroy_prop, "setting device"); + } + + if (mmlinkCmdLine.function > -1){ + result = fpgaPropertiesSetFunction(filter, mmlinkCmdLine.function); + ON_ERR_GOTO(result, out_destroy_prop, "setting function"); + } + + if (mmlinkCmdLine.socket > -1){ + result = fpgaPropertiesSetSocketID(filter, mmlinkCmdLine.socket); + ON_ERR_GOTO(result, out_destroy_prop, "setting socket"); + } + + result = fpgaEnumerate(&filter, 1, &port_token,1, &num_matches); + ON_ERR_GOTO(result, out_destroy_prop, "enumerating FPGAs"); + + if (num_matches < 1) { + fprintf(stderr, "PORT Resource not found.\n"); + result = fpgaDestroyProperties(&filter); + return FPGA_INVALID_PARAM; + } + fprintf(stderr, "PORT Resource found.\n"); + + result = fpgaOpen(port_token, &port_handle, FPGA_OPEN_SHARED); + ON_ERR_GOTO(result, out_destroy_tok, "opening accelerator"); + + result = fpgaMapMMIO(port_handle, FPGA_PORT_INDEX_STP, &mmio_ptr); + ON_ERR_GOTO(result, out_close, "mapping MMIO space"); + + if( run_mmlink(port_handle,mmio_ptr,&mmlinkCmdLine) != 0) { + PRINT_ERR( "Failed to connect MMLINK \n."); + result = FPGA_NOT_SUPPORTED; + } + + /* Unmap MMIO space */ + result = fpgaUnmapMMIO(port_handle, FPGA_PORT_INDEX_STP); + ON_ERR_GOTO(result, out_close, "unmapping MMIO space"); + + /* Close driver handle */ +out_close: + result = fpgaClose(port_handle); + ON_ERR_GOTO(result, out_destroy_tok, "closing Port"); + + /* Destroy token */ +out_destroy_tok: + result = fpgaDestroyToken(&port_token); + ON_ERR_GOTO(result, out_destroy_prop, "destroying token"); + + /* Destroy properties object */ +out_destroy_prop: + result = fpgaDestroyProperties(&filter); + ON_ERR_GOTO(result, out_exit, "destroying properties object"); + +out_exit: + return result; + +} + +// run MMLink server +int run_mmlink(fpga_handle port_handle, + uint64_t *mmio_ptr, + struct MMLinkCommandLine *mmlinkCmdLine ) +{ + mmlink_server *server = NULL; + int res = 0; + struct sockaddr_in sock; + uint64_t value = 0; + + if (mmio_ptr == NULL) { + PRINT_ERR("Invalid input mmio pointer \n"); + return -1; + } + + if (mmlinkCmdLine == NULL) { + PRINT_ERR("Invalid input command line \n"); + return -1; + } + + memset(&sock, 0, sizeof(sock)); + sock.sin_family = AF_INET; + sock.sin_port = htons(mmlinkCmdLine->port); + if (1 != inet_pton(AF_INET, mmlinkCmdLine->ip, &sock.sin_addr)) { + PRINT_ERR("Failed to convert IP address: %s\n", mmlinkCmdLine->ip); + return -1; + } + + res = fpgaReadMMIO64(port_handle, FPGA_PORT_INDEX_STP, 0, &value); + if (res != 0) { + PRINT_ERR("Failed to read STP DFH \n"); + return -1; + } + //printf("STP DFH = 0x%lx\n" ,value); + + value &= 0x1000; + value = value >> FPGA_PORT_STP_DFH_REVBIT; + if(1 != value){ + PRINT_ERR("Invalid STP revision number \n"); + return -1; + } + mm_debug_link_interface *driver = get_mm_debug_link(); + server = new (std::nothrow) mmlink_server(&sock, driver); + if (!server) { + PRINT_ERR("Failed to allocate memory \n"); + return -1; + } + + // Run MMLink server + res = server->run((unsigned char*)mmio_ptr); + + if (server) + delete server; + + return res; +} + +// parse Input command line +int ParseCmds(struct MMLinkCommandLine *mmlinkCmdLine, int argc, char *argv[]) +{ + int getopt_ret = 0 ; + int option_index = 0; + char *endptr = NULL; + + while( -1 != ( getopt_ret = getopt_long(argc, argv, GETOPT_STRING, + longopts, &option_index))){ + const char *tmp_optarg = optarg; + + if((optarg) && + ('=' == *tmp_optarg)){ + ++tmp_optarg; + } + + if((!optarg) && (optind < argc) && + (NULL != argv[optind]) && + ('-' != argv[optind][0]) ) { + tmp_optarg = argv[optind++]; + } + + switch(getopt_ret){ + case 'h': + // Command line help + MMLinkAppShowHelp(); + return -2; + break; + + case 0xe: + // segment number + if (!tmp_optarg) { + PRINT_ERR("Missing required argument for --segment"); + return -1; + } + endptr = NULL; + mmlinkCmdLine->segment = strtol(tmp_optarg, &endptr, 0); + break; + + case 'B': + // bus number + if (!tmp_optarg) { + PRINT_ERR("Missing required argument for --bus"); + return -1; + } + endptr = NULL; + mmlinkCmdLine->bus = strtol(tmp_optarg, &endptr, 0); + break; + + case 'D': + // Device number + if (!tmp_optarg) { + PRINT_ERR("Missing required argument for --device"); + return -1; + } + endptr = NULL; + mmlinkCmdLine->device = strtol(tmp_optarg, &endptr, 0); + break; + + case 'F': + // Function number + if (!tmp_optarg) { + PRINT_ERR("Missing required argument for --function"); + return -1; + } + endptr = NULL; + mmlinkCmdLine->function = strtol(tmp_optarg, + &endptr, 0); + break; + + case 'S': + // Socket number + if (!tmp_optarg) { + PRINT_ERR("Missing required argument for --socket"); + return -1; + } + endptr = NULL; + mmlinkCmdLine->socket = strtol(tmp_optarg, &endptr, 0); + break; + + case 'P': + // TCP Port + if (!tmp_optarg) { + PRINT_ERR("Missing required argument for --port"); + return -1; + } + endptr = NULL; + mmlinkCmdLine->port = strtol(tmp_optarg, &endptr, 0); + break; + + case 'I': + // Ip address + if (!tmp_optarg) { + PRINT_ERR("Missing required argument for --ip"); + return -1; + } + strncpy(mmlinkCmdLine->ip, tmp_optarg, 15); + mmlinkCmdLine->ip[15] = '\0'; + break; + + case 'v': + // Version + printf("mmlink %s %s%s\n", + OPAE_VERSION, + OPAE_GIT_COMMIT_HASH, + OPAE_GIT_SRC_TREE_DIRTY ? "*":""); + return -2; + + case '?': + default: /* invalid option */ + printf("Invalid cmdline options.\n"); + return -1; + } + } + + return 0; +} diff --git a/tools/extra/mmlink/mm_debug_link_interface.h b/tools/extra/mmlink/mm_debug_link_interface.h new file mode 100644 index 0000000..305edb8 --- /dev/null +++ b/tools/extra/mmlink/mm_debug_link_interface.h @@ -0,0 +1,64 @@ +// Copyright(c) 2017, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +//**************************************************************************** +/// @file mm_debug_link_interface.h +/// @brief Basic AFU interaction. +/// @ingroup SigTap +/// @verbatim +//**************************************************************************** +#ifndef MM_DEBUG_LINK_INTERFACE_H +#define MM_DEBUG_LINK_INTERFACE_H + +#include + + +class mm_debug_link_interface +{ +public: + virtual int open(unsigned char* stpAddr) = 0; + virtual ssize_t read() = 0; + virtual ssize_t write(const void *buf, size_t count) = 0; + virtual void close(void) = 0; + virtual void ident(int id[4]) = 0; + virtual void write_ident(int val) = 0; + virtual void reset(bool val) = 0; + virtual void enable(int channel, bool state) = 0; + virtual int get_fd(void) = 0; + virtual bool can_read_data() = 0; + virtual size_t buf_end(void) = 0; + virtual void buf_end(int index) = 0; + virtual char *buf(void) = 0; + virtual bool is_empty(void) = 0; + virtual bool flush_request(void) = 0; +}; + +// Concrete classes must implement this routine. +mm_debug_link_interface *get_mm_debug_link(void); + +#define UNUSED_PARAM(x) (void)x + +#endif + diff --git a/tools/extra/mmlink/mm_debug_link_linux.cpp b/tools/extra/mmlink/mm_debug_link_linux.cpp new file mode 100644 index 0000000..e3d40c4 --- /dev/null +++ b/tools/extra/mmlink/mm_debug_link_linux.cpp @@ -0,0 +1,542 @@ +// Copyright(c) 2017, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +//**************************************************************************** +/// @file mml_debug_link_linux.cpp +/// @brief Basic AFU interaction. +/// @ingroup SigTap +/// @verbatim +//**************************************************************************** + +#include + +#include +#include +#include +#include +#include +#include + +#include +#include +#include + +#include +#include +#include "mm_debug_link_linux.h" + +#define DRIVER_PATH "/dev/mm_debug_link" +#define B2P_EOP 0x7B + +#define BASE_ADDR 4096 + +#define MAP_SIZE 4096UL +#define MAP_MASK (MAP_SIZE - 1) + +#define MM_DEBUG_LINK_DATA_WRITE 0x100 +#define MM_DEBUG_LINK_WRITE_CAPACITY 0x104 +#define MM_DEBUG_LINK_DATA_READ 0x108 +#define MM_DEBUG_LINK_READ_CAPACITY 0x10C +#define MM_DEBUG_LINK_FIFO_WRITE_COUNT 0x120 +#define MM_DEBUG_LINK_FIFO_READ_COUNT 0x140 +#define MM_DEBUG_LINK_ID_ROM 0x160 +#define MM_DEBUG_LINK_SIGNATURE 0x170 +#define MM_DEBUG_LINK_VERSION 0x174 +#define MM_DEBUG_LINK_DEBUG_RESET 0x178 +#define MM_DEBUG_LINK_MGMT_INTF 0x17C + +#define REMSTP_MMIO_RD_LEN 0x180 +#define REMSTP_MMIO_WR_LEN 0x184 +#define REMSTP_RESET 0x188 +#define LEN_8B 0x2 +#define LEN_4B 0x1 +#define LEN_1B 0x0 + +//#define DEBUG_8B_4B_TRANSFERS 1 // Uncomment for 4B/8B DBG +//#define DEBUG_FLAG 1 //Uncomment to enable read/write information + +using namespace std; + +/* + * The value to expect at offset MM_DEBUG_LINK_SIGNATURE, aka "SysC". + */ +#define EXPECT_SIGNATURE 0x53797343 + +/* + * The maximum version this driver supports. + */ +#define MAX_SUPPORTED_VERSION 1 + + +mm_debug_link_interface *get_mm_debug_link(void) +{ + return new mm_debug_link_linux(); +} + + +mm_debug_link_linux::mm_debug_link_linux() { + m_fd = -1; + m_buf_end = 0; + m_write_fifo_capacity = 0; + m_write_before_any_read_rfifo_level = false; + m_last_read_rfifo_level_empty_time = 0; + m_read_rfifo_level_empty_interval = 1; + map_base = NULL; +} + +int mm_debug_link_linux::open(unsigned char* stpAddr) +{ + unsigned int sign, version; + m_fd = -1; + map_base = stpAddr; + + cout << "Remote STP : Assert Reset" << endl << flush; + write_mmr(REMSTP_RESET, 'w', 0x1); + cout << "Remote STP : De-Assert Reset" << endl << flush; + write_mmr(REMSTP_RESET, 'w', 0x0); + + sign = read_mmr(MM_DEBUG_LINK_SIGNATURE); + cout << "Read signature value " << std::hex << sign << " to hw\n" << flush; + if ( sign != EXPECT_SIGNATURE) + { + cerr << "Unverified Signature\n"; + return -1; + } + + version = read_mmr(MM_DEBUG_LINK_VERSION); + cout << "Read version value " << version << " to hw\n"; + if ( version > MAX_SUPPORTED_VERSION ) + { + cerr << "Unsupported Version\n"; + return -1; + } + + this->m_write_fifo_capacity = read_mmr(MM_DEBUG_LINK_WRITE_CAPACITY); + cout << "Read write fifo capacity value " << std::dec << this->m_write_fifo_capacity << " to hw\n"; + + return 0; +} + +void mm_debug_link_linux::write_mmr(off_t target, + int access_type, + uint64_t write_val) +{ +#ifdef DEBUG_8B_4B_TRANSFERS + cout << hex <<"WRITING : "<< write_val << dec << endl; +#endif + void *virt_addr; + /* Map one page */ + + virt_addr = (void *) (map_base + target); + + switch(access_type) { + case 'b': + *((uint8_t *) virt_addr) = write_val; + break; + case 'h': + *((uint16_t *) virt_addr) = write_val; + break; + case 'w': + *((uint32_t *) virt_addr) = write_val; + break; + case 'q': + *((uint64_t *) virt_addr) = write_val; + break; + default: + cerr << "Illegal data type '" << access_type << "'.\n"; + exit(2); + } +} + +bool mm_debug_link_linux::can_read_data() +{ + bool ret = this->m_write_before_any_read_rfifo_level; + + if ( !ret ) + { + clock_t cur_time = ::clock(); + clock_t duration = cur_time - this->m_last_read_rfifo_level_empty_time; + if ( duration < 0 ) + { + duration = -duration; + } + if ( duration >= this->m_read_rfifo_level_empty_interval ) + { + ret = true; + } + } + + return ret; +} + +ssize_t mm_debug_link_linux::read() +{ + uint8_t num_bytes; + + num_bytes = read_mmr(MM_DEBUG_LINK_FIFO_READ_COUNT); + + // Reset the timer record + if ( (this->m_write_before_any_read_rfifo_level || // when this is the first read after write + num_bytes > 0) ) // when something is available to read + { + this->m_write_before_any_read_rfifo_level = false; + this->m_read_rfifo_level_empty_interval = 1; // Increase the read fifo level polling freq. in anticipation of more read data availability. + } + + if (num_bytes > 0 ) + { + if ( num_bytes > (mm_debug_link_linux::BUFSIZE - m_buf_end) ) + { + num_bytes = mm_debug_link_linux::BUFSIZE - m_buf_end; + } + +#ifdef DEBUG_FLAG + cout << "Read " << num_bytes << " bytes\n"; +#endif + +/* + ========================================================================================================================== + At this point, num_bytes has the No. of bytes available to read from the FPGA + Default implementation: Tries to pull 1B at a time. + + The Objective is to increase link utilization (1/8) to (8/8): + ------------------------------------------------------------- + The interface on HW to SLD HUB Controller system still supports 1B reads/ writes only + The solution is to avoid 1B ping-pong and communicate to remote STP soft logic on HW with No. of bytes to read (say N) + The HW should read so many bytes (N) from the SLD HUB Cont Sys and return a packed read response. (little endian 64b max payload) + A register (REMSTP_MMIO_RD_LEN) is defined @ PORT DFH offset 0x4180 - Default value is 0. Possible values are 0/1/2. + Only SW can modify this register. SW should always retain the last value written to this register + SW always does 8B/4B/1B MMIO reads to MM_DEBUG_LINK_DATA_READ register (depending on the current value of N) + RemoteSTP logic on HW translates this to REMSTP_MMIO_RD_LEN (N) number of 1B reads from SLD HUB Cont sys endpoint + HW returns a 1B/4B/8B read value. Only lower REMSTP_MMIO_RD_LEN bytes are valid + SW should drop the remaining upper bytes of the returned response and update the mem-mapped pointer. + SW is responsible for credit control on the HW read FIFO + i.e. SW should update REMSTP_MMIO_RD_LEN register based on num_bytes available to read + Leaving back entries in the FIFO/ popping an empty FIFO is FATAL + + Similarly, on the Write Path number of bytes to be written to HW write FIFO is packed into 4B/8B writes whenever possible + A register (REMSTP_MMIO_WR_LEN) is defined @ PORT DFH offset 0x4184 - Default value is 0. Possible values are 0/1/2. (M say) + RemoteSTP logic on HW will replay 1B writes M times into SLD HUB controller system w/o SLD endpoint. + + Encodings for REMSTP_MMIO_WR_LEN & REMSTP_MMIO_RD_LEN + ----------------------------------------------------- + + ------------------------- + | Encoding | Rd/Wr Len | + ------------------------- + | 2'b00 | 1 | + | 2'b01 | 4 | + | 2'b10 | 8 | + | 2'b11 | Rsvd | + ------------------------- + + Performance impact: + ------------------- + 1) + OLD - Time to read 8bytes = 8 * 1 MMIO Read latency from device + NEW - Time to read 8bytes (best case) = 1 MMIO Read latency from device + NEW - Time to read 8bytes (worst case) = 1 MMIO Write latency to device + 1 MMIO Read latency from device + + 2) Through efficient utilization of MMIO data width, the amount of degradation seen on available AFU BW when using remoteSTP could be lowered + + NOTE: + ----- + MMIO reads to REMSTP_MMIO_RD_LEN or REMSTP_MMIO_WR_LEN is NOT supported +*/ + + uint8_t num_8B_reads, num_4B_reads, num_1B_reads, remaining_bytes; + num_8B_reads = num_bytes/8; + remaining_bytes = num_bytes%8; + num_4B_reads = remaining_bytes/4; + remaining_bytes = remaining_bytes%4; + num_1B_reads = remaining_bytes; + +#ifdef DEBUG_8B_4B_TRANSFERS + cout << dec; + cout << "DBG_READ : Total_Bytes = " << (unsigned) num_bytes << " ; 8_bytes = " + << (unsigned) num_8B_reads << " ; 4_bytes = " << (unsigned) num_4B_reads << " ; 1_bytes = " << (unsigned) num_1B_reads << endl << flush; +#endif + + // SW should update HW control (REMSTP_MMIO_RD_LEN) and use only REMSTP_MMIO_RD_LEN bytes returned + if (num_8B_reads > 0) + { + // Change REMSTP_MMIO_RD_LEN to 8B + write_mmr( REMSTP_MMIO_RD_LEN, 'w', LEN_8B); + for ( unsigned char i = 0; i < num_8B_reads; ++i ) + { + volatile uint64_t *p = reinterpret_cast(this->m_buf + + this->m_buf_end + + (i*8)); + *p = read_mmr(MM_DEBUG_LINK_DATA_READ); +#ifdef DEBUG_8B_4B_TRANSFERS + cout << "DBG_READ_8B : Iteration "<< (unsigned) i << "; READ VALUE : " << hex; + for (unsigned char j=0; j<8; j++) + cout << (int) *( this->m_buf + this->m_buf_end + (i*8) + j ) << flush; + cout << dec << endl << flush; +#endif + } + } + + if (num_4B_reads > 0) + { + // Change REMSTP_MMIO_RD_LEN to 4B + write_mmr( REMSTP_MMIO_RD_LEN, 'w', LEN_4B); + for ( unsigned char i = 0; i < num_4B_reads; ++i ) + { + volatile uint32_t *p = reinterpret_cast(this->m_buf + + this->m_buf_end + + (num_8B_reads*8) + + (i*4)); + *p = read_mmr(MM_DEBUG_LINK_DATA_READ); +#ifdef DEBUG_8B_4B_TRANSFERS + cout << "DBG_READ_4B : Iteration "<< (int) i << "; READ VALUE : " << hex; + for (unsigned char j=0; j<4; j++) + cout << (int) *( this->m_buf + this->m_buf_end + ( (num_8B_reads*8) + (i*4) + j)) << flush; + cout << dec << endl << flush; +#endif + } + } + + if (num_1B_reads > 0) + { + // Change REMSTP_MMIO_RD_LEN to 1B + write_mmr( REMSTP_MMIO_RD_LEN, 'w', LEN_1B); + for ( unsigned char i = 0; i < num_1B_reads; ++i ) + { + volatile uint8_t *p = reinterpret_cast(this->m_buf + this->m_buf_end + + (num_8B_reads*8) + + (num_4B_reads*4) + + i); + *p = read_mmr(MM_DEBUG_LINK_DATA_READ); +#ifdef DEBUG_8B_4B_TRANSFERS + cout << "DBG_READ_1B : Iteration "<< (int) i << "; READ VALUE : " + << hex << (int) *( this->m_buf + this->m_buf_end + ( (num_8B_reads*8) + (num_4B_reads*4) +i)) << endl << flush << dec; +#endif + } + } + // ========================================================================================================================== + + unsigned int x; + for ( unsigned char i = 0; i < num_bytes; ++i ) + { + x = this->m_buf[this->m_buf_end + i]; + +#ifdef DEBUG_FLAG + cout << setfill('0') << setw(2) << std::hex << x << " "; +#else + UNUSED_PARAM(x); +#endif + } +#ifdef DEBUG_FLAG + cout << std::dec << "\n"; +#endif + + this->m_buf_end += num_bytes; + } + else + { + //printf( "%s %s(): error read hw read buffer level\n", __FILE__, __FUNCTION__ ); + num_bytes = 0; + + this->m_last_read_rfifo_level_empty_time = ::clock(); + + //Throttle the read rfifo level polling freq. up to 10 sec. + this->m_read_rfifo_level_empty_interval *= 2; + if ( this->m_read_rfifo_level_empty_interval >= 10 * CLOCKS_PER_SEC ) + { + this->m_read_rfifo_level_empty_interval = 10 * CLOCKS_PER_SEC; + } + } + + return num_bytes; +} + +ssize_t mm_debug_link_linux::write(const void *buf, size_t count) +{ + uint8_t num_bytes; + unsigned int x; + + num_bytes = read_mmr(MM_DEBUG_LINK_FIFO_WRITE_COUNT); + + this->m_write_before_any_read_rfifo_level = true; // Set this to kick off any possible read activity even if write FIFO is full to avoid potential deadlock. + + if ( num_bytes < this->m_write_fifo_capacity ) + { + num_bytes = this->m_write_fifo_capacity - num_bytes; + if ( count < num_bytes ) + { + num_bytes = count; + } + + count = 0; + + // ========================================================================================================================== + uint8_t num_8B_writes, num_4B_writes, num_1B_writes, remaining_bytes; + num_8B_writes = num_bytes/8; + remaining_bytes = num_bytes%8; + num_4B_writes = remaining_bytes/4; + remaining_bytes = remaining_bytes%4; + num_1B_writes = remaining_bytes; + +#ifdef DEBUG_8B_4B_TRANSFERS + cout << dec << endl; + cout << "DBG_WRITE : Total_Bytes = " << (unsigned) num_bytes << " ; 8_bytes = " << (unsigned) num_8B_writes + << " ; 4_bytes = " << (unsigned) num_4B_writes << " ; 1_bytes = " << (unsigned) num_1B_writes << endl << flush; +#endif + + // SW should update HW control (REMSTP_MMIO_WR_LEN) and use only REMSTP_MMIO_WR_LEN bytes returned + if (num_8B_writes > 0) + { + // Change REMSTP_MMIO_WR_LEN to 8B + write_mmr( REMSTP_MMIO_WR_LEN, 'w', LEN_8B); + for ( size_t i = 0; i < num_8B_writes; ++i ) + { +#ifdef DEBUG_8B_4B_TRANSFERS + cout << "DBG_WRITE_8B : Iteration "<< i << "; "; +#endif + write_mmr( MM_DEBUG_LINK_DATA_WRITE, 'q', *( (uint64_t *)buf + i ) ); + count+=8; + } + } + + if (num_4B_writes > 0) + { + // Change REMSTP_MMIO_WR_LEN to 4B + write_mmr( REMSTP_MMIO_WR_LEN, 'w', LEN_4B); + for ( size_t i = 0; i < num_4B_writes; ++i ) + { +#ifdef DEBUG_8B_4B_TRANSFERS + cout << "DBG_WRITE_4B : Iteration "<< i << "; "; +#endif + write_mmr( MM_DEBUG_LINK_DATA_WRITE, 'w', *( (uint32_t *)buf + ( (num_8B_writes*2) + i ) ) ); + count+=4; + } + } + + if (num_1B_writes > 0) + { + // Change REMSTP_MMIO_WR_LEN to 1B + write_mmr( REMSTP_MMIO_WR_LEN, 'w', LEN_1B); + for ( size_t i = 0; i < num_1B_writes; ++i ) + { +#ifdef DEBUG_8B_4B_TRANSFERS + cout << "DBG_WRITE_1B : Iteration "<< i << "; "; +#endif + write_mmr( MM_DEBUG_LINK_DATA_WRITE, 'b', *( (unsigned char *)buf + ( (num_8B_writes*8) + (num_4B_writes*4) + (i) ) ) ); + ++count; + } + } + // ========================================================================================================================== + + num_bytes = count; +#ifdef DEBUG_FLAG + cout << "Wrote " << num_bytes << " bytes\n"; +#endif + for ( int i = 0; i < num_bytes; ++i ) + { + x = *((unsigned char *)buf + i); +#ifdef DEBUG_FLAG + cout << setfill('0') << setw(2) << std::hex << x << " "; +#else + UNUSED_PARAM(x); +#endif + } +#ifdef DEBUG_FLAG + cout << std::dec << "\n" ; +#endif + } + else + { + //cerr << "Error write hw write buffer level\n"; + num_bytes = 0; + } + + return num_bytes; +} + +void mm_debug_link_linux::close(void) +{ + + if(munmap((void *) map_base, MAP_SIZE) == -1){ + cerr << "Unmap error\n"; + } + + if (m_fd != -1){ + ::close(m_fd); + } + m_fd = -1; +} + +void mm_debug_link_linux::write_ident(int val) +{ + write_mmr(MM_DEBUG_LINK_ID_ROM, 'b', val); + cout << "Write mixer value " << val << " to hw\n"; +} + +void mm_debug_link_linux::reset(bool val) +{ + unsigned int reset_val = val ? 1 : 0; + write_mmr(MM_DEBUG_LINK_DEBUG_RESET, 'w', val); + cout << "Write reset value " << reset_val << " to hw\n"; +} + +void mm_debug_link_linux::ident(int id[4]) +{ + for ( int i = 0; i < 4; i++ ) + { + id[i] = read_mmr(MM_DEBUG_LINK_ID_ROM + i * 4); + } +} + +void mm_debug_link_linux::enable(int channel, bool state) +{ + int encoded_cmd = (channel << 8) | (state ? 1 : 0); + write_mmr(MM_DEBUG_LINK_MGMT_INTF, 'w', encoded_cmd); + cout << "Enable channel " << encoded_cmd << " to hw\n"; + +} + +bool mm_debug_link_linux::flush_request(void) +{ + bool should_flush = false; + if (m_buf_end == BUFSIZE) + // Full buffer? Send. + should_flush = true; + else if (memchr(m_buf, B2P_EOP, m_buf_end - 1)) + // Buffer contains eop? Send. + // If the eop character occurs in the very last buffer byte, there's no packet here - + // we need at least one more byte. + // Interesting corner case: it's not strictly true that one more byte after EOP indicates + // the end of a packet - that byte after EOP might be the escape character. In this case, + // we flush even though it's not necessarily a complete packet. This probably has negligible + // impact on performance. + should_flush = true; + + if ( m_buf_end > 0 ) + { + should_flush = true; + } + return should_flush; +} diff --git a/tools/extra/mmlink/mm_debug_link_linux.h b/tools/extra/mmlink/mm_debug_link_linux.h new file mode 100644 index 0000000..4b1bae4 --- /dev/null +++ b/tools/extra/mmlink/mm_debug_link_linux.h @@ -0,0 +1,87 @@ +// Copyright(c) 2017, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +//**************************************************************************** +/// @file mm_debug_link_linux.h +/// @brief Basic AFU interaction. +/// @ingroup SigTap +/// @verbatim +//**************************************************************************** + +#ifndef MM_DEBUG_LINK_LINUX_H +#define MM_DEBUG_LINK_LINUX_H + +#include +#include + +#include +#include "time.h" + +#include "mm_debug_link_interface.h" + +// size of buffer for t2h data +#define BUFFERSIZE_T2H 1073741824 + +class mm_debug_link_linux: public mm_debug_link_interface +{ +private: + int m_fd; + static const size_t BUFSIZE = BUFFERSIZE_T2H; + char m_buf[BUFSIZE]; + volatile size_t m_buf_end; + int m_write_fifo_capacity; + volatile unsigned char* map_base; + bool m_write_before_any_read_rfifo_level; + clock_t m_last_read_rfifo_level_empty_time; + clock_t m_read_rfifo_level_empty_interval; + +public: + mm_debug_link_linux(); + int open(unsigned char* stpAddr); + + template + T read_mmr(U offset) + { + return *reinterpret_cast(map_base + offset); + } + + void write_mmr(off_t target, int access_type, uint64_t write_val); + ssize_t read(); + ssize_t write( const void *buf, size_t count); + void close(void); + void ident(int id[4]); + void write_ident(int val); + void reset(bool val); + void enable(int channel, bool state); + int get_fd(void) { return m_fd; } + bool can_read_data(void); + char *buf(void) { return m_buf; } + bool is_empty(void) { return m_buf_end == 0; } + bool flush_request(void); + size_t buf_end(void) { return m_buf_end; } + void buf_end(int index) { m_buf_end = index; } +}; + +#endif diff --git a/tools/extra/mmlink/mmlink_connection.cpp b/tools/extra/mmlink/mmlink_connection.cpp new file mode 100644 index 0000000..7d02bf3 --- /dev/null +++ b/tools/extra/mmlink/mmlink_connection.cpp @@ -0,0 +1,281 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +//**************************************************************************** +/// @file mmlink_connection.cpp +/// @brief Basic AFU interaction. +/// @ingroup SigTap +/// @verbatim +//**************************************************************************** + +#include +#include +#include +#include +#include // std::setw + +#include + +#include "mmlink_connection.h" + +using namespace std; + +const char *mmlink_connection::UNKNOWN = "UNKNOWN\n"; +#define MMLINK_UNKNOWN_SIZE 9 + +const char *mmlink_connection::OK = "OK\n"; +#define MMLINK_OK_SIZE 4 + +mmlink_connection::mmlink_connection(mmlink_server* server) : m_bufsize(3000) +{ + m_buf_end = 0; + m_buf = new char[m_bufsize]; + init(server); +} + +// return value: +// 0: everything A-OK +// negative: error code +int mmlink_connection::handle_receive() +{ + int fail = 0; + int size = 0; + int conn = this->getsocket(); + + if(conn < 0) + return -1; + + int bytes_to_receive = m_bufsize - m_buf_end; + if (bytes_to_receive == 0) + { + // No room for more data, so exit. + return 0; + } + + size = ::recv(conn, m_buf + m_buf_end, bytes_to_receive, 0); + if (size == -1) + { + if (errno == EAGAIN || errno == EWOULDBLOCK) + { + // Nothing to do, but no error. + fail = 0; + } + else + { + cerr << "error on socket " << conn << " : " + << errno << " " << strerror(errno) << endl; + fail = -errno; + } + } + else if (size == 0) + { + fail = -1; + } + else + { + m_buf_end += size; + } + + return fail; +} + +size_t mmlink_connection::send(const char *msg, const size_t msg_len) +{ + size_t len; + + len = ::send(m_fd, msg, msg_len, 0); + return len; +} + +int mmlink_connection::handle_management() +{ + size_t i, start; + size_t rem; + int fail = 0; + + i = 0; + start = 0; + for (i = 0; i < m_buf_end; ++i) + { + if (m_buf[i] == '|') + { + // MSG("found a pipe\n"); + // If bound, set to data mode + if (is_bound()) + { + set_is_data(); + return 0; + } + + // If not bound, close. + cout << getsocket() << ": rejecting attempt to convert " + "unbound connection to data.\n"; + fail = -1; + break; + } + else if (m_buf[i] == '\n' || m_buf[i] == '\r') + { + m_buf[i] = '\0'; + if (handle_management_command(m_buf + start)) + { + // Pass the failure upward. + fail = -1; + return fail; + } + else + { + // point to the next command + start = i + 1; + } + } + } + // Transfer any remaining unprocessed bytes to the start of the buffer. + rem = m_buf_end - start; + if (rem > 0) + memmove(m_buf, m_buf + start, rem); + m_buf_end = rem; + + // success + return fail; +} + +// Handle a single management connection command. +// cmd is a null-terminated string. +// return value: 0 on success, non-zero on failure. +int mmlink_connection::handle_management_command(char *cmd) +{ + int fail = 0; + + cout << "mmlink_connection::handle_management_command('" + << cmd << "')\n"; + // Ignore empty string. + if (!*cmd) + return 0; + + if (!this->is_bound()) + fail = this->handle_unbound_command(cmd); + else + fail = this->handle_bound_command(cmd); + + return fail; +} + +int mmlink_connection::handle_unbound_command(char *cmd) +{ + int fail = 0; + // + // Only HANDLE=xxxxxxxx is allowed + // If wrong handle value, close + // if any other input, close + char expect_handle[] = "HANDLE 01234567"; + + snprintf(expect_handle+7, 9, + "%08X", get_server_id()); + + if (0 == strcmp(expect_handle, cmd)) + { + cout << getsocket() << ": accepted handle value (' "<< cmd << "')," + " setting to bound state\n"; + + bind(); + send(OK, strnlen(OK, MMLINK_OK_SIZE)); + } + else + { + cout << getsocket() << ": closing socket: incorrect HANDLE value " + "(expected: '" + << expect_handle << "'; got: '"<< cmd << "')\n"; + fail = -1; + } + + return fail; +} + +int mmlink_connection::handle_data() +{ + m_buf[m_buf_end] = '\0'; + cout << getsocket() << "(data): "; + for (size_t i = 0; i < m_buf_end; ++i) + { + cout << setw(2) << m_buf[i] << " "; + } + cout << "\n"; + m_buf_end = 0; + return 0; +} + +int mmlink_connection::handle_bound_command(char *cmd) +{ + unsigned u = 0; + int arg1, arg2; + bool unknown = true; + + if (1 == sscanf(cmd, "IDENT %X", &u)) + { + arg1 = (int)u; + if (arg1 >= 0 && arg1 <= 0xF) { + int ident[4]; + size_t msg_len = 64; + char msg[msg_len + 1]; + + // Write the nibble value + driver()->write_ident(arg1); + driver()->ident(ident); + snprintf(msg, msg_len, "%08X%08X%08X%08X\n", + ident[3], ident[2], ident[1], ident[0]); + + send(msg, strnlen(msg, msg_len + 1)); + unknown = false; + } + } + else if (1 == sscanf(cmd, "RESET %d", &arg1)) + { + if (arg1 == 0 || arg1 == 1) + { + driver()->reset(arg1); + send(OK, strnlen(OK, MMLINK_OK_SIZE)); + unknown = false; + } + } + else if (2 == sscanf(cmd, "ENABLE %d %d", &arg1, &arg2)) + { + if (arg1 >= 0 && (arg2 == 0 || arg2 == 1)) + { + driver()->enable(arg1, arg2); + send(OK, strnlen(OK, MMLINK_OK_SIZE)); + unknown = false; + } + } + else if (0 == strncmp(cmd, "NOOP", 4)) + { + send(OK, strnlen(OK, MMLINK_OK_SIZE)); + unknown = false; + } + + if (unknown) + send(UNKNOWN, strnlen(UNKNOWN, MMLINK_UNKNOWN_SIZE)); + + return 0; +} diff --git a/tools/extra/mmlink/mmlink_connection.h b/tools/extra/mmlink/mmlink_connection.h new file mode 100644 index 0000000..9c415a5 --- /dev/null +++ b/tools/extra/mmlink/mmlink_connection.h @@ -0,0 +1,122 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +//**************************************************************************** +/// @file mmlink_connection.h +/// @brief Basic AFU interaction. +/// @ingroup SigTap +/// @verbatim +//**************************************************************************** + +#ifndef MMLINK_CONNECTION_H +#define MMLINK_CONNECTION_H + +#include +#include + +#include "mm_debug_link_interface.h" +#include "mmlink_server.h" + +class mmlink_connection +{ +public: + // m_bufsize is the size of the buffer for h2t data + mmlink_connection(mmlink_server*); + ~mmlink_connection() { close_connection(); delete[] m_buf; } + bool is_open() { return m_fd >= 0; } + bool is_data() { return m_is_data; } + bool is_bound() { return m_is_bound; } + void set_is_data(void) { m_is_data = true; } + + size_t send(const char *msg, const size_t len); + void close_connection() { if (is_open()) ::close(m_fd); init(); } + void bind() { m_is_bound = true; } + void socket(int socket) { m_fd = socket; } + int getsocket() { return m_fd; } + int handle_receive(); + int handle_management(void); + + char *buf(void) { return m_buf; } + void buf_end(size_t index) { m_buf_end = index; } + size_t buf_end(void) { return m_buf_end; } + + static const char *UNKNOWN; + static const char *OK; + +protected: + int m_fd; + bool m_is_bound; + bool m_is_data; + const int m_bufsize; + mmlink_server *m_server; + + char *m_buf; + volatile size_t m_buf_end; + + void init(mmlink_server *server) { m_server = server; init(); } + + mmlink_connection(const mmlink_connection& mm_conn):m_bufsize( mm_conn.m_bufsize) + { + m_fd = mm_conn.m_fd; + m_buf_end = mm_conn.m_buf_end; + m_is_bound = mm_conn.m_is_bound; + m_is_data = mm_conn.m_is_data; + m_server = mm_conn.m_server; + + m_buf= new char[m_bufsize]; + strncpy(m_buf, mm_conn.m_buf, m_bufsize); + } + + mmlink_connection& operator=(const mmlink_connection& mm_conn) + { + if( this != &mm_conn) { + m_fd = mm_conn.m_fd; + m_buf_end = mm_conn.m_buf_end; + m_is_bound = mm_conn.m_is_bound; + m_is_data = mm_conn.m_is_data; + m_server = mm_conn.m_server; + + if(m_buf) delete m_buf; + + m_buf = new char[m_bufsize]; + strncpy(m_buf, mm_conn.m_buf, m_bufsize); + } + return *this; + } + +private: + int handle_data(void); + int handle_management_command(char *cmd); + int handle_unbound_command(char *cmd); + int handle_bound_command(char *cmd); + int get_server_id(void) { return m_server->get_server_id(); } + mm_debug_link_interface *driver(void) { + return m_server->get_driver_fd(); + } + void init(void) { m_fd = -1; m_is_bound = false; + m_is_data = false; m_buf_end = 0; } +}; + +#endif diff --git a/tools/extra/mmlink/mmlink_server.cpp b/tools/extra/mmlink/mmlink_server.cpp new file mode 100644 index 0000000..4fa7352 --- /dev/null +++ b/tools/extra/mmlink/mmlink_server.cpp @@ -0,0 +1,607 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +//**************************************************************************** +/// @file mmlink_server.cpp +/// @brief Basic AFU interaction. +/// @ingroup SigTap +/// @verbatim +//**************************************************************************** + +#include +#include +#include +#include +#include + +#include +#include +#include +#include + +#include +#include +#include + +#include "mm_debug_link_interface.h" +#include "mmlink_connection.h" +#include "mmlink_server.h" + +using namespace std; + +mmlink_server::mmlink_server(struct sockaddr_in *sock, mm_debug_link_interface *driver) +{ + m_addr = *sock; + + m_num_bound_connections = 0; + m_num_connections = 0; + + m_t2h_pending = false; + m_h2t_pending = false; + + m_conn = new mmlink_connection*[MAX_CONNECTIONS]; + for (size_t i = 0; i < MAX_CONNECTIONS; ++i) + m_conn[i] = new mmlink_connection(this); + + m_running = false; + m_driver = driver; + m_server_id = 0; + + m_listen = -1; + + m_h2t_stats = NULL; + m_t2h_stats = NULL; +#ifdef ENABLE_MMLINK_STATS + m_h2t_stats = new mmlink_stats("h2t"); + m_t2h_stats = new mmlink_stats("t2h"); +#endif + +} + +mmlink_server::~mmlink_server() +{ + if (m_conn) + for (size_t i = 0; i < MAX_CONNECTIONS; ++i) + { + delete m_conn[i]; m_conn[i] = NULL; + } + delete[] m_conn; m_conn = NULL; + m_driver->close(); + + if ( -1 != m_listen ) { + close(m_listen); + } + +#ifdef ENABLE_MMLINK_STATS + delete m_h2t_stats; m_h2t_stats = NULL; + delete m_t2h_stats; m_t2h_stats = NULL; +#endif +} + +int mmlink_server::setup_listen_socket(void) +{ + m_listen = socket(AF_INET, SOCK_STREAM, 0); + + if (m_listen < 0) + { + cerr << "Socket creation failed: " << errno << endl; + return errno; + } + printf("m_listen: %d\n", m_listen); + + // Allow reconnect sooner, after server exit. + int optval = 1; + int err = + setsockopt(m_listen, SOL_SOCKET, SO_REUSEADDR, &optval, sizeof(optval)); + + if (err < 0) + { + fprintf(stderr, "setsockopt failed: %d\n", errno); + return errno; + } + return 0; +} + +int mmlink_server::run(unsigned char* stpAddr) +{ + int err = 0; + m_running = true; + + if (m_driver->open(stpAddr)) + { + fprintf(stderr, "failed to init driver (%d).\n", err); + return err; + } + + // Todo: modulate timeout based on number of connections, expectation of data. + struct timeval tv; + tv.tv_sec = 0; + tv.tv_usec = 1000; + + if (setup_listen_socket()) + { + fprintf(stderr, "setup_listen_socket() failed\n"); + return -1; + } + + if (bind(m_listen, (struct sockaddr *)&m_addr, sizeof(m_addr)) != 0) + { + fprintf(stderr, "bind() failed: %d (%s)\n", errno, strerror(errno)); + return errno; + } + + if (listen(m_listen, 5) < 0) + { + fprintf(stderr, "listen() failed: %d (%s)\n", errno, strerror(errno)); + return errno; + } + + printf("listening on ip: %s; port: %d\n", inet_ntoa(m_addr.sin_addr), + htons(m_addr.sin_port)); + + while (m_running) + { + fd_set readfds, writefds; + FD_ZERO(&readfds); + FD_ZERO(&writefds); + + int max_fd = -1; + // Listen for more connections, if needed. + if ((size_t)m_num_connections < MAX_CONNECTIONS) + { + FD_SET(m_listen, &readfds); + max_fd = MAX(m_listen, max_fd); + } + + // Listen for read on all connections. + for (size_t i = 0; i < MAX_CONNECTIONS; ++i) + { + mmlink_connection *pc = *(m_conn + i); + if (pc->is_open()) + { + int fd = pc->getsocket(); + FD_SET(fd, &readfds); + + max_fd = MAX(fd, max_fd); + } + } + + // If we have a data socket, listen for read and write on the driver fd. + mmlink_connection *data_conn = get_data_connection(); + if (data_conn) + { + int host_fd = data_conn->getsocket(); + + // Listen for write on the host + // Data from the driver are written here. + FD_SET(host_fd, &writefds); + max_fd = MAX(host_fd, max_fd); + } + + tv.tv_sec = 0; + tv.tv_usec = 1000; + if (select(max_fd + 1, &readfds, &writefds, NULL, &tv) < 0) + { + fprintf(stderr, "select error: %d (%s)\n", errno, strerror(errno)); + break; + } + + // Handle new connection attempts. + if (FD_ISSET(m_listen, &readfds)) + { + mmlink_connection *pc = handle_accept(); + // If a new connection was accepted, send the welcome string. + if (pc) + { + char msg[256]; + + get_welcome_message(msg, sizeof(msg) / sizeof(*msg)); + // to do:spin until all bytes sent. + pc->send(msg, strnlen(msg, sizeof(msg))); + } + } + + // Transfer response data from the driver to the data socket. + if (data_conn) + { + bool can_write_host = FD_ISSET(data_conn->getsocket(), &writefds); + //bool can_read_driver = FD_ISSET(m_driver->get_fd(), &readfds); + bool can_read_driver = m_driver->can_read_data(); + err = handle_t2h(data_conn, can_read_driver, can_write_host); //TODO add logic to check if driver has data to be read + + if (err) + break; + + // Transfer command data from the data socket to the driver. + //bool can_write_driver = FD_ISSET(m_driver->get_fd(), &writefds); + bool can_write_driver = true; + bool can_read_host = FD_ISSET(data_conn->getsocket(), &readfds); + err = handle_h2t(data_conn, can_read_host, can_write_driver); //TODO add logic to check if host has data to be written to driver + + if (err < 0) + { + m_num_connections--; + data_conn->close_connection(); + printf("closed data connection due to handle_h2t return value, now have %d\n", m_num_connections); + } + + // Yield after done process the current known acitivty + ::sched_yield(); + } + + // Handle management connection commands and responses. + for (size_t i = 0; i < MAX_CONNECTIONS; ++i) + { + mmlink_connection *pc = *(m_conn + i); + if (!pc->is_open()) + { + continue; + } + if (pc->is_data()) + { + continue; + } + + if (FD_ISSET(pc->getsocket(), &readfds)) + { + int fail = pc->handle_receive(); + if (fail) + { + --m_num_connections; + printf("%d: handle_receive() returned %d, closing connection, now have %d\n", + pc->getsocket(), fail, m_num_connections); + pc->close_connection(); + } + else + { + fail = pc->handle_management(); + if (fail) + { + --m_num_connections; + printf("%d: handle_management() returned %d, closing connection, now have %d\n", + pc->getsocket(), fail, m_num_connections); + pc->close_connection(); + } + else if (pc->is_data()) + { + printf("%d: converted to data\n", pc->getsocket()); + // A management connection was converted to data. There can be only one. + close_other_data_connection(pc); + m_h2t_pending = true; + } + } + } + } + } + printf("goodbye with code %d\n", err); + + return err; +} + +void mmlink_server::print_stats(void) +{ +#ifdef ENABLE_MMLINK_STATS + printf("mmlink_connection::print_stats()\n"); + + m_h2t_stats->print(); + m_t2h_stats->print(); +#endif +} + +mmlink_connection *mmlink_server::handle_accept() +{ + int socket; + struct sockaddr_in incoming_addr; + socklen_t len = sizeof(incoming_addr); + + // Find an mmlink_connection for this new connection, + // or NULL if none available. + mmlink_connection *pc = get_unused_connection(); + socket = ::accept(m_listen, (struct sockaddr *)&incoming_addr, &len); + if (socket < 0) + { + fprintf(stderr, "accept failed: %d (%s)\n", errno, strerror(errno)); + pc = NULL; + } + else + { + if (pc) + { + ++m_num_connections; + pc->socket(socket); + printf("I have %d connections now; latest socket is %d\n", m_num_connections, socket); + // The 1st connection is bound upon connection. The 2nd connection will + // be bound if it sends the correct handle. + if (m_num_connections == 1) + { + printf("%d: binding first connection\n", pc->getsocket()); + pc->bind(); + } + printf("%d: Accepted connection request from %s\n", pc->getsocket(), inet_ntoa(incoming_addr.sin_addr)); + } + else + { + // If there are no unused connections available, we shouldn't be in + // this routine in the first place. If this happens anyway, accept + // and close the connection. + fprintf(stderr, "%d: Rejected connection request from %s\n", socket, inet_ntoa(incoming_addr.sin_addr)); + ::close(socket); + pc = NULL; + } + } + + return pc; +} + +void mmlink_server::get_welcome_message(char *msg, size_t msg_len) +{ + int ident[4]; + + m_driver->ident(ident); + + if (m_num_connections == 1) + { + ++m_server_id; + //snprintf(msg, msg_len, "SystemConsole CONFIGROM IDENT=%08X%08X%08X%08X HANDLE=%08X\r\n", + // ident[3], ident[2], ident[1], ident[0], m_server_id); + + snprintf(msg, msg_len, "SystemConsole CONFIGROM IDENT=0001000000007BF899BB8B9AA2D864C3 HANDLE=%08X\r\n", m_server_id); + } + else + { + strncpy(msg, "SystemConsole CONFIGROM IDENT=0001000000007BF899BB8B9AA2D864C3 HANDLE\r\n", 73); + + //snprintf(msg, msg_len, "SystemConsole CONFIGROM IDENT=0001000000007BF899BB8B9AA2D864C3 HANDLE\r\n"); + //snprintf(msg, msg_len, "SystemConsole CONFIGROM IDENT=%08X%08X%08X%08X HANDLE\r\n", + // ident[3], ident[2], ident[1], ident[0]); + } +} + +mmlink_connection *mmlink_server::get_unused_connection() +{ + mmlink_connection *pc = NULL; + for (size_t i = 0; i < MAX_CONNECTIONS; ++i) + if (!m_conn[i]->is_open()) + { + + pc = *(m_conn + i); + break; + } + + return pc; +} + +void mmlink_server::close_other_data_connection(mmlink_connection *pc) +{ + for (size_t i = 0; i < MAX_CONNECTIONS; ++i) + { + mmlink_connection *other_pc = *(m_conn + i); + if (other_pc == pc) + continue; + if (other_pc->is_open() && other_pc->is_data()) + { + printf("closing old data connection in favor of new one\n"); + m_num_connections--; + other_pc->close_connection(); + } + } +} + +// Return the data connection, or NULL if none. +// Could cache this. +mmlink_connection *mmlink_server::get_data_connection(void) +{ + for (size_t i = 0; i < MAX_CONNECTIONS; ++i) + { + mmlink_connection *pc = *(m_conn + i); + if (pc->is_data()) + return pc; + } + + return NULL; +} + +int mmlink_server::handle_t2h(mmlink_connection *data_conn, bool can_read_driver, bool can_write_host) +{ + int err = 0; + bool socket_error = false; + bool t2h_ready = m_t2h_pending ? can_write_host : can_read_driver; + + + if (!t2h_ready) + { + return 0; + } + + // Try to get more data. + if (can_read_driver) + { + m_driver->read(); + } + if (m_driver->is_empty()) + { + // Still no t2h data; done here. + m_t2h_pending = false; + return 0; + } + + // Handle response data from the driver. + if (can_write_host && data_conn && m_driver->flush_request()) + { + // Send the data to the data socket. + int total_sent = 0; + + while ((size_t)total_sent < m_driver->buf_end()) + { + ssize_t sent = data_conn->send(m_driver->buf() + total_sent, m_driver->buf_end() - total_sent); + // printf("t2h sent: %u (%d of %d)\n", sent, total_sent, m_driver->buf_end()); + +// if (sent == 8 && !printed8) +// { +// // printed8 = true; +// for (int i = 0; i < sent; ++i) +// { +// printf_RAW("0x%02X; ", m_driver->buf()[i]); +// } +// printf_RAW("\n"); +// } + + if (sent < 0) + { + if (errno == EAGAIN) + { + // Try again later. + break; + } + else + { + // Socket error, disconnected? + socket_error = true; + break; + } + } + if (sent == 0) + { + // Didn't send all data; Try to send the remaining data later. + break; + } + + total_sent += sent; + } + + if (total_sent > 0) + m_t2h_stats->update(total_sent, m_driver->buf()); + + int rem = m_driver->buf_end() - total_sent; + if (rem > 0) + { + printf("t2h rem: %d; total_sent: %d; m_h2t_pending: %d\n", rem, total_sent, m_t2h_pending); + if (total_sent > 0) + { + m_t2h_pending = true; + memmove(m_driver->buf(), m_driver->buf() + total_sent, rem); + } + } + m_driver->buf_end(rem); + } + + if (socket_error || !data_conn) + { + // We didn't have a data connection in the first place, or an error + // has occurred on the data connection. + fprintf(stderr, "hardware returned data but there's no data socket\n"); + err = -1; + } + + return err; +} + +int mmlink_server::handle_h2t(mmlink_connection *data_conn, bool can_read_host, bool can_write_driver) +{ + int err = 0; + + bool h2t_ready = m_h2t_pending ? can_write_driver : can_read_host; + if (!h2t_ready) + { + return 0; + } + + // printf("h2t_ready: m_h2t_pending: %d; can_read_host: %d; can_write_driver: %d\n", m_h2t_pending, can_read_host, can_write_driver); + + // If no stored data, try to get some. + if (can_read_host) + { + err = data_conn->handle_receive(); + if (err < 0) + { + return err; + } + } + + if (data_conn->buf_end() == 0) + { + // No data to send. + m_h2t_pending = false; + return 0; + } + + if (!can_write_driver) + return 0; + + // Handle command data from the data socket. + int total_sent = 0; + while ((size_t)total_sent < data_conn->buf_end()) + { + ssize_t sent = m_driver->write(data_conn->buf() + total_sent, data_conn->buf_end() - total_sent); + if (sent < 0) + { + if (errno == EAGAIN) + { + // Try again later + printf("handle_h2t(): driver returned EAGAIN\n"); + break; + } + else + { + // Not sure if this can happen. + printf("handle_h2t(): driver returned error %d (%s)\n", errno, strerror(errno)); + } + } + if (sent == 0) + { + // Didn't send all data; Try to send the remaining data later. + break; + } + total_sent += sent; + } +// if (total_sent > 0) +// { +// printf("sent on %d: %d bytes\n", data_conn->socket(), total_sent); +// for (int i = 0; i < total_sent; ++i) +// { +// unsigned char the_byte = data_conn->buf()[i]; +// printf_RAW("%s\\x%02X", (the_byte == 0x7C) ? "\n" : "", the_byte); +// } +// printf_RAW("\n"); +// } + + if (total_sent > 0) + m_h2t_stats->update(total_sent, data_conn->buf()); + + int rem = data_conn->buf_end() - total_sent; + if (rem > 0) + { + // printf("h2t rem: %d; total_sent: %d; m_h2t_pending: %d\n", rem, total_sent, m_h2t_pending); + m_h2t_pending = true; + if (total_sent > 0) + { + // memmove(data_conn->buf(), data_conn->buf() + data_conn->buf_end(), rem); + memmove(data_conn->buf(), data_conn->buf() + total_sent, rem); + } + } + data_conn->buf_end(rem); + + return err; +} diff --git a/tools/extra/mmlink/mmlink_server.h b/tools/extra/mmlink/mmlink_server.h new file mode 100644 index 0000000..0d11da1 --- /dev/null +++ b/tools/extra/mmlink/mmlink_server.h @@ -0,0 +1,210 @@ +// Copyright(c) 2017, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +//**************************************************************************** +/// @file mmlink_server.h +/// @brief Basic AFU interaction. +/// @ingroup SigTap +/// @verbatim +//**************************************************************************** + +#ifndef MMLINK_SERVER_H +#define MMLINK_SERVER_H + +#include +#include +#include + +class mmlink_connection; +class mm_debug_link_interface; + +class mmlink_server +{ +public: + mmlink_server(struct sockaddr_in *sock, mm_debug_link_interface *driver); + ~mmlink_server(); + int run(unsigned char* stpAddr); + void stop(void) { m_running = false; } + int get_server_id(void) { return m_server_id; } + mm_debug_link_interface *get_driver_fd(void) { return m_driver; } + void print_stats(void); + +protected: + + mmlink_server(const mmlink_server& mm_server) + { + m_listen = mm_server.m_listen; + m_server_id = mm_server.m_server_id; + m_num_bound_connections = mm_server.m_num_bound_connections; + m_num_connections = mm_server.m_num_connections; + m_t2h_pending = mm_server.m_t2h_pending; + m_h2t_pending = mm_server.m_h2t_pending; + m_t2h_stats = mm_server.m_t2h_stats; + m_h2t_stats = mm_server.m_h2t_stats; + m_addr = mm_server.m_addr; + m_running = mm_server.m_running; + m_driver = mm_server.m_driver; + + m_conn = new mmlink_connection*[MAX_CONNECTIONS]; + for (size_t i = 0; i < MAX_CONNECTIONS; ++i) + m_conn[i] = mm_server.m_conn[i]; + } + + mmlink_server& operator=(const mmlink_server& mm_server) + { + if( this != &mm_server) { + + m_listen = mm_server.m_listen; + m_server_id = mm_server.m_server_id; + m_num_bound_connections = mm_server.m_num_bound_connections; + m_num_connections = mm_server.m_num_connections; + m_t2h_pending = mm_server.m_t2h_pending; + m_h2t_pending = mm_server.m_h2t_pending; + m_t2h_stats = mm_server.m_t2h_stats; + m_h2t_stats = mm_server.m_h2t_stats; + m_addr = mm_server.m_addr; + m_running = mm_server.m_running; + m_driver = mm_server.m_driver; + + if(m_conn) delete[] m_conn; + m_conn = new mmlink_connection*[MAX_CONNECTIONS]; + for (size_t i = 0; i < MAX_CONNECTIONS; ++i) + m_conn[i] = mm_server.m_conn[i]; + } + return *this; + } + +private: + int m_listen; + int m_server_id; + static const size_t MAX_CONNECTIONS = 2; + + int m_num_bound_connections; + int m_num_connections; + + bool m_t2h_pending; + bool m_h2t_pending; + int handle_t2h(mmlink_connection *data_conn, bool can_read_driver, bool can_write_host); + int handle_h2t(mmlink_connection *data_conn, bool can_read_host, bool can_write_driver); + + struct sockaddr_in m_addr; + bool m_running; + + mm_debug_link_interface *m_driver; + + class mmlink_stats; + mmlink_stats *m_t2h_stats; + mmlink_stats *m_h2t_stats; + + int setup_listen_socket(); + void get_welcome_message(char *msg, size_t msg_len); + + mmlink_connection **m_conn; + mmlink_connection *get_unused_connection(); + mmlink_connection *handle_accept(); + void close_other_data_connection(mmlink_connection *pc); + mmlink_connection *get_data_connection(void); + +#undef ENABLE_MMLINK_STATS +// #define ENABLE_MMLINK_STATS + class mmlink_stats { + public: +#ifdef ENABLE_MMLINK_STATS + mmlink_stats(const char *name) { m_name = name; init(); } + void init(void) { + m_num_bytes = 0; + m_last_count = 0; + m_num_packets = 0; + m_overflow_size = 0; + m_min_count = UINT_MAX; + m_max_count = 0; + for (int i = 0; i < BUFSIZE; i++) + m_histogram[i] = 0; + } + void print(void) { + DPRINT("%s stats:\n", m_name); + DPRINT_RAW("total packets transmitted: %u\n", m_num_packets); + DPRINT_RAW("total bytes transmitted: %u\n", m_num_bytes); + DPRINT_RAW("last transmission: (%u bytes):\n", m_last_count); + DPRINT_RAW("min count: %u\n", m_min_count); + DPRINT_RAW("max count: %u\n", m_max_count); + for (int i = 0; i < m_last_count; ++i) + DPRINT_RAW("0x%02X ", m_last_buf[i]); + DPRINT_RAW("\n"); + DPRINT_RAW("histogram of count values:\n"); + for (int i = 0; i < m_max_count; i++) + if (m_histogram[i]) + DPRINT_RAW("%d: %u\n", i, m_histogram[i]); + + if (m_overflow_size) + { + DPRINT_RAW("Warning: input data was larger than BUFSIZE; packet counts may be inaccurate.\n"); + DPRINT_RAW("Consider changing BUFSIZE to %u (largest input data seen\n", BUFSIZE); + } + } + + void update(int count, char *buf) { + m_num_bytes += count; + m_last_count = MIN(count, BUFSIZE); + m_histogram[m_last_count]++; + memcpy(m_last_buf, buf, m_last_count); + + m_min_count = MIN(m_min_count, count); + m_max_count = MAX(m_max_count, count); + + for (int i = 0; i < count; ++i) + { + if (count > BUFSIZE) + { + m_overflow_size = MAX(m_overflow_size, count); + break; + } + if (buf[i] == 0x7B) + m_num_packets++; + } + } + private: + const char *m_name; + unsigned int m_num_bytes; + static const size_t BUFSIZE = 1073741824; + unsigned char m_last_buf[BUFSIZE]; + unsigned int m_histogram[BUFSIZE]; + unsigned char m_last_count; + unsigned int m_num_packets; + unsigned int m_overflow_size; + unsigned m_min_count; + unsigned m_max_count; +#else +#define UNUSED_PARAM(x) (void)x + mmlink_stats(const char *name) { UNUSED_PARAM(name); } + void init(void) { } + void print(void) { } + void update(int count, char *buf) { UNUSED_PARAM(count); UNUSED_PARAM(buf); } +#endif + }; + +}; + +#endif diff --git a/tools/extra/packager/CMakeLists.txt b/tools/extra/packager/CMakeLists.txt new file mode 100644 index 0000000..af942a3 --- /dev/null +++ b/tools/extra/packager/CMakeLists.txt @@ -0,0 +1,65 @@ +## Copyright(c) 2017, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +cmake_minimum_required(VERSION 2.8.12) +#include(packaging) + +## Install target for jsonschema files +set(JSONSCHEMA_SHARE_DIR share/opae/python) +set(JSONSCHEMA_BIN_DIR ${PROJECT_BINARY_DIR}/share/opae/jsonschema-2.3.0) +set(JSONSCHEMA_DIR ${OPAE_SDK_SOURCE}/tools/extra/packager/jsonschema-2.3.0) + +################# Add version to packager.py ######################## +configure_file(packager.py ${CMAKE_CURRENT_BINARY_DIR}/packager.py @ONLY) + +set(PKG_PATH_MODULE ${CMAKE_CURRENT_BINARY_DIR}/packager.py packager.py) + +################# generate executable python zip files ######################## +set(METADATA_FILES metadata/constants.py metadata/__init__.py metadata/metadata.py) +set(SCHEMA_FILES schema/afu_schema_v01.json) + +set(PKG_COMMON_FILES afu.py gbs.py utils.py ${METADATA_FILES} ${SCHEMA_FILES}) + +set(PKG_PYTHON_FILES PKG_PATH_MODULE ${PKG_COMMON_FILES} README) +CREATE_PYTHON_EXE(packager packager ${PKG_PYTHON_FILES}) +set(PACKAGER_BIN_LIST ${PACKAGER_BIN}) + +set(AFU_JSON_MGR_FILES afu_json_mgr.py ${PKG_COMMON_FILES} schema/afu_template.json) +CREATE_PYTHON_EXE(afu_json_mgr afu_json_mgr ${AFU_JSON_MGR_FILES}) +list(APPEND PACKAGER_BIN_LIST ${PACKAGER_BIN}) + +file(COPY ${OPAE_SDK_SOURCE}/tools/extra/packager/jsonschema-2.3.0/ DESTINATION ${JSONSCHEMA_BIN_DIR}) + +################# install executable python zip files ######################## +install(PROGRAMS ${PACKAGER_BIN_LIST} + DESTINATION bin + COMPONENT toolpackager) + +################# install jsonschema files ######################## +install(DIRECTORY ${JSONSCHEMA_DIR} + DESTINATION ${JSONSCHEMA_SHARE_DIR} + COMPONENT jsonschema) + diff --git a/tools/extra/packager/README b/tools/extra/packager/README new file mode 100644 index 0000000..3370b15 --- /dev/null +++ b/tools/extra/packager/README @@ -0,0 +1,30 @@ +Add bin/ to your PATH. "packager" is the executable name. + +This drop has only been tested with Python 2.6.6, 2.7.1 and 2.7.2. + +Here are some example innvocations: + +GENERAL +1) packager help +2) packager version + +GBS GENERATION +3) packager create-gbs --rbf= --afu= --gbs= --set-value : +4) #used to generate a GBS with an empty metadata header + packager create-gbs --rbf= +5) packager create-gbs --help + +Modify GBS metadata + +6) packager modify-gbs --input-gbs= --output-gbs= --set-value : +7) packager modify-gbs --help + +Print GBS metadata + +8) packager gbs-info --gbs= +9) packager gbs-info --help + +Get RBF from GBS + +10) packager get-rbf --gbs= --rbf= +11) packager get-rbf --help diff --git a/tools/extra/packager/afu.py b/tools/extra/packager/afu.py new file mode 100755 index 0000000..7325815 --- /dev/null +++ b/tools/extra/packager/afu.py @@ -0,0 +1,247 @@ +# Copyright(c) 2017, Intel Corporation +# +# Redistribution and use in source and binary forms, with or without +# modification, are permitted provided that the following conditions are met: +# +# * Redistributions of source code must retain the above copyright notice, +# this list of conditions and the following disclaimer. +# * Redistributions in binary form must reproduce the above copyright notice, +# this list of conditions and the following disclaimer in the documentation +# and/or other materials provided with the distribution. +# * Neither the name of Intel Corporation nor the names of its contributors +# may be used to endorse or promote products derived from this software +# without specific prior written permission. +# +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +# AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +# IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +# ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +# LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +# CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +# SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +# INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +# CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +# ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +# POSSIBILITY OF SUCH DAMAGE. + +import json +import os +import shutil +import sys +import utils +import zipfile +from metadata import metadata +from gbs import GBS, GBS_EXT + +# Update sys.path to include jsonschema folder from different locations +try: + # pkgPATH1 : jsonschema search path for opae-sdk/tools/extra/packager + pkgPath1 = os.path.join(sys.path[0], 'jsonschema-2.3.0') + + # pkgPath2 : current packager script location + pkgPath2 = os.path.abspath(os.path.dirname(sys.argv[0])) + dirList = pkgPath2.split("/") + dirList = dirList[:-1] + pkgPath2 = "/".join(dirList) + + # pkgPath3 : jsonschema search path for current packager location + pkgPath3 = pkgPath2 + "/share/opae/python/jsonschema-2.3.0" + + sys.path.append(pkgPath1) + sys.path.append(pkgPath3) + from jsonschema import validators + from jsonschema import exceptions +except ImportError: + print("jsonschema module has no validatiors() or exceptions()") + raise + +filepath = os.path.dirname(os.path.realpath(__file__)) +schema_path = "schema/afu_schema_v01.json" +if(zipfile.is_zipfile(filepath)): + archive = zipfile.ZipFile(filepath, 'r') + afu_schema = json.load(archive.open(schema_path, "r")) +else: + afu_schema = json.load(open(filepath + "/" + schema_path, "r")) + +ARCHIVE_FORMAT = "zip" +ARCHIVE_EXT = ".zip" + + +class AFU(object): + def __init__(self, afu_desc_file=None): + self.afu_json = {} + self.metadata_len = 0 + self.afu_desc_file = afu_desc_file + if afu_desc_file: + self.load_afu_desc_file(afu_desc_file) + + @classmethod + def create_afu_from_gbs(cls, gbs): + afu = cls() + + afu.afu_json = gbs.gbs_info + afu.metadata_len = gbs.metadata_len + + return afu + + def load_afu_desc_file(self, afu_desc_file): + if os.path.exists(afu_desc_file): + self.afu_desc_file = os.path.abspath(afu_desc_file) + self.afu_dir = os.path.dirname(afu_desc_file) + else: + raise Exception("Cannot find {0}".format(afu_desc_file)) + + self.afu_json = json.load(open(self.afu_desc_file, "r")) + self.compat_update() + + if not self.validate(): + raise Exception("Accelerator description file failed validation!") + + # Load AFU JSON file given an open file handle + def load_afu_desc_file_hdl(self, afu_desc_file_hdl): + self.afu_json = json.load(afu_desc_file_hdl) + self.compat_update() + + if not self.validate(): + raise Exception("Accelerator description file failed validation!") + + # Update/rename fields as needed to maintain backward compatibility + def compat_update(self): + try: + afu_ifc = self.afu_json['afu-image']['afu-top-interface'] + # The interface 'class' used to be called 'name'. + # Maintain compatibility with older AFUs. + if ('name' in afu_ifc): + afu_ifc['class'] = afu_ifc.pop('name') + except KeyError as e: + None + + def validate(self, packaging=False): + if self.afu_json == {}: + return False + try: + validators.validate(self.afu_json, afu_schema) + except exceptions.ValidationError as ve: + print("JSON schema error at {0}: {1}".format( + str(list(ve.path)), str(ve.message))) + return False + + # If emitting a GBS file do some extra validation beyond the schema. + if packaging: + # User clocks can be "auto" in the source JSON in order to + # set the frequency to the actual achieved speed. When + # creating the GBS, the frequencies must be numbers. + for clock in ['clock-frequency-high', 'clock-frequency-low']: + if clock in self.afu_json['afu-image']: + f = self.afu_json['afu-image'][clock] + if not isinstance(f, (int, float)): + print("JSON schema error at {0}: {1}").format( + "afu-image/" + clock, "expected number") + raise Exception("Accelerator description file " + + "failed validation!") + + return True + + def update_afu_json(self, key_values): + try: + for value in key_values: + # Colon separates key and value + curr_val = value.split(':') + curr_val[1] = utils.convert_to_native_type(curr_val[1]) + if self.afu_json: + # Compatibility support for old scripts that set + # interface-uuid, assuming it would be found in + # afu-image. After all scripts are updated, this + # check can be removed. + if curr_val[0] == 'interface-uuid': + curr_val[0] = 'afu-image/interface-uuid' + + # Slash separates key hierarchy + key = curr_val[0].split('/') + if len(key) > 1: + # Walk key hierarchy + afu = self.afu_json + for k in key[:-1]: + if k not in afu: + # Intermediate key not present, add it. + afu[k] = dict() + afu = afu[k] + + # Add the new value + afu[key[-1]] = curr_val[1] + else: + # Old method didn't support key hierarchy. Search + # for the key either in afu-image or at top-level. + # If not found, assume top-level. + if key[0] in self.afu_json: + self.afu_json[key[0]] = curr_val[1] + elif key[0] in self.afu_json['afu-image']: + self.afu_json['afu-image'][key[0]] = curr_val[1] + else: + self.afu_json[key[0]] = curr_val[1] + except IndexError as e: + print(e) + raise Exception( + "Invalid : pair passed using --set-value") + + if not self.validate(): + raise Exception( + 'AFU metadata validation failed after updating metadata ' + ' with values provided with --set_value') + + def create_gbs(self, rbf_file, gbs_file, key_values=None): + if key_values: + self.update_afu_json(key_values) + + # Set the expected magic number if it hasn't already been set + if 'magic-no' not in self.afu_json['afu-image']: + self.afu_json['afu-image']['magic-no'] = 0x1d1f8680 + + self.validate(packaging=True) + gbs = GBS.create_gbs_from_afu_info(rbf_file, self.afu_json) + return gbs.write_gbs(gbs_file) + + # Dump AFU JSON to string + def dumps(self): + return json.dumps(self.afu_json, indent=3) + + def package(self, rbf_file, sw_dir, doc_dir, package_name): + image_dir = os.path.join(utils.get_work_dir(), "image_0") + if not os.path.exists(image_dir): + os.makedirs(image_dir) + + gbs_name = os.path.splitext( + os.path.basename( + self.afu_desc_file))[0] + GBS_EXT + gbs_path = os.path.join(image_dir, gbs_name) + self.create_gbs(rbf_file, gbs_path) + + shutil.copyfile( + self.afu_desc_file, os.path.join( + image_dir, os.path.basename( + self.afu_desc_file))) + + package_dir = os.path.join(utils.get_work_dir(), "package") + shutil.make_archive( + os.path.join( + package_dir, + "image_0"), + ARCHIVE_FORMAT, + image_dir) + if sw_dir: + shutil.make_archive( + os.path.join( + package_dir, + "sw"), + ARCHIVE_FORMAT, + sw_dir) + if doc_dir: + shutil.make_archive( + os.path.join( + package_dir, + "docs"), + ARCHIVE_FORMAT, + doc_dir) + + shutil.make_archive(package_name, ARCHIVE_FORMAT, package_dir) + shutil.rmtree(utils.get_work_dir()) diff --git a/tools/extra/packager/afu_json_mgr.py b/tools/extra/packager/afu_json_mgr.py new file mode 100755 index 0000000..a6fc987 --- /dev/null +++ b/tools/extra/packager/afu_json_mgr.py @@ -0,0 +1,229 @@ +#!/usr/bin/env python +# Copyright(c) 2017, Intel Corporation +# +# Redistribution and use in source and binary forms, with or without +# modification, are permitted provided that the following conditions are met: +# +# * Redistributions of source code must retain the above copyright notice, +# this list of conditions and the following disclaimer. +# * Redistributions in binary form must reproduce the above copyright notice, +# this list of conditions and the following disclaimer in the documentation +# and/or other materials provided with the distribution. +# * Neither the name of Intel Corporation nor the names of its contributors +# may be used to endorse or promote products derived from this software +# without specific prior written permission. +# +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +# AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +# IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +# ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +# LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +# CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +# SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +# INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +# CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +# ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +# POSSIBILITY OF SUCH DAMAGE. + +import os +import sys +import argparse +import re +import json +import zipfile +import uuid +from afu import AFU + +AFU_JSON_MGR_EXEC = "afu_json_mgr" +DESCRIPTION = 'Intel FPGA AFU JSON Manager' + +USAGE = """ +{0} + +{1} [options] + +The following values for are currently supported: +\t help - displays this message +\t create-json - creates a minimal JSON file describing an AFU +\t json-info - extract information from JSON file for use in C or Verilog + +{1} --h will give command specific help +""".format(DESCRIPTION, AFU_JSON_MGR_EXEC) + + +# Create an AFU JSON file, filling in a few key fields. +def create_json(subargs): + # Read the template JSON file + filepath = os.path.dirname(os.path.realpath(__file__)) + template_path = "schema/afu_template.json" + afu = AFU() + if (zipfile.is_zipfile(filepath)): + archive = zipfile.ZipFile(filepath, 'r') + afu.load_afu_desc_file_hdl(archive.open(template_path, "r")) + else: + afu.load_afu_desc_file_hdl(open(os.path.join(filepath, + template_path), "r")) + + accel = afu.afu_json['afu-image']['accelerator-clusters'][0] + accel['name'] = subargs.name + + # Top-level interface specified? + if (subargs.top_ifc): + afu.update_afu_json(['afu-image/afu-top-interface/class:' + + subargs.top_ifc]) + + # Either set the specified UUID or pick one + if (subargs.uuid): + accel['accelerator-type-uuid'] = subargs.uuid + else: + accel['accelerator-type-uuid'] = str(uuid.uuid1()) + + # The output file name is either the AFU name or a specified file path + json_path = subargs.name + '.json' + if (subargs.afu_json): + json_path = subargs.afu_json + print("Writing {0}".format(json_path)) + with open(json_path, 'w') as a: + a.write(afu.dumps() + '\n') + + +def emit_header_comment(f, src): + f.write('''// +// Generated by afu_json_mgr from {0} +// + +'''.format(src)) + + +# Flatten JSON into a simple, single level dictionary to emit as header files +def flatten_json(subargs): + afu = AFU(subargs.afu_json) + + entries = dict() + + emit_types = (int, bool, str, str, float) + # Don't emit some keys. For example, user clock frequency may not be + # known at compile time, so avoid emitting potentially false information. + skip_keys = ['clock-frequency-low', 'clock-frequency-high'] + + # Flattan all entries in afu-image that are of type emit_types + image = afu.afu_json['afu-image'] + for k in sorted(image.keys()): + if (isinstance(image[k], emit_types) and k not in skip_keys): + tag = str(k).replace('-', '_') + v = image[k] + # Does it look like a number? + if (not re.match('^[0-9.]+$', str(v))): + v = '"' + str(v) + '"' + entries['afu_image_' + tag] = v + + # Some special names, taken from other levels + accel = image['accelerator-clusters'][0] + entries['afu_accel_name'] = '"' + accel['name'] + '"' + entries['afu_accel_uuid'] = accel['accelerator-type-uuid'] + try: + # May not be present. (Will become required eventually.) + entries['afu_top_ifc'] = '"' + \ + afu.afu_json['afu-image']['afu-top-interface']['class'] + '"' + except Exception: + None + + return entries + + +# Emit C or Verilog header files based on an AFU JSON file +def json_info(entries, subargs): + afu = AFU(subargs.afu_json) + + # C header + if (subargs.c_hdr): + print("Writing {0}".format(subargs.c_hdr)) + with open(subargs.c_hdr, 'w') as p: + emit_header_comment(p, subargs.afu_json) + p.write('#ifndef __AFU_JSON_INFO__\n') + p.write('#define __AFU_JSON_INFO__\n\n') + for k in sorted(entries.keys()): + v = entries[k] + if (k == 'afu_accel_uuid'): + v = '"' + v.upper() + '"' + p.write('#define {0} {1}\n'.format(k.upper(), v)) + p.write('\n#endif // __AFU_JSON_INFO__\n') + + # Verilog header + if (subargs.verilog_hdr): + print("Writing {0}".format(subargs.verilog_hdr)) + with open(subargs.verilog_hdr, 'w') as p: + emit_header_comment(p, subargs.afu_json) + p.write('`ifndef __AFU_JSON_INFO__\n') + p.write('`define __AFU_JSON_INFO__\n\n') + for k in sorted(entries.keys()): + v = entries[k] + if (k == 'afu_accel_uuid'): + v = "128'h" + entries[k].replace('-', '_') + p.write('`define {0} {1}\n'.format(k.upper(), v)) + p.write('\n`endif // __AFU_JSON_INFO__\n') + + +def run_afu_json_mgr(): + parser = argparse.ArgumentParser(usage=USAGE, add_help=False) + parser.add_argument("cmd", nargs="?") + parser.add_argument("remain_args", nargs=argparse.REMAINDER) + args = parser.parse_args(sys.argv[1:]) + cmd_description = "{0} {1}".format(AFU_JSON_MGR_EXEC, args.cmd) + subparser = argparse.ArgumentParser(description=cmd_description) + subparser._optionals.title = 'Options' + + if args.cmd == "help" or not args.cmd: + print(USAGE) + + elif args.cmd == "create-json": + subparser.usage = "\n" + cmd_description + \ + " --name= --top-ifc="\ + " --uuid= --afu-json=\n" + subparser.add_argument('--name', required=True, + help='AFU name (REQUIRED)') + subparser.add_argument('--top-ifc', required=False, + default='ccip_std_afu', + help='Top-level interface class name. ' + 'Default is ccip_std_afu. See the output of ' + '"afu_platform_config --help" for a list of ' + 'top-level interface classes.') + subparser.add_argument('--uuid', required=False, + help='Accelerator UUID (default: chosen at ' + 'random)') + subparser.add_argument('--afu-json', required=False, + help='Output path for JSON file ' + '(default .json)') + subargs = subparser.parse_args(args.remain_args) + create_json(subargs) + + elif args.cmd == "json-info": + subparser.usage = "\n" + cmd_description + \ + " --afu-json="\ + " --c-hdr="\ + " --verilog-hdr=\n" + subparser.add_argument('--afu-json', required=True, + help='Input path of JSON file. ') + subparser.add_argument('--c-hdr', required=False, + help='Path of generated C header file. ') + subparser.add_argument('--verilog-hdr', required=False, + help='Path of generated Verilog header file. ') + subargs = subparser.parse_args(args.remain_args) + entries = flatten_json(subargs) + json_info(entries, subargs) + + else: + raise Exception("{0} is not a command for {1}!".format( + args.cmd, DESCRIPTION)) + + +def main(): + try: + sys.exit(run_afu_json_mgr()) + except Exception as e: + print("ERROR: {0}".format(e.__str__())) + sys.exit(1) + + +if __name__ == '__main__': + main() diff --git a/tools/extra/packager/gbs.py b/tools/extra/packager/gbs.py new file mode 100755 index 0000000..88cb665 --- /dev/null +++ b/tools/extra/packager/gbs.py @@ -0,0 +1,175 @@ +# Copyright(c) 2017, Intel Corporation +# +# Redistribution and use in source and binary forms, with or without +# modification, are permitted provided that the following conditions are met: +# +# * Redistributions of source code must retain the above copyright notice, +# this list of conditions and the following disclaimer. +# * Redistributions in binary form must reproduce the above copyright notice, +# this list of conditions and the following disclaimer in the documentation +# and/or other materials provided with the distribution. +# * Neither the name of Intel Corporation nor the names of its contributors +# may be used to endorse or promote products derived from this software +# without specific prior written permission. +# +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +# AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +# IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +# ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +# LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +# CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +# SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +# INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +# CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +# ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +# POSSIBILITY OF SUCH DAMAGE. + +import os +import json +import struct +from metadata import constants +from metadata import metadata + +RBF_EXT = ".rbf" +GBS_EXT = ".gbs" + +""" +Class GBS for operations related to GBS files +""" + + +class GBS: + def __init__(self, gbs_file=None): + self.guid = '' + self.metadata_len = 0 + self.gbs_info = '' + self.rbf = '' + self.metadata = [] + + if gbs_file: + self.filename = os.path.splitext(os.path.basename(gbs_file))[0] + self.validate_gbs_file(gbs_file) + + """ + classmethod to create a gbs instance from json and + rbf file. Used to create a new gbs file + + @return instance of the new GBS object + """ + @classmethod + def create_gbs_from_afu_info(cls, rbf_file, afu_json): + gbs = cls() + + rbf = open(rbf_file, 'rb') + rbf_content = rbf.read() + + gbs.guid = constants.METADATA_GUID + gbs.metadata_len = len(afu_json) + gbs.gbs_info = afu_json + gbs.rbf = rbf_content + gbs.metadata = metadata.get_metadata(afu_json) + gbs.filename = os.path.splitext(os.path.basename(rbf_file))[0] + + return gbs + + """ + Set of get methods to retrieve gbs attributes + """ + + def get_gbs_guid(self): + return self.guid + + def get_gbs_meta_len(self): + return self.metadata_len + + def get_gbs_info(self): + return self.gbs_info + + def get_rbf_val(self): + return self.rbf + + def get_gbs_metadata(self): + return self.metadata + + """ + Function to print GBS info to the console + """ + + def print_gbs_info(self): + if self.gbs_info == '': + raise Exception("No metadata in GBS file") + + print(json.dumps(self.gbs_info, indent=4)) + + """ + Function to write a new rbf file to the filesystem + """ + + def write_rbf(self, rbf_file): + if not rbf_file: + rbf_file = self.filename + RBF_EXT + + with open(rbf_file, 'wb') as rbf: + rbf.write(self.rbf) + + return rbf_file + + """ + Function to write a new gbs file to the filesystem + """ + + def write_gbs(self, gbs_file): + if not gbs_file: + gbs_file = self.filename + GBS_EXT + + decoded_bytes = [c if isinstance(c, int) else ord(c) + for c in self.get_gbs_metadata()] + gbs_file_header = bytearray(decoded_bytes) + + with open(gbs_file, 'wb') as gbs: + gbs.write(gbs_file_header + self.rbf) + + return gbs_file + + """ + Function to update gbs info in an object with input info + """ + + def update_gbs_info(self, gbs_info): + self.gbs_info = gbs_info + self.metadata = metadata.get_metadata(self.gbs_info) + + """ + Function to make make sure GBS file conforms to standard + and polpulate the GBS object with appropriate values + """ + + def validate_gbs_file(self, gbs_file): + file = open(gbs_file, 'rb') + gbs = file.read() + + if len(constants.METADATA_GUID) >= len(gbs): + raise Exception("Invalid GBS file") + + self.guid = gbs[:constants.GUID_LEN] + if self.guid != constants.METADATA_GUID: + raise Exception("Unsupported GBS format") + + metadata_index = constants.GUID_LEN + constants.SIZEOF_LEN_FIELD + + metadata_len = struct.unpack( + "`_ + for Python (supporting 2.6+ including Python 3). + + .. code-block:: python + + >>> from jsonschema import validate + + >>> # A sample schema, like what we'd get from json.load() + >>> schema = { + ... "type" : "object", + ... "properties" : { + ... "price" : {"type" : "number"}, + ... "name" : {"type" : "string"}, + ... }, + ... } + + >>> # If no exception is raised by validate(), the instance is valid. + >>> validate({"name" : "Eggs", "price" : 34.99}, schema) + + >>> validate( + ... {"name" : "Eggs", "price" : "Invalid"}, schema + ... ) # doctest: +IGNORE_EXCEPTION_DETAIL + Traceback (most recent call last): + ... + ValidationError: 'Invalid' is not of type 'number' + + + Features + -------- + + * Full support for + `Draft 3 `_ + **and** `Draft 4 `_ + of the schema. + + * `Lazy validation `_ + that can iteratively report *all* validation errors. + + * Small and extensible + + * `Programmatic querying `_ + of which properties or items failed validation. + + + Release Notes + ------------- + + ``v2.3.0`` removes the (improper) limitation of ``format`` to strings. It also + adds the `jsonschema.exceptions.best_match `_ + function which can be used to guess at the best matching single validation + error for a given instance. + + + .. code-block:: python + + >>> from jsonschema.validators import Draft4Validator + >>> from jsonschema.exceptions import best_match + + >>> schema = { + ... "properties" : { + ... "foo" : {"type" : "string"}, + ... "bar" : {"properties" : {"baz": {"type": "string"}}}, + ... }, + ... } + >>> instance = {"foo" : 12, "bar": {"baz" : 19}} + >>> print(best_match(Draft4Validator(schema).iter_errors(instance)).path) + deque(['foo']) + + + where the error closer to the top of the instance in ``foo`` was selected + as being more relevant. + + Also, URI references are now properly rejected by the URI format validator + (i.e., it now only accepts full URIs, as defined in the specification). + + + Running the Test Suite + ---------------------- + + ``jsonschema`` uses the wonderful `Tox `_ for its + test suite. (It really is wonderful, if for some reason you haven't heard of + it, you really should use it for your projects). + + Assuming you have ``tox`` installed (perhaps via ``pip install tox`` or your + package manager), just run ``tox`` in the directory of your source checkout to + run ``jsonschema``'s test suite on all of the versions of Python ``jsonschema`` + supports. Note that you'll need to have all of those versions installed in + order to run the tests on each of them, otherwise ``tox`` will skip (and fail) + the tests on that version. + + Of course you're also free to just run the tests on a single version with your + favorite test runner. The tests live in the ``jsonschema.tests`` package. + + + Community + --------- + + There's a `mailing list `_ + for this implementation on Google Groups. + + Please join, and feel free to send questions there. + + + Contributing + ------------ + + I'm Julian Berman. + + ``jsonschema`` is on `GitHub `_. + + Get in touch, via GitHub or otherwise, if you've got something to contribute, + it'd be most welcome! + + You can also generally find me on Freenode (nick: ``tos9``) in various + channels, including ``#python``. + + If you feel overwhelmingly grateful, you can woo me with beer money on + `Gittip `_ or via Google Wallet with the email + in my GitHub profile. + +Platform: UNKNOWN +Classifier: Development Status :: 5 - Production/Stable +Classifier: Intended Audience :: Developers +Classifier: License :: OSI Approved :: MIT License +Classifier: Operating System :: OS Independent +Classifier: Programming Language :: Python +Classifier: Programming Language :: Python :: 2 +Classifier: Programming Language :: Python :: 2.6 +Classifier: Programming Language :: Python :: 2.7 +Classifier: Programming Language :: Python :: 3 +Classifier: Programming Language :: Python :: 3.1 +Classifier: Programming Language :: Python :: 3.2 +Classifier: Programming Language :: Python :: 3.3 +Classifier: Programming Language :: Python :: Implementation :: CPython +Classifier: Programming Language :: Python :: Implementation :: PyPy diff --git a/tools/extra/packager/jsonschema-2.3.0/README.rst b/tools/extra/packager/jsonschema-2.3.0/README.rst new file mode 100644 index 0000000..b1a1109 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/README.rst @@ -0,0 +1,123 @@ +========== +jsonschema +========== + +``jsonschema`` is an implementation of `JSON Schema `_ +for Python (supporting 2.6+ including Python 3). + +.. code-block:: python + + >>> from jsonschema import validate + + >>> # A sample schema, like what we'd get from json.load() + >>> schema = { + ... "type" : "object", + ... "properties" : { + ... "price" : {"type" : "number"}, + ... "name" : {"type" : "string"}, + ... }, + ... } + + >>> # If no exception is raised by validate(), the instance is valid. + >>> validate({"name" : "Eggs", "price" : 34.99}, schema) + + >>> validate( + ... {"name" : "Eggs", "price" : "Invalid"}, schema + ... ) # doctest: +IGNORE_EXCEPTION_DETAIL + Traceback (most recent call last): + ... + ValidationError: 'Invalid' is not of type 'number' + + +Features +-------- + +* Full support for + `Draft 3 `_ + **and** `Draft 4 `_ + of the schema. + +* `Lazy validation `_ + that can iteratively report *all* validation errors. + +* Small and extensible + +* `Programmatic querying `_ + of which properties or items failed validation. + + +Release Notes +------------- + +``v2.3.0`` removes the (improper) limitation of ``format`` to strings. It also +adds the `jsonschema.exceptions.best_match `_ +function which can be used to guess at the best matching single validation +error for a given instance. + + +.. code-block:: python + + >>> from jsonschema.validators import Draft4Validator + >>> from jsonschema.exceptions import best_match + + >>> schema = { + ... "properties" : { + ... "foo" : {"type" : "string"}, + ... "bar" : {"properties" : {"baz": {"type": "string"}}}, + ... }, + ... } + >>> instance = {"foo" : 12, "bar": {"baz" : 19}} + >>> print(best_match(Draft4Validator(schema).iter_errors(instance)).path) + deque(['foo']) + + +where the error closer to the top of the instance in ``foo`` was selected +as being more relevant. + +Also, URI references are now properly rejected by the URI format validator +(i.e., it now only accepts full URIs, as defined in the specification). + + +Running the Test Suite +---------------------- + +``jsonschema`` uses the wonderful `Tox `_ for its +test suite. (It really is wonderful, if for some reason you haven't heard of +it, you really should use it for your projects). + +Assuming you have ``tox`` installed (perhaps via ``pip install tox`` or your +package manager), just run ``tox`` in the directory of your source checkout to +run ``jsonschema``'s test suite on all of the versions of Python ``jsonschema`` +supports. Note that you'll need to have all of those versions installed in +order to run the tests on each of them, otherwise ``tox`` will skip (and fail) +the tests on that version. + +Of course you're also free to just run the tests on a single version with your +favorite test runner. The tests live in the ``jsonschema.tests`` package. + + +Community +--------- + +There's a `mailing list `_ +for this implementation on Google Groups. + +Please join, and feel free to send questions there. + + +Contributing +------------ + +I'm Julian Berman. + +``jsonschema`` is on `GitHub `_. + +Get in touch, via GitHub or otherwise, if you've got something to contribute, +it'd be most welcome! + +You can also generally find me on Freenode (nick: ``tos9``) in various +channels, including ``#python``. + +If you feel overwhelmingly grateful, you can woo me with beer money on +`Gittip `_ or via Google Wallet with the email +in my GitHub profile. diff --git a/tools/extra/packager/jsonschema-2.3.0/json/LICENSE b/tools/extra/packager/jsonschema-2.3.0/json/LICENSE new file mode 100644 index 0000000..c28adba --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/LICENSE @@ -0,0 +1,19 @@ +Copyright (c) 2012 Julian Berman + +Permission is hereby granted, free of charge, to any person obtaining a copy +of this software and associated documentation files (the "Software"), to deal +in the Software without restriction, including without limitation the rights +to use, copy, modify, merge, publish, distribute, sublicense, and/or sell +copies of the Software, and to permit persons to whom the Software is +furnished to do so, subject to the following conditions: + +The above copyright notice and this permission notice shall be included in +all copies or substantial portions of the Software. + +THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR +IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, +FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE +AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER +LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, +OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN +THE SOFTWARE. diff --git a/tools/extra/packager/jsonschema-2.3.0/json/README.md b/tools/extra/packager/jsonschema-2.3.0/json/README.md new file mode 100644 index 0000000..4320685 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/README.md @@ -0,0 +1,81 @@ +JSON Schema Test Suite [![Build Status](https://travis-ci.org/json-schema/JSON-Schema-Test-Suite.png?branch=develop)](https://travis-ci.org/json-schema/JSON-Schema-Test-Suite) +====================== + +This repository contains a set of JSON objects that implementors of JSON Schema +validation libraries can use to test their validators. + +It is meant to be language agnostic and should require only a JSON parser. + +The conversion of the JSON objects into tests within your test framework of +choice is still the job of the validator implementor. + +Structure of a Test +------------------- + +If you're going to use this suite, you need to know how tests are laid out. The +tests are contained in the `tests` directory at the root of this repository. + +Inside that directory is a subdirectory for each draft or version of the +schema. We'll use `draft3` as an example. + +If you look inside the draft directory, there are a number of `.json` files, +which logically group a set of test cases together. Often the grouping is by +property under test, but not always, especially within optional test files +(discussed below). + +Inside each `.json` file is a single array containing objects. It's easiest to +illustrate the structure of these with an example: + +```json + { + "description": "the description of the test case", + "schema": {"the schema that should" : "be validated against"}, + "tests": [ + { + "description": "a specific test of a valid instance", + "data": "the instance", + "valid": true + }, + { + "description": "another specific test this time, invalid", + "data": 15, + "valid": false + } + ] + } +``` + +So a description, a schema, and some tests, where tests is an array containing +one or more objects with descriptions, data, and a boolean indicating whether +they should be valid or invalid. + +Coverage +-------- + +Draft 3 and 4 should have full coverage. If you see anything missing or think +there is a useful test missing, please send a pull request or open an issue. + +Who Uses the Test Suite +----------------------- + +This suite is being used by: + + * [json-schema-validator (Java)](https://github.com/fge/json-schema-validator) + * [jsonschema (python)](https://github.com/Julian/jsonschema) + * [aeson-schema (haskell)](https://github.com/timjb/aeson-schema) + * [direct-schema (javascript)](https://github.com/IreneKnapp/direct-schema) + * [jsonschema (javascript)](https://github.com/tdegrunt/jsonschema) + * [JaySchema (javascript)](https://github.com/natesilva/jayschema) + * [z-schema (javascript)](https://github.com/zaggino/z-schema) + * [jesse (Erlang)](https://github.com/klarna/jesse) + * [json-schema (PHP)](https://github.com/justinrainbow/json-schema) + * [gojsonschema (Go)](https://github.com/sigu-399/gojsonschema) + * [json_schema (Dart)](https://github.com/patefacio/json_schema) + +If you use it as well, please fork and send a pull request adding yourself to +the list :). + +Contributing +------------ + +If you see something missing or incorrect, a pull request is most welcome! diff --git a/tools/extra/packager/jsonschema-2.3.0/json/bin/jsonschema_suite b/tools/extra/packager/jsonschema-2.3.0/json/bin/jsonschema_suite new file mode 100755 index 0000000..96108c8 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/bin/jsonschema_suite @@ -0,0 +1,283 @@ +#! /usr/bin/env python +from __future__ import print_function +import sys +import textwrap + +try: + import argparse +except ImportError: + print(textwrap.dedent(""" + The argparse library could not be imported. jsonschema_suite requires + either Python 2.7 or for you to install argparse. You can do so by + running `pip install argparse`, `easy_install argparse` or by + downloading argparse and running `python2.6 setup.py install`. + + See https://pypi.python.org/pypi/argparse for details. + """.strip("\n"))) + sys.exit(1) + +import errno +import fnmatch +import json +import os +import random +import shutil +import unittest +import warnings + +if getattr(unittest, "skipIf", None) is None: + unittest.skipIf = lambda cond, msg : lambda fn : fn + +try: + import jsonschema +except ImportError: + jsonschema = None +else: + validators = getattr( + jsonschema.validators, "validators", jsonschema.validators + ) + + +ROOT_DIR = os.path.join( + os.path.dirname(__file__), os.pardir).rstrip("__pycache__") +SUITE_ROOT_DIR = os.path.join(ROOT_DIR, "tests") + +REMOTES = { + "integer.json": {"type": "integer"}, + "subSchemas.json": { + "integer": {"type": "integer"}, + "refToInteger": {"$ref": "#/integer"}, + }, + "folder/folderInteger.json": {"type": "integer"} +} +REMOTES_DIR = os.path.join(ROOT_DIR, "remotes") + +TESTSUITE_SCHEMA = { + "$schema": "http://json-schema.org/draft-03/schema#", + "type": "array", + "items": { + "type": "object", + "properties": { + "description": {"type": "string", "required": True}, + "schema": {"required": True}, + "tests": { + "type": "array", + "items": { + "type": "object", + "properties": { + "description": {"type": "string", "required": True}, + "data": {"required": True}, + "valid": {"type": "boolean", "required": True} + }, + "additionalProperties": False + }, + "minItems": 1 + } + }, + "additionalProperties": False, + "minItems": 1 + } +} + + +def files(paths): + for path in paths: + with open(path) as test_file: + yield json.load(test_file) + + +def groups(paths): + for test_file in files(paths): + for group in test_file: + yield group + + +def cases(paths): + for test_group in groups(paths): + for test in test_group["tests"]: + test["schema"] = test_group["schema"] + yield test + + +def collect(root_dir): + for root, dirs, files in os.walk(root_dir): + for filename in fnmatch.filter(files, "*.json"): + yield os.path.join(root, filename) + + +class SanityTests(unittest.TestCase): + @classmethod + def setUpClass(cls): + print("Looking for tests in %s" % SUITE_ROOT_DIR) + cls.test_files = list(collect(SUITE_ROOT_DIR)) + print("Found %s test files" % len(cls.test_files)) + assert cls.test_files, "Didn't find the test files!" + + def test_all_files_are_valid_json(self): + for path in self.test_files: + with open(path) as test_file: + try: + json.load(test_file) + except ValueError as error: + self.fail("%s contains invalid JSON (%s)" % (path, error)) + + def test_all_descriptions_have_reasonable_length(self): + for case in cases(self.test_files): + descript = case["description"] + self.assertLess( + len(descript), + 60, + "%r is too long! (keep it to less than 60 chars)" % (descript,) + ) + + def test_all_descriptions_are_unique(self): + for group in groups(self.test_files): + descriptions = set(test["description"] for test in group["tests"]) + self.assertEqual( + len(descriptions), + len(group["tests"]), + "%r contains a duplicate description" % (group,) + ) + + @unittest.skipIf(jsonschema is None, "Validation library not present!") + def test_all_schemas_are_valid(self): + for schema in os.listdir(SUITE_ROOT_DIR): + schema_validator = validators.get(schema) + if schema_validator is not None: + test_files = collect(os.path.join(SUITE_ROOT_DIR, schema)) + for case in cases(test_files): + try: + schema_validator.check_schema(case["schema"]) + except jsonschema.SchemaError as error: + self.fail("%s contains an invalid schema (%s)" % + (case, error)) + else: + warnings.warn("No schema validator for %s" % schema) + + @unittest.skipIf(jsonschema is None, "Validation library not present!") + def test_suites_are_valid(self): + validator = jsonschema.Draft3Validator(TESTSUITE_SCHEMA) + for tests in files(self.test_files): + try: + validator.validate(tests) + except jsonschema.ValidationError as error: + self.fail(str(error)) + + def test_remote_schemas_are_updated(self): + for url, schema in REMOTES.items(): + filepath = os.path.join(REMOTES_DIR, url) + with open(filepath) as schema_file: + self.assertEqual(json.load(schema_file), schema) + + +def main(arguments): + if arguments.command == "check": + suite = unittest.TestLoader().loadTestsFromTestCase(SanityTests) + result = unittest.TextTestRunner(verbosity=2).run(suite) + sys.exit(not result.wasSuccessful()) + elif arguments.command == "flatten": + selected_cases = [case for case in cases(collect(arguments.version))] + + if arguments.randomize: + random.shuffle(selected_cases) + + json.dump(selected_cases, sys.stdout, indent=4, sort_keys=True) + elif arguments.command == "remotes": + json.dump(REMOTES, sys.stdout, indent=4, sort_keys=True) + elif arguments.command == "dump_remotes": + if arguments.update: + shutil.rmtree(arguments.out_dir, ignore_errors=True) + + try: + os.makedirs(arguments.out_dir) + except OSError as e: + if e.errno == errno.EEXIST: + print("%s already exists. Aborting." % arguments.out_dir) + sys.exit(1) + raise + + for url, schema in REMOTES.items(): + filepath = os.path.join(arguments.out_dir, url) + + try: + os.makedirs(os.path.dirname(filepath)) + except OSError as e: + if e.errno != errno.EEXIST: + raise + + with open(filepath, "wb") as out_file: + json.dump(schema, out_file, indent=4, sort_keys=True) + elif arguments.command == "serve": + try: + from flask import Flask, jsonify + except ImportError: + print(textwrap.dedent(""" + The Flask library is required to serve the remote schemas. + + You can install it by running `pip install Flask`. + + Alternatively, see the `jsonschema_suite remotes` or + `jsonschema_suite dump_remotes` commands to create static files + that can be served with your own web server. + """.strip("\n"))) + sys.exit(1) + + app = Flask(__name__) + + @app.route("/") + def serve_path(path): + if path in REMOTES: + return jsonify(REMOTES[path]) + return "Document does not exist.", 404 + + app.run(port=1234) + + +parser = argparse.ArgumentParser( + description="JSON Schema Test Suite utilities", +) +subparsers = parser.add_subparsers(help="utility commands", dest="command") + +check = subparsers.add_parser("check", help="Sanity check the test suite.") + +flatten = subparsers.add_parser( + "flatten", + help="Output a flattened file containing a selected version's test cases." +) +flatten.add_argument( + "--randomize", + action="store_true", + help="Randomize the order of the outputted cases.", +) +flatten.add_argument( + "version", help="The directory containing the version to output", +) + +remotes = subparsers.add_parser( + "remotes", + help="Output the expected URLs and their associated schemas for remote " + "ref tests as a JSON object." +) + +dump_remotes = subparsers.add_parser( + "dump_remotes", help="Dump the remote ref schemas into a file tree", +) +dump_remotes.add_argument( + "--update", + action="store_true", + help="Update the remotes in an existing directory.", +) +dump_remotes.add_argument( + "--out-dir", + default=REMOTES_DIR, + type=os.path.abspath, + help="The output directory to create as the root of the file tree", +) + +serve = subparsers.add_parser( + "serve", + help="Start a webserver to serve schemas used by remote ref tests." +) + +if __name__ == "__main__": + main(parser.parse_args()) diff --git a/tools/extra/packager/jsonschema-2.3.0/json/remotes/folder/folderInteger.json b/tools/extra/packager/jsonschema-2.3.0/json/remotes/folder/folderInteger.json new file mode 100644 index 0000000..dbe5c75 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/remotes/folder/folderInteger.json @@ -0,0 +1,3 @@ +{ + "type": "integer" +} \ No newline at end of file diff --git a/tools/extra/packager/jsonschema-2.3.0/json/remotes/integer.json b/tools/extra/packager/jsonschema-2.3.0/json/remotes/integer.json new file mode 100644 index 0000000..dbe5c75 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/remotes/integer.json @@ -0,0 +1,3 @@ +{ + "type": "integer" +} \ No newline at end of file diff --git a/tools/extra/packager/jsonschema-2.3.0/json/remotes/subSchemas.json b/tools/extra/packager/jsonschema-2.3.0/json/remotes/subSchemas.json new file mode 100644 index 0000000..8b6d8f8 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/remotes/subSchemas.json @@ -0,0 +1,8 @@ +{ + "integer": { + "type": "integer" + }, + "refToInteger": { + "$ref": "#/integer" + } +} \ No newline at end of file diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/additionalItems.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/additionalItems.json new file mode 100644 index 0000000..6d4bff5 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/additionalItems.json @@ -0,0 +1,82 @@ +[ + { + "description": "additionalItems as schema", + "schema": { + "items": [], + "additionalItems": {"type": "integer"} + }, + "tests": [ + { + "description": "additional items match schema", + "data": [ 1, 2, 3, 4 ], + "valid": true + }, + { + "description": "additional items do not match schema", + "data": [ 1, 2, 3, "foo" ], + "valid": false + } + ] + }, + { + "description": "items is schema, no additionalItems", + "schema": { + "items": {}, + "additionalItems": false + }, + "tests": [ + { + "description": "all items match schema", + "data": [ 1, 2, 3, 4, 5 ], + "valid": true + } + ] + }, + { + "description": "array of items with no additionalItems", + "schema": { + "items": [{}, {}, {}], + "additionalItems": false + }, + "tests": [ + { + "description": "no additional items present", + "data": [ 1, 2, 3 ], + "valid": true + }, + { + "description": "additional items are not permitted", + "data": [ 1, 2, 3, 4 ], + "valid": false + } + ] + }, + { + "description": "additionalItems as false without items", + "schema": {"additionalItems": false}, + "tests": [ + { + "description": + "items defaults to empty schema so everything is valid", + "data": [ 1, 2, 3, 4, 5 ], + "valid": true + }, + { + "description": "ignores non-arrays", + "data": {"foo" : "bar"}, + "valid": true + } + ] + }, + { + "description": "additionalItems are allowed by default", + "schema": {"items": []}, + "tests": [ + { + "description": "only the first items are validated", + "data": [1, "foo", false], + "valid": true + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/additionalProperties.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/additionalProperties.json new file mode 100644 index 0000000..eb334c9 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/additionalProperties.json @@ -0,0 +1,69 @@ +[ + { + "description": + "additionalProperties being false does not allow other properties", + "schema": { + "properties": {"foo": {}, "bar": {}}, + "patternProperties": { "^v": {} }, + "additionalProperties": false + }, + "tests": [ + { + "description": "no additional properties is valid", + "data": {"foo": 1}, + "valid": true + }, + { + "description": "an additional property is invalid", + "data": {"foo" : 1, "bar" : 2, "quux" : "boom"}, + "valid": false + }, + { + "description": "ignores non-objects", + "data": [1, 2, 3], + "valid": true + }, + { + "description": "patternProperties are not additional properties", + "data": {"foo":1, "vroom": 2}, + "valid": true + } + ] + }, + { + "description": + "additionalProperties allows a schema which should validate", + "schema": { + "properties": {"foo": {}, "bar": {}}, + "additionalProperties": {"type": "boolean"} + }, + "tests": [ + { + "description": "no additional properties is valid", + "data": {"foo": 1}, + "valid": true + }, + { + "description": "an additional valid property is valid", + "data": {"foo" : 1, "bar" : 2, "quux" : true}, + "valid": true + }, + { + "description": "an additional invalid property is invalid", + "data": {"foo" : 1, "bar" : 2, "quux" : 12}, + "valid": false + } + ] + }, + { + "description": "additionalProperties are allowed by default", + "schema": {"properties": {"foo": {}, "bar": {}}}, + "tests": [ + { + "description": "additional properties are allowed", + "data": {"foo": 1, "bar": 2, "quux": true}, + "valid": true + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/dependencies.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/dependencies.json new file mode 100644 index 0000000..2f6ae48 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/dependencies.json @@ -0,0 +1,108 @@ +[ + { + "description": "dependencies", + "schema": { + "dependencies": {"bar": "foo"} + }, + "tests": [ + { + "description": "neither", + "data": {}, + "valid": true + }, + { + "description": "nondependant", + "data": {"foo": 1}, + "valid": true + }, + { + "description": "with dependency", + "data": {"foo": 1, "bar": 2}, + "valid": true + }, + { + "description": "missing dependency", + "data": {"bar": 2}, + "valid": false + }, + { + "description": "ignores non-objects", + "data": "foo", + "valid": true + } + ] + }, + { + "description": "multiple dependencies", + "schema": { + "dependencies": {"quux": ["foo", "bar"]} + }, + "tests": [ + { + "description": "neither", + "data": {}, + "valid": true + }, + { + "description": "nondependants", + "data": {"foo": 1, "bar": 2}, + "valid": true + }, + { + "description": "with dependencies", + "data": {"foo": 1, "bar": 2, "quux": 3}, + "valid": true + }, + { + "description": "missing dependency", + "data": {"foo": 1, "quux": 2}, + "valid": false + }, + { + "description": "missing other dependency", + "data": {"bar": 1, "quux": 2}, + "valid": false + }, + { + "description": "missing both dependencies", + "data": {"quux": 1}, + "valid": false + } + ] + }, + { + "description": "multiple dependencies subschema", + "schema": { + "dependencies": { + "bar": { + "properties": { + "foo": {"type": "integer"}, + "bar": {"type": "integer"} + } + } + } + }, + "tests": [ + { + "description": "valid", + "data": {"foo": 1, "bar": 2}, + "valid": true + }, + { + "description": "wrong type", + "data": {"foo": "quux", "bar": 2}, + "valid": false + }, + { + "description": "wrong type other", + "data": {"foo": 2, "bar": "quux"}, + "valid": false + }, + { + "description": "wrong type both", + "data": {"foo": "quux", "bar": "quux"}, + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/disallow.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/disallow.json new file mode 100644 index 0000000..a5c9d90 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/disallow.json @@ -0,0 +1,80 @@ +[ + { + "description": "disallow", + "schema": { + "disallow": "integer" + }, + "tests": [ + { + "description": "allowed", + "data": "foo", + "valid": true + }, + { + "description": "disallowed", + "data": 1, + "valid": false + } + ] + }, + { + "description": "multiple disallow", + "schema": { + "disallow": ["integer", "boolean"] + }, + "tests": [ + { + "description": "valid", + "data": "foo", + "valid": true + }, + { + "description": "mismatch", + "data": 1, + "valid": false + }, + { + "description": "other mismatch", + "data": true, + "valid": false + } + ] + }, + { + "description": "multiple disallow subschema", + "schema": { + "disallow": + ["string", + { + "type": "object", + "properties": { + "foo": { + "type": "string" + } + } + }] + }, + "tests": [ + { + "description": "match", + "data": 1, + "valid": true + }, + { + "description": "other match", + "data": {"foo": 1}, + "valid": true + }, + { + "description": "mismatch", + "data": "foo", + "valid": false + }, + { + "description": "other mismatch", + "data": {"foo": "bar"}, + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/divisibleBy.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/divisibleBy.json new file mode 100644 index 0000000..ef7cc14 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/divisibleBy.json @@ -0,0 +1,60 @@ +[ + { + "description": "by int", + "schema": {"divisibleBy": 2}, + "tests": [ + { + "description": "int by int", + "data": 10, + "valid": true + }, + { + "description": "int by int fail", + "data": 7, + "valid": false + }, + { + "description": "ignores non-numbers", + "data": "foo", + "valid": true + } + ] + }, + { + "description": "by number", + "schema": {"divisibleBy": 1.5}, + "tests": [ + { + "description": "zero is divisible by anything (except 0)", + "data": 0, + "valid": true + }, + { + "description": "4.5 is divisible by 1.5", + "data": 4.5, + "valid": true + }, + { + "description": "35 is not divisible by 1.5", + "data": 35, + "valid": false + } + ] + }, + { + "description": "by small number", + "schema": {"divisibleBy": 0.0001}, + "tests": [ + { + "description": "0.0075 is divisible by 0.0001", + "data": 0.0075, + "valid": true + }, + { + "description": "0.00751 is not divisible by 0.0001", + "data": 0.00751, + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/enum.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/enum.json new file mode 100644 index 0000000..a539edb --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/enum.json @@ -0,0 +1,39 @@ +[ + { + "description": "simple enum validation", + "schema": {"enum": [1, 2, 3]}, + "tests": [ + { + "description": "one of the enum is valid", + "data": 1, + "valid": true + }, + { + "description": "something else is invalid", + "data": 4, + "valid": false + } + ] + }, + { + "description": "heterogeneous enum validation", + "schema": {"enum": [6, "foo", [], true, {"foo": 12}]}, + "tests": [ + { + "description": "one of the enum is valid", + "data": [], + "valid": true + }, + { + "description": "something else is invalid", + "data": null, + "valid": false + }, + { + "description": "objects are deep compared", + "data": {"foo": false}, + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/extends.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/extends.json new file mode 100644 index 0000000..909bce5 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/extends.json @@ -0,0 +1,94 @@ +[ + { + "description": "extends", + "schema": { + "properties": {"bar": {"type": "integer", "required": true}}, + "extends": { + "properties": { + "foo": {"type": "string", "required": true} + } + } + }, + "tests": [ + { + "description": "extends", + "data": {"foo": "baz", "bar": 2}, + "valid": true + }, + { + "description": "mismatch extends", + "data": {"foo": "baz"}, + "valid": false + }, + { + "description": "mismatch extended", + "data": {"bar": 2}, + "valid": false + }, + { + "description": "wrong type", + "data": {"foo": "baz", "bar": "quux"}, + "valid": false + } + ] + }, + { + "description": "multiple extends", + "schema": { + "properties": {"bar": {"type": "integer", "required": true}}, + "extends" : [ + { + "properties": { + "foo": {"type": "string", "required": true} + } + }, + { + "properties": { + "baz": {"type": "null", "required": true} + } + } + ] + }, + "tests": [ + { + "description": "valid", + "data": {"foo": "quux", "bar": 2, "baz": null}, + "valid": true + }, + { + "description": "mismatch first extends", + "data": {"bar": 2, "baz": null}, + "valid": false + }, + { + "description": "mismatch second extends", + "data": {"foo": "quux", "bar": 2}, + "valid": false + }, + { + "description": "mismatch both", + "data": {"bar": 2}, + "valid": false + } + ] + }, + { + "description": "extends simple types", + "schema": { + "minimum": 20, + "extends": {"maximum": 30} + }, + "tests": [ + { + "description": "valid", + "data": 25, + "valid": true + }, + { + "description": "mismatch extends", + "data": 35, + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/items.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/items.json new file mode 100644 index 0000000..f5e18a1 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/items.json @@ -0,0 +1,46 @@ +[ + { + "description": "a schema given for items", + "schema": { + "items": {"type": "integer"} + }, + "tests": [ + { + "description": "valid items", + "data": [ 1, 2, 3 ], + "valid": true + }, + { + "description": "wrong type of items", + "data": [1, "x"], + "valid": false + }, + { + "description": "ignores non-arrays", + "data": {"foo" : "bar"}, + "valid": true + } + ] + }, + { + "description": "an array of schemas for items", + "schema": { + "items": [ + {"type": "integer"}, + {"type": "string"} + ] + }, + "tests": [ + { + "description": "correct types", + "data": [ 1, "foo" ], + "valid": true + }, + { + "description": "wrong types", + "data": [ "foo", 1 ], + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/maxItems.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/maxItems.json new file mode 100644 index 0000000..3b53a6b --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/maxItems.json @@ -0,0 +1,28 @@ +[ + { + "description": "maxItems validation", + "schema": {"maxItems": 2}, + "tests": [ + { + "description": "shorter is valid", + "data": [1], + "valid": true + }, + { + "description": "exact length is valid", + "data": [1, 2], + "valid": true + }, + { + "description": "too long is invalid", + "data": [1, 2, 3], + "valid": false + }, + { + "description": "ignores non-arrays", + "data": "foobar", + "valid": true + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/maxLength.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/maxLength.json new file mode 100644 index 0000000..561767b --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/maxLength.json @@ -0,0 +1,28 @@ +[ + { + "description": "maxLength validation", + "schema": {"maxLength": 2}, + "tests": [ + { + "description": "shorter is valid", + "data": "f", + "valid": true + }, + { + "description": "exact length is valid", + "data": "fo", + "valid": true + }, + { + "description": "too long is invalid", + "data": "foo", + "valid": false + }, + { + "description": "ignores non-strings", + "data": 10, + "valid": true + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/maximum.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/maximum.json new file mode 100644 index 0000000..86c7b89 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/maximum.json @@ -0,0 +1,42 @@ +[ + { + "description": "maximum validation", + "schema": {"maximum": 3.0}, + "tests": [ + { + "description": "below the maximum is valid", + "data": 2.6, + "valid": true + }, + { + "description": "above the maximum is invalid", + "data": 3.5, + "valid": false + }, + { + "description": "ignores non-numbers", + "data": "x", + "valid": true + } + ] + }, + { + "description": "exclusiveMaximum validation", + "schema": { + "maximum": 3.0, + "exclusiveMaximum": true + }, + "tests": [ + { + "description": "below the maximum is still valid", + "data": 2.2, + "valid": true + }, + { + "description": "boundary point is invalid", + "data": 3.0, + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/minItems.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/minItems.json new file mode 100644 index 0000000..ed51188 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/minItems.json @@ -0,0 +1,28 @@ +[ + { + "description": "minItems validation", + "schema": {"minItems": 1}, + "tests": [ + { + "description": "longer is valid", + "data": [1, 2], + "valid": true + }, + { + "description": "exact length is valid", + "data": [1], + "valid": true + }, + { + "description": "too short is invalid", + "data": [], + "valid": false + }, + { + "description": "ignores non-arrays", + "data": "", + "valid": true + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/minLength.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/minLength.json new file mode 100644 index 0000000..e9c14b1 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/minLength.json @@ -0,0 +1,28 @@ +[ + { + "description": "minLength validation", + "schema": {"minLength": 2}, + "tests": [ + { + "description": "longer is valid", + "data": "foo", + "valid": true + }, + { + "description": "exact length is valid", + "data": "fo", + "valid": true + }, + { + "description": "too short is invalid", + "data": "f", + "valid": false + }, + { + "description": "ignores non-strings", + "data": 1, + "valid": true + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/minimum.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/minimum.json new file mode 100644 index 0000000..d5bf000 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/minimum.json @@ -0,0 +1,42 @@ +[ + { + "description": "minimum validation", + "schema": {"minimum": 1.1}, + "tests": [ + { + "description": "above the minimum is valid", + "data": 2.6, + "valid": true + }, + { + "description": "below the minimum is invalid", + "data": 0.6, + "valid": false + }, + { + "description": "ignores non-numbers", + "data": "x", + "valid": true + } + ] + }, + { + "description": "exclusiveMinimum validation", + "schema": { + "minimum": 1.1, + "exclusiveMinimum": true + }, + "tests": [ + { + "description": "above the minimum is still valid", + "data": 1.2, + "valid": true + }, + { + "description": "boundary point is invalid", + "data": 1.1, + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/optional/bignum.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/optional/bignum.json new file mode 100644 index 0000000..7b4755c --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/optional/bignum.json @@ -0,0 +1,49 @@ +[ + { + "description": "integer", + "schema": {"type": "integer"}, + "tests": [ + { + "description": "a bignum is an integer", + "data": 12345678910111213141516171819202122232425262728293031, + "valid": true + } + ] + }, + { + "description": "number", + "schema": {"type": "number"}, + "tests": [ + { + "description": "a bignum is a number", + "data": 98249283749234923498293171823948729348710298301928331, + "valid": true + } + ] + }, + { + "description": "string", + "schema": {"type": "string"}, + "tests": [ + { + "description": "a bignum is not a string", + "data": 98249283749234923498293171823948729348710298301928331, + "valid": false + } + ] + }, + { + "description": "float comparison with high precision", + "schema": { + "maximum": 972783798187987123879878123.18878137, + "exclusiveMaximum": true + }, + "tests": [ + { + "description": "comparison works for high numbers", + "data": 972783798187987123879878123.188781371, + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/optional/format.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/optional/format.json new file mode 100644 index 0000000..fc86b03 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/optional/format.json @@ -0,0 +1,217 @@ +[ + { + "description": "validation of regular expressions", + "schema": {"format": "regex"}, + "tests": [ + { + "description": "a valid regular expression", + "data": "([abc])+\\s+$", + "valid": true + }, + { + "description": "a regular expression with unclosed parens is invalid", + "data": "^(abc]", + "valid": false + } + ] + }, + { + "description": "validation of date-time strings", + "schema": {"format": "date-time"}, + "tests": [ + { + "description": "a valid date-time string", + "data": "1963-06-19T08:30:06.283185Z", + "valid": true + }, + { + "description": "an invalid date-time string", + "data": "06/19/1963 08:30:06 PST", + "valid": false + }, + { + "description": "only RFC3339 not all of ISO 8601 are valid", + "data": "2013-350T01:01:01", + "valid": false + } + ] + }, + { + "description": "validation of date strings", + "schema": {"format": "date"}, + "tests": [ + { + "description": "a valid date string", + "data": "1963-06-19", + "valid": true + }, + { + "description": "an invalid date string", + "data": "06/19/1963", + "valid": false + } + ] + }, + { + "description": "validation of time strings", + "schema": {"format": "time"}, + "tests": [ + { + "description": "a valid time string", + "data": "08:30:06", + "valid": true + }, + { + "description": "an invalid time string", + "data": "8:30 AM", + "valid": false + } + ] + }, + { + "description": "validation of URIs", + "schema": {"format": "uri"}, + "tests": [ + { + "description": "a valid URI", + "data": "http://foo.bar/?baz=qux#quux", + "valid": true + }, + { + "description": "an invalid URI", + "data": "\\\\WINDOWS\\fileshare", + "valid": false + }, + { + "description": "an invalid URI though valid URI reference", + "data": "abc", + "valid": false + } + ] + }, + { + "description": "validation of e-mail addresses", + "schema": {"format": "email"}, + "tests": [ + { + "description": "a valid e-mail address", + "data": "joe.bloggs@example.com", + "valid": true + }, + { + "description": "an invalid e-mail address", + "data": "2962", + "valid": false + } + ] + }, + { + "description": "validation of IP addresses", + "schema": {"format": "ip-address"}, + "tests": [ + { + "description": "a valid IP address", + "data": "192.168.0.1", + "valid": true + }, + { + "description": "an IP address with too many components", + "data": "127.0.0.0.1", + "valid": false + }, + { + "description": "an IP address with out-of-range values", + "data": "256.256.256.256", + "valid": false + } + ] + }, + { + "description": "validation of IPv6 addresses", + "schema": {"format": "ipv6"}, + "tests": [ + { + "description": "a valid IPv6 address", + "data": "::1", + "valid": true + }, + { + "description": "an IPv6 address with out-of-range values", + "data": "12345::", + "valid": false + }, + { + "description": "an IPv6 address with too many components", + "data": "1:1:1:1:1:1:1:1:1:1:1:1:1:1:1:1", + "valid": false + }, + { + "description": "an IPv6 address containing illegal characters", + "data": "::laptop", + "valid": false + } + ] + }, + { + "description": "validation of host names", + "schema": {"format": "host-name"}, + "tests": [ + { + "description": "a valid host name", + "data": "www.example.com", + "valid": true + }, + { + "description": "a host name starting with an illegal character", + "data": "-a-host-name-that-starts-with--", + "valid": false + }, + { + "description": "a host name containing illegal characters", + "data": "not_a_valid_host_name", + "valid": false + }, + { + "description": "a host name with a component too long", + "data": "a-vvvvvvvvvvvvvvvveeeeeeeeeeeeeeeerrrrrrrrrrrrrrrryyyyyyyyyyyyyyyy-long-host-name-component", + "valid": false + } + ] + }, + { + "description": "validation of CSS colors", + "schema": {"format": "color"}, + "tests": [ + { + "description": "a valid CSS color name", + "data": "fuchsia", + "valid": true + }, + { + "description": "a valid six-digit CSS color code", + "data": "#CC8899", + "valid": true + }, + { + "description": "a valid three-digit CSS color code", + "data": "#C89", + "valid": true + }, + { + "description": "an invalid CSS color code", + "data": "#00332520", + "valid": false + }, + { + "description": "an invalid CSS color name", + "data": "puce", + "valid": false + }, + { + "description": "a CSS color name containing invalid characters", + "data": "light_grayish_red-violet", + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/optional/jsregex.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/optional/jsregex.json new file mode 100644 index 0000000..03fe977 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/optional/jsregex.json @@ -0,0 +1,18 @@ +[ + { + "description": "ECMA 262 regex dialect recognition", + "schema": { "format": "regex" }, + "tests": [ + { + "description": "[^] is a valid regex", + "data": "[^]", + "valid": true + }, + { + "description": "ECMA 262 has no support for lookbehind", + "data": "(?<=foo)bar", + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/optional/zeroTerminatedFloats.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/optional/zeroTerminatedFloats.json new file mode 100644 index 0000000..9b50ea2 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/optional/zeroTerminatedFloats.json @@ -0,0 +1,15 @@ +[ + { + "description": "some languages do not distinguish between different types of numeric value", + "schema": { + "type": "integer" + }, + "tests": [ + { + "description": "a float is not an integer even without fractional part", + "data": 1.0, + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/pattern.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/pattern.json new file mode 100644 index 0000000..befc4b5 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/pattern.json @@ -0,0 +1,23 @@ +[ + { + "description": "pattern validation", + "schema": {"pattern": "^a*$"}, + "tests": [ + { + "description": "a matching pattern is valid", + "data": "aaa", + "valid": true + }, + { + "description": "a non-matching pattern is invalid", + "data": "abc", + "valid": false + }, + { + "description": "ignores non-strings", + "data": true, + "valid": true + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/patternProperties.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/patternProperties.json new file mode 100644 index 0000000..18586e5 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/patternProperties.json @@ -0,0 +1,110 @@ +[ + { + "description": + "patternProperties validates properties matching a regex", + "schema": { + "patternProperties": { + "f.*o": {"type": "integer"} + } + }, + "tests": [ + { + "description": "a single valid match is valid", + "data": {"foo": 1}, + "valid": true + }, + { + "description": "multiple valid matches is valid", + "data": {"foo": 1, "foooooo" : 2}, + "valid": true + }, + { + "description": "a single invalid match is invalid", + "data": {"foo": "bar", "fooooo": 2}, + "valid": false + }, + { + "description": "multiple invalid matches is invalid", + "data": {"foo": "bar", "foooooo" : "baz"}, + "valid": false + }, + { + "description": "ignores non-objects", + "data": 12, + "valid": true + } + ] + }, + { + "description": "multiple simultaneous patternProperties are validated", + "schema": { + "patternProperties": { + "a*": {"type": "integer"}, + "aaa*": {"maximum": 20} + } + }, + "tests": [ + { + "description": "a single valid match is valid", + "data": {"a": 21}, + "valid": true + }, + { + "description": "a simultaneous match is valid", + "data": {"aaaa": 18}, + "valid": true + }, + { + "description": "multiple matches is valid", + "data": {"a": 21, "aaaa": 18}, + "valid": true + }, + { + "description": "an invalid due to one is invalid", + "data": {"a": "bar"}, + "valid": false + }, + { + "description": "an invalid due to the other is invalid", + "data": {"aaaa": 31}, + "valid": false + }, + { + "description": "an invalid due to both is invalid", + "data": {"aaa": "foo", "aaaa": 31}, + "valid": false + } + ] + }, + { + "description": "regexes are not anchored by default and are case sensitive", + "schema": { + "patternProperties": { + "[0-9]{2,}": { "type": "boolean" }, + "X_": { "type": "string" } + } + }, + "tests": [ + { + "description": "non recognized members are ignored", + "data": { "answer 1": "42" }, + "valid": true + }, + { + "description": "recognized members are accounted for", + "data": { "a31b": null }, + "valid": false + }, + { + "description": "regexes are case sensitive", + "data": { "a_x_3": 3 }, + "valid": true + }, + { + "description": "regexes are case sensitive, 2", + "data": { "a_X_3": 3 }, + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/properties.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/properties.json new file mode 100644 index 0000000..cd1644d --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/properties.json @@ -0,0 +1,92 @@ +[ + { + "description": "object properties validation", + "schema": { + "properties": { + "foo": {"type": "integer"}, + "bar": {"type": "string"} + } + }, + "tests": [ + { + "description": "both properties present and valid is valid", + "data": {"foo": 1, "bar": "baz"}, + "valid": true + }, + { + "description": "one property invalid is invalid", + "data": {"foo": 1, "bar": {}}, + "valid": false + }, + { + "description": "both properties invalid is invalid", + "data": {"foo": [], "bar": {}}, + "valid": false + }, + { + "description": "doesn't invalidate other properties", + "data": {"quux": []}, + "valid": true + }, + { + "description": "ignores non-objects", + "data": [], + "valid": true + } + ] + }, + { + "description": + "properties, patternProperties, additionalProperties interaction", + "schema": { + "properties": { + "foo": {"type": "array", "maxItems": 3}, + "bar": {"type": "array"} + }, + "patternProperties": {"f.o": {"minItems": 2}}, + "additionalProperties": {"type": "integer"} + }, + "tests": [ + { + "description": "property validates property", + "data": {"foo": [1, 2]}, + "valid": true + }, + { + "description": "property invalidates property", + "data": {"foo": [1, 2, 3, 4]}, + "valid": false + }, + { + "description": "patternProperty invalidates property", + "data": {"foo": []}, + "valid": false + }, + { + "description": "patternProperty validates nonproperty", + "data": {"fxo": [1, 2]}, + "valid": true + }, + { + "description": "patternProperty invalidates nonproperty", + "data": {"fxo": []}, + "valid": false + }, + { + "description": "additionalProperty ignores property", + "data": {"bar": []}, + "valid": true + }, + { + "description": "additionalProperty validates others", + "data": {"quux": 3}, + "valid": true + }, + { + "description": "additionalProperty invalidates others", + "data": {"quux": "foo"}, + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/ref.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/ref.json new file mode 100644 index 0000000..c984019 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/ref.json @@ -0,0 +1,144 @@ +[ + { + "description": "root pointer ref", + "schema": { + "properties": { + "foo": {"$ref": "#"} + }, + "additionalProperties": false + }, + "tests": [ + { + "description": "match", + "data": {"foo": false}, + "valid": true + }, + { + "description": "recursive match", + "data": {"foo": {"foo": false}}, + "valid": true + }, + { + "description": "mismatch", + "data": {"bar": false}, + "valid": false + }, + { + "description": "recursive mismatch", + "data": {"foo": {"bar": false}}, + "valid": false + } + ] + }, + { + "description": "relative pointer ref to object", + "schema": { + "properties": { + "foo": {"type": "integer"}, + "bar": {"$ref": "#/properties/foo"} + } + }, + "tests": [ + { + "description": "match", + "data": {"bar": 3}, + "valid": true + }, + { + "description": "mismatch", + "data": {"bar": true}, + "valid": false + } + ] + }, + { + "description": "relative pointer ref to array", + "schema": { + "items": [ + {"type": "integer"}, + {"$ref": "#/items/0"} + ] + }, + "tests": [ + { + "description": "match array", + "data": [1, 2], + "valid": true + }, + { + "description": "mismatch array", + "data": [1, "foo"], + "valid": false + } + ] + }, + { + "description": "escaped pointer ref", + "schema": { + "tilda~field": {"type": "integer"}, + "slash/field": {"type": "integer"}, + "percent%field": {"type": "integer"}, + "properties": { + "tilda": {"$ref": "#/tilda~0field"}, + "slash": {"$ref": "#/slash~1field"}, + "percent": {"$ref": "#/percent%25field"} + } + }, + "tests": [ + { + "description": "slash", + "data": {"slash": "aoeu"}, + "valid": false + }, + { + "description": "tilda", + "data": {"tilda": "aoeu"}, + "valid": false + }, + { + "description": "percent", + "data": {"percent": "aoeu"}, + "valid": false + } + ] + }, + { + "description": "nested refs", + "schema": { + "definitions": { + "a": {"type": "integer"}, + "b": {"$ref": "#/definitions/a"}, + "c": {"$ref": "#/definitions/b"} + }, + "$ref": "#/definitions/c" + }, + "tests": [ + { + "description": "nested ref valid", + "data": 5, + "valid": true + }, + { + "description": "nested ref invalid", + "data": "a", + "valid": false + } + ] + }, + { + "description": "remote ref, containing refs itself", + "schema": {"$ref": "http://json-schema.org/draft-03/schema#"}, + "tests": [ + { + "description": "remote ref valid", + "data": {"items": {"type": "integer"}}, + "valid": true + }, + { + "description": "remote ref invalid", + "data": {"items": {"type": 1}}, + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/refRemote.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/refRemote.json new file mode 100644 index 0000000..4ca8047 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/refRemote.json @@ -0,0 +1,74 @@ +[ + { + "description": "remote ref", + "schema": {"$ref": "http://localhost:1234/integer.json"}, + "tests": [ + { + "description": "remote ref valid", + "data": 1, + "valid": true + }, + { + "description": "remote ref invalid", + "data": "a", + "valid": false + } + ] + }, + { + "description": "fragment within remote ref", + "schema": {"$ref": "http://localhost:1234/subSchemas.json#/integer"}, + "tests": [ + { + "description": "remote fragment valid", + "data": 1, + "valid": true + }, + { + "description": "remote fragment invalid", + "data": "a", + "valid": false + } + ] + }, + { + "description": "ref within remote ref", + "schema": { + "$ref": "http://localhost:1234/subSchemas.json#/refToInteger" + }, + "tests": [ + { + "description": "ref within ref valid", + "data": 1, + "valid": true + }, + { + "description": "ref within ref invalid", + "data": "a", + "valid": false + } + ] + }, + { + "description": "change resolution scope", + "schema": { + "id": "http://localhost:1234/", + "items": { + "id": "folder/", + "items": {"$ref": "folderInteger.json"} + } + }, + "tests": [ + { + "description": "changed scope ref valid", + "data": [[1]], + "valid": true + }, + { + "description": "changed scope ref invalid", + "data": [["a"]], + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/required.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/required.json new file mode 100644 index 0000000..aaaf024 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/required.json @@ -0,0 +1,53 @@ +[ + { + "description": "required validation", + "schema": { + "properties": { + "foo": {"required" : true}, + "bar": {} + } + }, + "tests": [ + { + "description": "present required property is valid", + "data": {"foo": 1}, + "valid": true + }, + { + "description": "non-present required property is invalid", + "data": {"bar": 1}, + "valid": false + } + ] + }, + { + "description": "required default validation", + "schema": { + "properties": { + "foo": {} + } + }, + "tests": [ + { + "description": "not required by default", + "data": {}, + "valid": true + } + ] + }, + { + "description": "required explicitly false validation", + "schema": { + "properties": { + "foo": {"required": false} + } + }, + "tests": [ + { + "description": "not required if required is false", + "data": {}, + "valid": true + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/type.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/type.json new file mode 100644 index 0000000..8f10889 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/type.json @@ -0,0 +1,474 @@ +[ + { + "description": "integer type matches integers", + "schema": {"type": "integer"}, + "tests": [ + { + "description": "an integer is an integer", + "data": 1, + "valid": true + }, + { + "description": "a float is not an integer", + "data": 1.1, + "valid": false + }, + { + "description": "a string is not an integer", + "data": "foo", + "valid": false + }, + { + "description": "an object is not an integer", + "data": {}, + "valid": false + }, + { + "description": "an array is not an integer", + "data": [], + "valid": false + }, + { + "description": "a boolean is not an integer", + "data": true, + "valid": false + }, + { + "description": "null is not an integer", + "data": null, + "valid": false + } + ] + }, + { + "description": "number type matches numbers", + "schema": {"type": "number"}, + "tests": [ + { + "description": "an integer is a number", + "data": 1, + "valid": true + }, + { + "description": "a float is a number", + "data": 1.1, + "valid": true + }, + { + "description": "a string is not a number", + "data": "foo", + "valid": false + }, + { + "description": "an object is not a number", + "data": {}, + "valid": false + }, + { + "description": "an array is not a number", + "data": [], + "valid": false + }, + { + "description": "a boolean is not a number", + "data": true, + "valid": false + }, + { + "description": "null is not a number", + "data": null, + "valid": false + } + ] + }, + { + "description": "string type matches strings", + "schema": {"type": "string"}, + "tests": [ + { + "description": "1 is not a string", + "data": 1, + "valid": false + }, + { + "description": "a float is not a string", + "data": 1.1, + "valid": false + }, + { + "description": "a string is a string", + "data": "foo", + "valid": true + }, + { + "description": "an object is not a string", + "data": {}, + "valid": false + }, + { + "description": "an array is not a string", + "data": [], + "valid": false + }, + { + "description": "a boolean is not a string", + "data": true, + "valid": false + }, + { + "description": "null is not a string", + "data": null, + "valid": false + } + ] + }, + { + "description": "object type matches objects", + "schema": {"type": "object"}, + "tests": [ + { + "description": "an integer is not an object", + "data": 1, + "valid": false + }, + { + "description": "a float is not an object", + "data": 1.1, + "valid": false + }, + { + "description": "a string is not an object", + "data": "foo", + "valid": false + }, + { + "description": "an object is an object", + "data": {}, + "valid": true + }, + { + "description": "an array is not an object", + "data": [], + "valid": false + }, + { + "description": "a boolean is not an object", + "data": true, + "valid": false + }, + { + "description": "null is not an object", + "data": null, + "valid": false + } + ] + }, + { + "description": "array type matches arrays", + "schema": {"type": "array"}, + "tests": [ + { + "description": "an integer is not an array", + "data": 1, + "valid": false + }, + { + "description": "a float is not an array", + "data": 1.1, + "valid": false + }, + { + "description": "a string is not an array", + "data": "foo", + "valid": false + }, + { + "description": "an object is not an array", + "data": {}, + "valid": false + }, + { + "description": "an array is not an array", + "data": [], + "valid": true + }, + { + "description": "a boolean is not an array", + "data": true, + "valid": false + }, + { + "description": "null is not an array", + "data": null, + "valid": false + } + ] + }, + { + "description": "boolean type matches booleans", + "schema": {"type": "boolean"}, + "tests": [ + { + "description": "an integer is not a boolean", + "data": 1, + "valid": false + }, + { + "description": "a float is not a boolean", + "data": 1.1, + "valid": false + }, + { + "description": "a string is not a boolean", + "data": "foo", + "valid": false + }, + { + "description": "an object is not a boolean", + "data": {}, + "valid": false + }, + { + "description": "an array is not a boolean", + "data": [], + "valid": false + }, + { + "description": "a boolean is not a boolean", + "data": true, + "valid": true + }, + { + "description": "null is not a boolean", + "data": null, + "valid": false + } + ] + }, + { + "description": "null type matches only the null object", + "schema": {"type": "null"}, + "tests": [ + { + "description": "an integer is not null", + "data": 1, + "valid": false + }, + { + "description": "a float is not null", + "data": 1.1, + "valid": false + }, + { + "description": "a string is not null", + "data": "foo", + "valid": false + }, + { + "description": "an object is not null", + "data": {}, + "valid": false + }, + { + "description": "an array is not null", + "data": [], + "valid": false + }, + { + "description": "a boolean is not null", + "data": true, + "valid": false + }, + { + "description": "null is null", + "data": null, + "valid": true + } + ] + }, + { + "description": "any type matches any type", + "schema": {"type": "any"}, + "tests": [ + { + "description": "any type includes integers", + "data": 1, + "valid": true + }, + { + "description": "any type includes float", + "data": 1.1, + "valid": true + }, + { + "description": "any type includes string", + "data": "foo", + "valid": true + }, + { + "description": "any type includes object", + "data": {}, + "valid": true + }, + { + "description": "any type includes array", + "data": [], + "valid": true + }, + { + "description": "any type includes boolean", + "data": true, + "valid": true + }, + { + "description": "any type includes null", + "data": null, + "valid": true + } + ] + }, + { + "description": "multiple types can be specified in an array", + "schema": {"type": ["integer", "string"]}, + "tests": [ + { + "description": "an integer is valid", + "data": 1, + "valid": true + }, + { + "description": "a string is valid", + "data": "foo", + "valid": true + }, + { + "description": "a float is invalid", + "data": 1.1, + "valid": false + }, + { + "description": "an object is invalid", + "data": {}, + "valid": false + }, + { + "description": "an array is invalid", + "data": [], + "valid": false + }, + { + "description": "a boolean is invalid", + "data": true, + "valid": false + }, + { + "description": "null is invalid", + "data": null, + "valid": false + } + ] + }, + { + "description": "types can include schemas", + "schema": { + "type": [ + "array", + {"type": "object"} + ] + }, + "tests": [ + { + "description": "an integer is invalid", + "data": 1, + "valid": false + }, + { + "description": "a string is invalid", + "data": "foo", + "valid": false + }, + { + "description": "a float is invalid", + "data": 1.1, + "valid": false + }, + { + "description": "an object is valid", + "data": {}, + "valid": true + }, + { + "description": "an array is valid", + "data": [], + "valid": true + }, + { + "description": "a boolean is invalid", + "data": true, + "valid": false + }, + { + "description": "null is invalid", + "data": null, + "valid": false + } + ] + }, + { + "description": + "when types includes a schema it should fully validate the schema", + "schema": { + "type": [ + "integer", + { + "properties": { + "foo": {"type": "null"} + } + } + ] + }, + "tests": [ + { + "description": "an integer is valid", + "data": 1, + "valid": true + }, + { + "description": "an object is valid only if it is fully valid", + "data": {"foo": null}, + "valid": true + }, + { + "description": "an object is invalid otherwise", + "data": {"foo": "bar"}, + "valid": false + } + ] + }, + { + "description": "types from separate schemas are merged", + "schema": { + "type": [ + {"type": ["string"]}, + {"type": ["array", "null"]} + ] + }, + "tests": [ + { + "description": "an integer is invalid", + "data": 1, + "valid": false + }, + { + "description": "a string is valid", + "data": "foo", + "valid": true + }, + { + "description": "an array is valid", + "data": [1, 2, 3], + "valid": true + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/uniqueItems.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/uniqueItems.json new file mode 100644 index 0000000..c1f4ab9 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft3/uniqueItems.json @@ -0,0 +1,79 @@ +[ + { + "description": "uniqueItems validation", + "schema": {"uniqueItems": true}, + "tests": [ + { + "description": "unique array of integers is valid", + "data": [1, 2], + "valid": true + }, + { + "description": "non-unique array of integers is invalid", + "data": [1, 1], + "valid": false + }, + { + "description": "numbers are unique if mathematically unequal", + "data": [1.0, 1.00, 1], + "valid": false + }, + { + "description": "unique array of objects is valid", + "data": [{"foo": "bar"}, {"foo": "baz"}], + "valid": true + }, + { + "description": "non-unique array of objects is invalid", + "data": [{"foo": "bar"}, {"foo": "bar"}], + "valid": false + }, + { + "description": "unique array of nested objects is valid", + "data": [ + {"foo": {"bar" : {"baz" : true}}}, + {"foo": {"bar" : {"baz" : false}}} + ], + "valid": true + }, + { + "description": "non-unique array of nested objects is invalid", + "data": [ + {"foo": {"bar" : {"baz" : true}}}, + {"foo": {"bar" : {"baz" : true}}} + ], + "valid": false + }, + { + "description": "unique array of arrays is valid", + "data": [["foo"], ["bar"]], + "valid": true + }, + { + "description": "non-unique array of arrays is invalid", + "data": [["foo"], ["foo"]], + "valid": false + }, + { + "description": "1 and true are unique", + "data": [1, true], + "valid": true + }, + { + "description": "0 and false are unique", + "data": [0, false], + "valid": true + }, + { + "description": "unique heterogeneous types are valid", + "data": [{}, [1], true, null, 1], + "valid": true + }, + { + "description": "non-unique heterogeneous types are invalid", + "data": [{}, [1], true, null, {}, 1], + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/additionalItems.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/additionalItems.json new file mode 100644 index 0000000..521745c --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/additionalItems.json @@ -0,0 +1,82 @@ +[ + { + "description": "additionalItems as schema", + "schema": { + "items": [{}], + "additionalItems": {"type": "integer"} + }, + "tests": [ + { + "description": "additional items match schema", + "data": [ null, 2, 3, 4 ], + "valid": true + }, + { + "description": "additional items do not match schema", + "data": [ null, 2, 3, "foo" ], + "valid": false + } + ] + }, + { + "description": "items is schema, no additionalItems", + "schema": { + "items": {}, + "additionalItems": false + }, + "tests": [ + { + "description": "all items match schema", + "data": [ 1, 2, 3, 4, 5 ], + "valid": true + } + ] + }, + { + "description": "array of items with no additionalItems", + "schema": { + "items": [{}, {}, {}], + "additionalItems": false + }, + "tests": [ + { + "description": "no additional items present", + "data": [ 1, 2, 3 ], + "valid": true + }, + { + "description": "additional items are not permitted", + "data": [ 1, 2, 3, 4 ], + "valid": false + } + ] + }, + { + "description": "additionalItems as false without items", + "schema": {"additionalItems": false}, + "tests": [ + { + "description": + "items defaults to empty schema so everything is valid", + "data": [ 1, 2, 3, 4, 5 ], + "valid": true + }, + { + "description": "ignores non-arrays", + "data": {"foo" : "bar"}, + "valid": true + } + ] + }, + { + "description": "additionalItems are allowed by default", + "schema": {"items": [{"type": "integer"}]}, + "tests": [ + { + "description": "only the first item is validated", + "data": [1, "foo", false], + "valid": true + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/additionalProperties.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/additionalProperties.json new file mode 100644 index 0000000..eb334c9 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/additionalProperties.json @@ -0,0 +1,69 @@ +[ + { + "description": + "additionalProperties being false does not allow other properties", + "schema": { + "properties": {"foo": {}, "bar": {}}, + "patternProperties": { "^v": {} }, + "additionalProperties": false + }, + "tests": [ + { + "description": "no additional properties is valid", + "data": {"foo": 1}, + "valid": true + }, + { + "description": "an additional property is invalid", + "data": {"foo" : 1, "bar" : 2, "quux" : "boom"}, + "valid": false + }, + { + "description": "ignores non-objects", + "data": [1, 2, 3], + "valid": true + }, + { + "description": "patternProperties are not additional properties", + "data": {"foo":1, "vroom": 2}, + "valid": true + } + ] + }, + { + "description": + "additionalProperties allows a schema which should validate", + "schema": { + "properties": {"foo": {}, "bar": {}}, + "additionalProperties": {"type": "boolean"} + }, + "tests": [ + { + "description": "no additional properties is valid", + "data": {"foo": 1}, + "valid": true + }, + { + "description": "an additional valid property is valid", + "data": {"foo" : 1, "bar" : 2, "quux" : true}, + "valid": true + }, + { + "description": "an additional invalid property is invalid", + "data": {"foo" : 1, "bar" : 2, "quux" : 12}, + "valid": false + } + ] + }, + { + "description": "additionalProperties are allowed by default", + "schema": {"properties": {"foo": {}, "bar": {}}}, + "tests": [ + { + "description": "additional properties are allowed", + "data": {"foo": 1, "bar": 2, "quux": true}, + "valid": true + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/allOf.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/allOf.json new file mode 100644 index 0000000..bbb5f89 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/allOf.json @@ -0,0 +1,112 @@ +[ + { + "description": "allOf", + "schema": { + "allOf": [ + { + "properties": { + "bar": {"type": "integer"} + }, + "required": ["bar"] + }, + { + "properties": { + "foo": {"type": "string"} + }, + "required": ["foo"] + } + ] + }, + "tests": [ + { + "description": "allOf", + "data": {"foo": "baz", "bar": 2}, + "valid": true + }, + { + "description": "mismatch second", + "data": {"foo": "baz"}, + "valid": false + }, + { + "description": "mismatch first", + "data": {"bar": 2}, + "valid": false + }, + { + "description": "wrong type", + "data": {"foo": "baz", "bar": "quux"}, + "valid": false + } + ] + }, + { + "description": "allOf with base schema", + "schema": { + "properties": {"bar": {"type": "integer"}}, + "required": ["bar"], + "allOf" : [ + { + "properties": { + "foo": {"type": "string"} + }, + "required": ["foo"] + }, + { + "properties": { + "baz": {"type": "null"} + }, + "required": ["baz"] + } + ] + }, + "tests": [ + { + "description": "valid", + "data": {"foo": "quux", "bar": 2, "baz": null}, + "valid": true + }, + { + "description": "mismatch base schema", + "data": {"foo": "quux", "baz": null}, + "valid": false + }, + { + "description": "mismatch first allOf", + "data": {"bar": 2, "baz": null}, + "valid": false + }, + { + "description": "mismatch second allOf", + "data": {"foo": "quux", "bar": 2}, + "valid": false + }, + { + "description": "mismatch both", + "data": {"bar": 2}, + "valid": false + } + ] + }, + { + "description": "allOf simple types", + "schema": { + "allOf": [ + {"maximum": 30}, + {"minimum": 20} + ] + }, + "tests": [ + { + "description": "valid", + "data": 25, + "valid": true + }, + { + "description": "mismatch one", + "data": 35, + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/anyOf.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/anyOf.json new file mode 100644 index 0000000..a58714a --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/anyOf.json @@ -0,0 +1,68 @@ +[ + { + "description": "anyOf", + "schema": { + "anyOf": [ + { + "type": "integer" + }, + { + "minimum": 2 + } + ] + }, + "tests": [ + { + "description": "first anyOf valid", + "data": 1, + "valid": true + }, + { + "description": "second anyOf valid", + "data": 2.5, + "valid": true + }, + { + "description": "both anyOf valid", + "data": 3, + "valid": true + }, + { + "description": "neither anyOf valid", + "data": 1.5, + "valid": false + } + ] + }, + { + "description": "anyOf with base schema", + "schema": { + "type": "string", + "anyOf" : [ + { + "maxLength": 2 + }, + { + "minLength": 4 + } + ] + }, + "tests": [ + { + "description": "mismatch base schema", + "data": 3, + "valid": false + }, + { + "description": "one anyOf valid", + "data": "foobar", + "valid": true + }, + { + "description": "both anyOf invalid", + "data": "foo", + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/definitions.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/definitions.json new file mode 100644 index 0000000..cf935a3 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/definitions.json @@ -0,0 +1,32 @@ +[ + { + "description": "valid definition", + "schema": {"$ref": "http://json-schema.org/draft-04/schema#"}, + "tests": [ + { + "description": "valid definition schema", + "data": { + "definitions": { + "foo": {"type": "integer"} + } + }, + "valid": true + } + ] + }, + { + "description": "invalid definition", + "schema": {"$ref": "http://json-schema.org/draft-04/schema#"}, + "tests": [ + { + "description": "invalid definition schema", + "data": { + "definitions": { + "foo": {"type": 1} + } + }, + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/dependencies.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/dependencies.json new file mode 100644 index 0000000..7b9b16a --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/dependencies.json @@ -0,0 +1,113 @@ +[ + { + "description": "dependencies", + "schema": { + "dependencies": {"bar": ["foo"]} + }, + "tests": [ + { + "description": "neither", + "data": {}, + "valid": true + }, + { + "description": "nondependant", + "data": {"foo": 1}, + "valid": true + }, + { + "description": "with dependency", + "data": {"foo": 1, "bar": 2}, + "valid": true + }, + { + "description": "missing dependency", + "data": {"bar": 2}, + "valid": false + }, + { + "description": "ignores non-objects", + "data": "foo", + "valid": true + } + ] + }, + { + "description": "multiple dependencies", + "schema": { + "dependencies": {"quux": ["foo", "bar"]} + }, + "tests": [ + { + "description": "neither", + "data": {}, + "valid": true + }, + { + "description": "nondependants", + "data": {"foo": 1, "bar": 2}, + "valid": true + }, + { + "description": "with dependencies", + "data": {"foo": 1, "bar": 2, "quux": 3}, + "valid": true + }, + { + "description": "missing dependency", + "data": {"foo": 1, "quux": 2}, + "valid": false + }, + { + "description": "missing other dependency", + "data": {"bar": 1, "quux": 2}, + "valid": false + }, + { + "description": "missing both dependencies", + "data": {"quux": 1}, + "valid": false + } + ] + }, + { + "description": "multiple dependencies subschema", + "schema": { + "dependencies": { + "bar": { + "properties": { + "foo": {"type": "integer"}, + "bar": {"type": "integer"} + } + } + } + }, + "tests": [ + { + "description": "valid", + "data": {"foo": 1, "bar": 2}, + "valid": true + }, + { + "description": "no dependency", + "data": {"foo": "quux"}, + "valid": true + }, + { + "description": "wrong type", + "data": {"foo": "quux", "bar": 2}, + "valid": false + }, + { + "description": "wrong type other", + "data": {"foo": 2, "bar": "quux"}, + "valid": false + }, + { + "description": "wrong type both", + "data": {"foo": "quux", "bar": "quux"}, + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/enum.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/enum.json new file mode 100644 index 0000000..a539edb --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/enum.json @@ -0,0 +1,39 @@ +[ + { + "description": "simple enum validation", + "schema": {"enum": [1, 2, 3]}, + "tests": [ + { + "description": "one of the enum is valid", + "data": 1, + "valid": true + }, + { + "description": "something else is invalid", + "data": 4, + "valid": false + } + ] + }, + { + "description": "heterogeneous enum validation", + "schema": {"enum": [6, "foo", [], true, {"foo": 12}]}, + "tests": [ + { + "description": "one of the enum is valid", + "data": [], + "valid": true + }, + { + "description": "something else is invalid", + "data": null, + "valid": false + }, + { + "description": "objects are deep compared", + "data": {"foo": false}, + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/items.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/items.json new file mode 100644 index 0000000..f5e18a1 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/items.json @@ -0,0 +1,46 @@ +[ + { + "description": "a schema given for items", + "schema": { + "items": {"type": "integer"} + }, + "tests": [ + { + "description": "valid items", + "data": [ 1, 2, 3 ], + "valid": true + }, + { + "description": "wrong type of items", + "data": [1, "x"], + "valid": false + }, + { + "description": "ignores non-arrays", + "data": {"foo" : "bar"}, + "valid": true + } + ] + }, + { + "description": "an array of schemas for items", + "schema": { + "items": [ + {"type": "integer"}, + {"type": "string"} + ] + }, + "tests": [ + { + "description": "correct types", + "data": [ 1, "foo" ], + "valid": true + }, + { + "description": "wrong types", + "data": [ "foo", 1 ], + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/maxItems.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/maxItems.json new file mode 100644 index 0000000..3b53a6b --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/maxItems.json @@ -0,0 +1,28 @@ +[ + { + "description": "maxItems validation", + "schema": {"maxItems": 2}, + "tests": [ + { + "description": "shorter is valid", + "data": [1], + "valid": true + }, + { + "description": "exact length is valid", + "data": [1, 2], + "valid": true + }, + { + "description": "too long is invalid", + "data": [1, 2, 3], + "valid": false + }, + { + "description": "ignores non-arrays", + "data": "foobar", + "valid": true + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/maxLength.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/maxLength.json new file mode 100644 index 0000000..561767b --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/maxLength.json @@ -0,0 +1,28 @@ +[ + { + "description": "maxLength validation", + "schema": {"maxLength": 2}, + "tests": [ + { + "description": "shorter is valid", + "data": "f", + "valid": true + }, + { + "description": "exact length is valid", + "data": "fo", + "valid": true + }, + { + "description": "too long is invalid", + "data": "foo", + "valid": false + }, + { + "description": "ignores non-strings", + "data": 10, + "valid": true + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/maxProperties.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/maxProperties.json new file mode 100644 index 0000000..d282446 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/maxProperties.json @@ -0,0 +1,28 @@ +[ + { + "description": "maxProperties validation", + "schema": {"maxProperties": 2}, + "tests": [ + { + "description": "shorter is valid", + "data": {"foo": 1}, + "valid": true + }, + { + "description": "exact length is valid", + "data": {"foo": 1, "bar": 2}, + "valid": true + }, + { + "description": "too long is invalid", + "data": {"foo": 1, "bar": 2, "baz": 3}, + "valid": false + }, + { + "description": "ignores non-objects", + "data": "foobar", + "valid": true + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/maximum.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/maximum.json new file mode 100644 index 0000000..86c7b89 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/maximum.json @@ -0,0 +1,42 @@ +[ + { + "description": "maximum validation", + "schema": {"maximum": 3.0}, + "tests": [ + { + "description": "below the maximum is valid", + "data": 2.6, + "valid": true + }, + { + "description": "above the maximum is invalid", + "data": 3.5, + "valid": false + }, + { + "description": "ignores non-numbers", + "data": "x", + "valid": true + } + ] + }, + { + "description": "exclusiveMaximum validation", + "schema": { + "maximum": 3.0, + "exclusiveMaximum": true + }, + "tests": [ + { + "description": "below the maximum is still valid", + "data": 2.2, + "valid": true + }, + { + "description": "boundary point is invalid", + "data": 3.0, + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/minItems.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/minItems.json new file mode 100644 index 0000000..ed51188 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/minItems.json @@ -0,0 +1,28 @@ +[ + { + "description": "minItems validation", + "schema": {"minItems": 1}, + "tests": [ + { + "description": "longer is valid", + "data": [1, 2], + "valid": true + }, + { + "description": "exact length is valid", + "data": [1], + "valid": true + }, + { + "description": "too short is invalid", + "data": [], + "valid": false + }, + { + "description": "ignores non-arrays", + "data": "", + "valid": true + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/minLength.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/minLength.json new file mode 100644 index 0000000..e9c14b1 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/minLength.json @@ -0,0 +1,28 @@ +[ + { + "description": "minLength validation", + "schema": {"minLength": 2}, + "tests": [ + { + "description": "longer is valid", + "data": "foo", + "valid": true + }, + { + "description": "exact length is valid", + "data": "fo", + "valid": true + }, + { + "description": "too short is invalid", + "data": "f", + "valid": false + }, + { + "description": "ignores non-strings", + "data": 1, + "valid": true + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/minProperties.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/minProperties.json new file mode 100644 index 0000000..a72c7d2 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/minProperties.json @@ -0,0 +1,28 @@ +[ + { + "description": "minProperties validation", + "schema": {"minProperties": 1}, + "tests": [ + { + "description": "longer is valid", + "data": {"foo": 1, "bar": 2}, + "valid": true + }, + { + "description": "exact length is valid", + "data": {"foo": 1}, + "valid": true + }, + { + "description": "too short is invalid", + "data": {}, + "valid": false + }, + { + "description": "ignores non-objects", + "data": "", + "valid": true + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/minimum.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/minimum.json new file mode 100644 index 0000000..d5bf000 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/minimum.json @@ -0,0 +1,42 @@ +[ + { + "description": "minimum validation", + "schema": {"minimum": 1.1}, + "tests": [ + { + "description": "above the minimum is valid", + "data": 2.6, + "valid": true + }, + { + "description": "below the minimum is invalid", + "data": 0.6, + "valid": false + }, + { + "description": "ignores non-numbers", + "data": "x", + "valid": true + } + ] + }, + { + "description": "exclusiveMinimum validation", + "schema": { + "minimum": 1.1, + "exclusiveMinimum": true + }, + "tests": [ + { + "description": "above the minimum is still valid", + "data": 1.2, + "valid": true + }, + { + "description": "boundary point is invalid", + "data": 1.1, + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/multipleOf.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/multipleOf.json new file mode 100644 index 0000000..ca3b761 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/multipleOf.json @@ -0,0 +1,60 @@ +[ + { + "description": "by int", + "schema": {"multipleOf": 2}, + "tests": [ + { + "description": "int by int", + "data": 10, + "valid": true + }, + { + "description": "int by int fail", + "data": 7, + "valid": false + }, + { + "description": "ignores non-numbers", + "data": "foo", + "valid": true + } + ] + }, + { + "description": "by number", + "schema": {"multipleOf": 1.5}, + "tests": [ + { + "description": "zero is multiple of anything", + "data": 0, + "valid": true + }, + { + "description": "4.5 is multiple of 1.5", + "data": 4.5, + "valid": true + }, + { + "description": "35 is not multiple of 1.5", + "data": 35, + "valid": false + } + ] + }, + { + "description": "by small number", + "schema": {"multipleOf": 0.0001}, + "tests": [ + { + "description": "0.0075 is multiple of 0.0001", + "data": 0.0075, + "valid": true + }, + { + "description": "0.00751 is not multiple of 0.0001", + "data": 0.00751, + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/not.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/not.json new file mode 100644 index 0000000..2cdc979 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/not.json @@ -0,0 +1,73 @@ +[ + { + "description": "not", + "schema": { + "not": {"type": "integer"} + }, + "tests": [ + { + "description": "allowed", + "data": "foo", + "valid": true + }, + { + "description": "disallowed", + "data": 1, + "valid": false + } + ] + }, + { + "description": "not multiple types", + "schema": { + "not": {"type": ["integer", "boolean"]} + }, + "tests": [ + { + "description": "valid", + "data": "foo", + "valid": true + }, + { + "description": "mismatch", + "data": 1, + "valid": false + }, + { + "description": "other mismatch", + "data": true, + "valid": false + } + ] + }, + { + "description": "not more complex schema", + "schema": { + "not": { + "type": "object", + "properties": { + "foo": { + "type": "string" + } + } + } + }, + "tests": [ + { + "description": "match", + "data": 1, + "valid": true + }, + { + "description": "other match", + "data": {"foo": 1}, + "valid": true + }, + { + "description": "mismatch", + "data": {"foo": "bar"}, + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/oneOf.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/oneOf.json new file mode 100644 index 0000000..1eaa4e4 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/oneOf.json @@ -0,0 +1,68 @@ +[ + { + "description": "oneOf", + "schema": { + "oneOf": [ + { + "type": "integer" + }, + { + "minimum": 2 + } + ] + }, + "tests": [ + { + "description": "first oneOf valid", + "data": 1, + "valid": true + }, + { + "description": "second oneOf valid", + "data": 2.5, + "valid": true + }, + { + "description": "both oneOf valid", + "data": 3, + "valid": false + }, + { + "description": "neither oneOf valid", + "data": 1.5, + "valid": false + } + ] + }, + { + "description": "oneOf with base schema", + "schema": { + "type": "string", + "oneOf" : [ + { + "minLength": 2 + }, + { + "maxLength": 4 + } + ] + }, + "tests": [ + { + "description": "mismatch base schema", + "data": 3, + "valid": false + }, + { + "description": "one oneOf valid", + "data": "foobar", + "valid": true + }, + { + "description": "both oneOf valid", + "data": "foo", + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/optional/bignum.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/optional/bignum.json new file mode 100644 index 0000000..7b4755c --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/optional/bignum.json @@ -0,0 +1,49 @@ +[ + { + "description": "integer", + "schema": {"type": "integer"}, + "tests": [ + { + "description": "a bignum is an integer", + "data": 12345678910111213141516171819202122232425262728293031, + "valid": true + } + ] + }, + { + "description": "number", + "schema": {"type": "number"}, + "tests": [ + { + "description": "a bignum is a number", + "data": 98249283749234923498293171823948729348710298301928331, + "valid": true + } + ] + }, + { + "description": "string", + "schema": {"type": "string"}, + "tests": [ + { + "description": "a bignum is not a string", + "data": 98249283749234923498293171823948729348710298301928331, + "valid": false + } + ] + }, + { + "description": "float comparison with high precision", + "schema": { + "maximum": 972783798187987123879878123.18878137, + "exclusiveMaximum": true + }, + "tests": [ + { + "description": "comparison works for high numbers", + "data": 972783798187987123879878123.188781371, + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/optional/format.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/optional/format.json new file mode 100644 index 0000000..d94a309 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/optional/format.json @@ -0,0 +1,133 @@ +[ + { + "description": "validation of date-time strings", + "schema": {"format": "date-time"}, + "tests": [ + { + "description": "a valid date-time string", + "data": "1963-06-19T08:30:06.283185Z", + "valid": true + }, + { + "description": "an invalid date-time string", + "data": "06/19/1963 08:30:06 PST", + "valid": false + }, + { + "description": "only RFC3339 not all of ISO 8601 are valid", + "data": "2013-350T01:01:01", + "valid": false + } + ] + }, + { + "description": "validation of URIs", + "schema": {"format": "uri"}, + "tests": [ + { + "description": "a valid URI", + "data": "http://foo.bar/?baz=qux#quux", + "valid": true + }, + { + "description": "an invalid URI", + "data": "\\\\WINDOWS\\fileshare", + "valid": false + }, + { + "description": "an invalid URI though valid URI reference", + "data": "abc", + "valid": false + } + ] + }, + { + "description": "validation of e-mail addresses", + "schema": {"format": "email"}, + "tests": [ + { + "description": "a valid e-mail address", + "data": "joe.bloggs@example.com", + "valid": true + }, + { + "description": "an invalid e-mail address", + "data": "2962", + "valid": false + } + ] + }, + { + "description": "validation of IP addresses", + "schema": {"format": "ipv4"}, + "tests": [ + { + "description": "a valid IP address", + "data": "192.168.0.1", + "valid": true + }, + { + "description": "an IP address with too many components", + "data": "127.0.0.0.1", + "valid": false + }, + { + "description": "an IP address with out-of-range values", + "data": "256.256.256.256", + "valid": false + } + ] + }, + { + "description": "validation of IPv6 addresses", + "schema": {"format": "ipv6"}, + "tests": [ + { + "description": "a valid IPv6 address", + "data": "::1", + "valid": true + }, + { + "description": "an IPv6 address with out-of-range values", + "data": "12345::", + "valid": false + }, + { + "description": "an IPv6 address with too many components", + "data": "1:1:1:1:1:1:1:1:1:1:1:1:1:1:1:1", + "valid": false + }, + { + "description": "an IPv6 address containing illegal characters", + "data": "::laptop", + "valid": false + } + ] + }, + { + "description": "validation of host names", + "schema": {"format": "hostname"}, + "tests": [ + { + "description": "a valid host name", + "data": "www.example.com", + "valid": true + }, + { + "description": "a host name starting with an illegal character", + "data": "-a-host-name-that-starts-with--", + "valid": false + }, + { + "description": "a host name containing illegal characters", + "data": "not_a_valid_host_name", + "valid": false + }, + { + "description": "a host name with a component too long", + "data": "a-vvvvvvvvvvvvvvvveeeeeeeeeeeeeeeerrrrrrrrrrrrrrrryyyyyyyyyyyyyyyy-long-host-name-component", + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/optional/zeroTerminatedFloats.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/optional/zeroTerminatedFloats.json new file mode 100644 index 0000000..9b50ea2 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/optional/zeroTerminatedFloats.json @@ -0,0 +1,15 @@ +[ + { + "description": "some languages do not distinguish between different types of numeric value", + "schema": { + "type": "integer" + }, + "tests": [ + { + "description": "a float is not an integer even without fractional part", + "data": 1.0, + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/pattern.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/pattern.json new file mode 100644 index 0000000..befc4b5 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/pattern.json @@ -0,0 +1,23 @@ +[ + { + "description": "pattern validation", + "schema": {"pattern": "^a*$"}, + "tests": [ + { + "description": "a matching pattern is valid", + "data": "aaa", + "valid": true + }, + { + "description": "a non-matching pattern is invalid", + "data": "abc", + "valid": false + }, + { + "description": "ignores non-strings", + "data": true, + "valid": true + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/patternProperties.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/patternProperties.json new file mode 100644 index 0000000..18586e5 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/patternProperties.json @@ -0,0 +1,110 @@ +[ + { + "description": + "patternProperties validates properties matching a regex", + "schema": { + "patternProperties": { + "f.*o": {"type": "integer"} + } + }, + "tests": [ + { + "description": "a single valid match is valid", + "data": {"foo": 1}, + "valid": true + }, + { + "description": "multiple valid matches is valid", + "data": {"foo": 1, "foooooo" : 2}, + "valid": true + }, + { + "description": "a single invalid match is invalid", + "data": {"foo": "bar", "fooooo": 2}, + "valid": false + }, + { + "description": "multiple invalid matches is invalid", + "data": {"foo": "bar", "foooooo" : "baz"}, + "valid": false + }, + { + "description": "ignores non-objects", + "data": 12, + "valid": true + } + ] + }, + { + "description": "multiple simultaneous patternProperties are validated", + "schema": { + "patternProperties": { + "a*": {"type": "integer"}, + "aaa*": {"maximum": 20} + } + }, + "tests": [ + { + "description": "a single valid match is valid", + "data": {"a": 21}, + "valid": true + }, + { + "description": "a simultaneous match is valid", + "data": {"aaaa": 18}, + "valid": true + }, + { + "description": "multiple matches is valid", + "data": {"a": 21, "aaaa": 18}, + "valid": true + }, + { + "description": "an invalid due to one is invalid", + "data": {"a": "bar"}, + "valid": false + }, + { + "description": "an invalid due to the other is invalid", + "data": {"aaaa": 31}, + "valid": false + }, + { + "description": "an invalid due to both is invalid", + "data": {"aaa": "foo", "aaaa": 31}, + "valid": false + } + ] + }, + { + "description": "regexes are not anchored by default and are case sensitive", + "schema": { + "patternProperties": { + "[0-9]{2,}": { "type": "boolean" }, + "X_": { "type": "string" } + } + }, + "tests": [ + { + "description": "non recognized members are ignored", + "data": { "answer 1": "42" }, + "valid": true + }, + { + "description": "recognized members are accounted for", + "data": { "a31b": null }, + "valid": false + }, + { + "description": "regexes are case sensitive", + "data": { "a_x_3": 3 }, + "valid": true + }, + { + "description": "regexes are case sensitive, 2", + "data": { "a_X_3": 3 }, + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/properties.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/properties.json new file mode 100644 index 0000000..cd1644d --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/properties.json @@ -0,0 +1,92 @@ +[ + { + "description": "object properties validation", + "schema": { + "properties": { + "foo": {"type": "integer"}, + "bar": {"type": "string"} + } + }, + "tests": [ + { + "description": "both properties present and valid is valid", + "data": {"foo": 1, "bar": "baz"}, + "valid": true + }, + { + "description": "one property invalid is invalid", + "data": {"foo": 1, "bar": {}}, + "valid": false + }, + { + "description": "both properties invalid is invalid", + "data": {"foo": [], "bar": {}}, + "valid": false + }, + { + "description": "doesn't invalidate other properties", + "data": {"quux": []}, + "valid": true + }, + { + "description": "ignores non-objects", + "data": [], + "valid": true + } + ] + }, + { + "description": + "properties, patternProperties, additionalProperties interaction", + "schema": { + "properties": { + "foo": {"type": "array", "maxItems": 3}, + "bar": {"type": "array"} + }, + "patternProperties": {"f.o": {"minItems": 2}}, + "additionalProperties": {"type": "integer"} + }, + "tests": [ + { + "description": "property validates property", + "data": {"foo": [1, 2]}, + "valid": true + }, + { + "description": "property invalidates property", + "data": {"foo": [1, 2, 3, 4]}, + "valid": false + }, + { + "description": "patternProperty invalidates property", + "data": {"foo": []}, + "valid": false + }, + { + "description": "patternProperty validates nonproperty", + "data": {"fxo": [1, 2]}, + "valid": true + }, + { + "description": "patternProperty invalidates nonproperty", + "data": {"fxo": []}, + "valid": false + }, + { + "description": "additionalProperty ignores property", + "data": {"bar": []}, + "valid": true + }, + { + "description": "additionalProperty validates others", + "data": {"quux": 3}, + "valid": true + }, + { + "description": "additionalProperty invalidates others", + "data": {"quux": "foo"}, + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/ref.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/ref.json new file mode 100644 index 0000000..b38ff03 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/ref.json @@ -0,0 +1,144 @@ +[ + { + "description": "root pointer ref", + "schema": { + "properties": { + "foo": {"$ref": "#"} + }, + "additionalProperties": false + }, + "tests": [ + { + "description": "match", + "data": {"foo": false}, + "valid": true + }, + { + "description": "recursive match", + "data": {"foo": {"foo": false}}, + "valid": true + }, + { + "description": "mismatch", + "data": {"bar": false}, + "valid": false + }, + { + "description": "recursive mismatch", + "data": {"foo": {"bar": false}}, + "valid": false + } + ] + }, + { + "description": "relative pointer ref to object", + "schema": { + "properties": { + "foo": {"type": "integer"}, + "bar": {"$ref": "#/properties/foo"} + } + }, + "tests": [ + { + "description": "match", + "data": {"bar": 3}, + "valid": true + }, + { + "description": "mismatch", + "data": {"bar": true}, + "valid": false + } + ] + }, + { + "description": "relative pointer ref to array", + "schema": { + "items": [ + {"type": "integer"}, + {"$ref": "#/items/0"} + ] + }, + "tests": [ + { + "description": "match array", + "data": [1, 2], + "valid": true + }, + { + "description": "mismatch array", + "data": [1, "foo"], + "valid": false + } + ] + }, + { + "description": "escaped pointer ref", + "schema": { + "tilda~field": {"type": "integer"}, + "slash/field": {"type": "integer"}, + "percent%field": {"type": "integer"}, + "properties": { + "tilda": {"$ref": "#/tilda~0field"}, + "slash": {"$ref": "#/slash~1field"}, + "percent": {"$ref": "#/percent%25field"} + } + }, + "tests": [ + { + "description": "slash", + "data": {"slash": "aoeu"}, + "valid": false + }, + { + "description": "tilda", + "data": {"tilda": "aoeu"}, + "valid": false + }, + { + "description": "percent", + "data": {"percent": "aoeu"}, + "valid": false + } + ] + }, + { + "description": "nested refs", + "schema": { + "definitions": { + "a": {"type": "integer"}, + "b": {"$ref": "#/definitions/a"}, + "c": {"$ref": "#/definitions/b"} + }, + "$ref": "#/definitions/c" + }, + "tests": [ + { + "description": "nested ref valid", + "data": 5, + "valid": true + }, + { + "description": "nested ref invalid", + "data": "a", + "valid": false + } + ] + }, + { + "description": "remote ref, containing refs itself", + "schema": {"$ref": "http://json-schema.org/draft-04/schema#"}, + "tests": [ + { + "description": "remote ref valid", + "data": {"minLength": 1}, + "valid": true + }, + { + "description": "remote ref invalid", + "data": {"minLength": -1}, + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/refRemote.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/refRemote.json new file mode 100644 index 0000000..4ca8047 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/refRemote.json @@ -0,0 +1,74 @@ +[ + { + "description": "remote ref", + "schema": {"$ref": "http://localhost:1234/integer.json"}, + "tests": [ + { + "description": "remote ref valid", + "data": 1, + "valid": true + }, + { + "description": "remote ref invalid", + "data": "a", + "valid": false + } + ] + }, + { + "description": "fragment within remote ref", + "schema": {"$ref": "http://localhost:1234/subSchemas.json#/integer"}, + "tests": [ + { + "description": "remote fragment valid", + "data": 1, + "valid": true + }, + { + "description": "remote fragment invalid", + "data": "a", + "valid": false + } + ] + }, + { + "description": "ref within remote ref", + "schema": { + "$ref": "http://localhost:1234/subSchemas.json#/refToInteger" + }, + "tests": [ + { + "description": "ref within ref valid", + "data": 1, + "valid": true + }, + { + "description": "ref within ref invalid", + "data": "a", + "valid": false + } + ] + }, + { + "description": "change resolution scope", + "schema": { + "id": "http://localhost:1234/", + "items": { + "id": "folder/", + "items": {"$ref": "folderInteger.json"} + } + }, + "tests": [ + { + "description": "changed scope ref valid", + "data": [[1]], + "valid": true + }, + { + "description": "changed scope ref invalid", + "data": [["a"]], + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/required.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/required.json new file mode 100644 index 0000000..612f73f --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/required.json @@ -0,0 +1,39 @@ +[ + { + "description": "required validation", + "schema": { + "properties": { + "foo": {}, + "bar": {} + }, + "required": ["foo"] + }, + "tests": [ + { + "description": "present required property is valid", + "data": {"foo": 1}, + "valid": true + }, + { + "description": "non-present required property is invalid", + "data": {"bar": 1}, + "valid": false + } + ] + }, + { + "description": "required default validation", + "schema": { + "properties": { + "foo": {} + } + }, + "tests": [ + { + "description": "not required by default", + "data": {}, + "valid": true + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/type.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/type.json new file mode 100644 index 0000000..257f051 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/type.json @@ -0,0 +1,330 @@ +[ + { + "description": "integer type matches integers", + "schema": {"type": "integer"}, + "tests": [ + { + "description": "an integer is an integer", + "data": 1, + "valid": true + }, + { + "description": "a float is not an integer", + "data": 1.1, + "valid": false + }, + { + "description": "a string is not an integer", + "data": "foo", + "valid": false + }, + { + "description": "an object is not an integer", + "data": {}, + "valid": false + }, + { + "description": "an array is not an integer", + "data": [], + "valid": false + }, + { + "description": "a boolean is not an integer", + "data": true, + "valid": false + }, + { + "description": "null is not an integer", + "data": null, + "valid": false + } + ] + }, + { + "description": "number type matches numbers", + "schema": {"type": "number"}, + "tests": [ + { + "description": "an integer is a number", + "data": 1, + "valid": true + }, + { + "description": "a float is a number", + "data": 1.1, + "valid": true + }, + { + "description": "a string is not a number", + "data": "foo", + "valid": false + }, + { + "description": "an object is not a number", + "data": {}, + "valid": false + }, + { + "description": "an array is not a number", + "data": [], + "valid": false + }, + { + "description": "a boolean is not a number", + "data": true, + "valid": false + }, + { + "description": "null is not a number", + "data": null, + "valid": false + } + ] + }, + { + "description": "string type matches strings", + "schema": {"type": "string"}, + "tests": [ + { + "description": "1 is not a string", + "data": 1, + "valid": false + }, + { + "description": "a float is not a string", + "data": 1.1, + "valid": false + }, + { + "description": "a string is a string", + "data": "foo", + "valid": true + }, + { + "description": "an object is not a string", + "data": {}, + "valid": false + }, + { + "description": "an array is not a string", + "data": [], + "valid": false + }, + { + "description": "a boolean is not a string", + "data": true, + "valid": false + }, + { + "description": "null is not a string", + "data": null, + "valid": false + } + ] + }, + { + "description": "object type matches objects", + "schema": {"type": "object"}, + "tests": [ + { + "description": "an integer is not an object", + "data": 1, + "valid": false + }, + { + "description": "a float is not an object", + "data": 1.1, + "valid": false + }, + { + "description": "a string is not an object", + "data": "foo", + "valid": false + }, + { + "description": "an object is an object", + "data": {}, + "valid": true + }, + { + "description": "an array is not an object", + "data": [], + "valid": false + }, + { + "description": "a boolean is not an object", + "data": true, + "valid": false + }, + { + "description": "null is not an object", + "data": null, + "valid": false + } + ] + }, + { + "description": "array type matches arrays", + "schema": {"type": "array"}, + "tests": [ + { + "description": "an integer is not an array", + "data": 1, + "valid": false + }, + { + "description": "a float is not an array", + "data": 1.1, + "valid": false + }, + { + "description": "a string is not an array", + "data": "foo", + "valid": false + }, + { + "description": "an object is not an array", + "data": {}, + "valid": false + }, + { + "description": "an array is not an array", + "data": [], + "valid": true + }, + { + "description": "a boolean is not an array", + "data": true, + "valid": false + }, + { + "description": "null is not an array", + "data": null, + "valid": false + } + ] + }, + { + "description": "boolean type matches booleans", + "schema": {"type": "boolean"}, + "tests": [ + { + "description": "an integer is not a boolean", + "data": 1, + "valid": false + }, + { + "description": "a float is not a boolean", + "data": 1.1, + "valid": false + }, + { + "description": "a string is not a boolean", + "data": "foo", + "valid": false + }, + { + "description": "an object is not a boolean", + "data": {}, + "valid": false + }, + { + "description": "an array is not a boolean", + "data": [], + "valid": false + }, + { + "description": "a boolean is not a boolean", + "data": true, + "valid": true + }, + { + "description": "null is not a boolean", + "data": null, + "valid": false + } + ] + }, + { + "description": "null type matches only the null object", + "schema": {"type": "null"}, + "tests": [ + { + "description": "an integer is not null", + "data": 1, + "valid": false + }, + { + "description": "a float is not null", + "data": 1.1, + "valid": false + }, + { + "description": "a string is not null", + "data": "foo", + "valid": false + }, + { + "description": "an object is not null", + "data": {}, + "valid": false + }, + { + "description": "an array is not null", + "data": [], + "valid": false + }, + { + "description": "a boolean is not null", + "data": true, + "valid": false + }, + { + "description": "null is null", + "data": null, + "valid": true + } + ] + }, + { + "description": "multiple types can be specified in an array", + "schema": {"type": ["integer", "string"]}, + "tests": [ + { + "description": "an integer is valid", + "data": 1, + "valid": true + }, + { + "description": "a string is valid", + "data": "foo", + "valid": true + }, + { + "description": "a float is invalid", + "data": 1.1, + "valid": false + }, + { + "description": "an object is invalid", + "data": {}, + "valid": false + }, + { + "description": "an array is invalid", + "data": [], + "valid": false + }, + { + "description": "a boolean is invalid", + "data": true, + "valid": false + }, + { + "description": "null is invalid", + "data": null, + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/uniqueItems.json b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/uniqueItems.json new file mode 100644 index 0000000..c1f4ab9 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/json/tests/draft4/uniqueItems.json @@ -0,0 +1,79 @@ +[ + { + "description": "uniqueItems validation", + "schema": {"uniqueItems": true}, + "tests": [ + { + "description": "unique array of integers is valid", + "data": [1, 2], + "valid": true + }, + { + "description": "non-unique array of integers is invalid", + "data": [1, 1], + "valid": false + }, + { + "description": "numbers are unique if mathematically unequal", + "data": [1.0, 1.00, 1], + "valid": false + }, + { + "description": "unique array of objects is valid", + "data": [{"foo": "bar"}, {"foo": "baz"}], + "valid": true + }, + { + "description": "non-unique array of objects is invalid", + "data": [{"foo": "bar"}, {"foo": "bar"}], + "valid": false + }, + { + "description": "unique array of nested objects is valid", + "data": [ + {"foo": {"bar" : {"baz" : true}}}, + {"foo": {"bar" : {"baz" : false}}} + ], + "valid": true + }, + { + "description": "non-unique array of nested objects is invalid", + "data": [ + {"foo": {"bar" : {"baz" : true}}}, + {"foo": {"bar" : {"baz" : true}}} + ], + "valid": false + }, + { + "description": "unique array of arrays is valid", + "data": [["foo"], ["bar"]], + "valid": true + }, + { + "description": "non-unique array of arrays is invalid", + "data": [["foo"], ["foo"]], + "valid": false + }, + { + "description": "1 and true are unique", + "data": [1, true], + "valid": true + }, + { + "description": "0 and false are unique", + "data": [0, false], + "valid": true + }, + { + "description": "unique heterogeneous types are valid", + "data": [{}, [1], true, null, 1], + "valid": true + }, + { + "description": "non-unique heterogeneous types are invalid", + "data": [{}, [1], true, null, {}, 1], + "valid": false + } + ] + } +] diff --git a/tools/extra/packager/jsonschema-2.3.0/jsonschema/__init__.py b/tools/extra/packager/jsonschema-2.3.0/jsonschema/__init__.py new file mode 100644 index 0000000..c5fc46c --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/jsonschema/__init__.py @@ -0,0 +1,26 @@ +""" +An implementation of JSON Schema for Python + +The main functionality is provided by the validator classes for each of the +supported JSON Schema versions. + +Most commonly, :func:`validate` is the quickest way to simply validate a given +instance under a schema, and will create a validator for you. + +""" + +from jsonschema.exceptions import ( + ErrorTree, FormatError, RefResolutionError, SchemaError, ValidationError +) +from jsonschema._format import ( + FormatChecker, draft3_format_checker, draft4_format_checker, +) +from jsonschema.validators import ( + Draft3Validator, Draft4Validator, RefResolver, validate +) + + +__version__ = "2.3.0" + + +# flake8: noqa diff --git a/tools/extra/packager/jsonschema-2.3.0/jsonschema/_format.py b/tools/extra/packager/jsonschema-2.3.0/jsonschema/_format.py new file mode 100644 index 0000000..ed98707 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/jsonschema/_format.py @@ -0,0 +1,235 @@ +import datetime +import re +import socket + +from jsonschema.compat import str_types +from jsonschema.exceptions import FormatError + + +class FormatChecker(object): + """ + A ``format`` property checker. + + JSON Schema does not mandate that the ``format`` property actually do any + validation. If validation is desired however, instances of this class can + be hooked into validators to enable format validation. + + :class:`FormatChecker` objects always return ``True`` when asked about + formats that they do not know how to validate. + + To check a custom format using a function that takes an instance and + returns a ``bool``, use the :meth:`FormatChecker.checks` or + :meth:`FormatChecker.cls_checks` decorators. + + :argument iterable formats: the known formats to validate. This argument + can be used to limit which formats will be used + during validation. + + """ + + checkers = {} + + def __init__(self, formats=None): + if formats is None: + self.checkers = self.checkers.copy() + else: + self.checkers = dict((k, self.checkers[k]) for k in formats) + + def checks(self, format, raises=()): + """ + Register a decorated function as validating a new format. + + :argument str format: the format that the decorated function will check + :argument Exception raises: the exception(s) raised by the decorated + function when an invalid instance is found. The exception object + will be accessible as the :attr:`ValidationError.cause` attribute + of the resulting validation error. + + """ + + def _checks(func): + self.checkers[format] = (func, raises) + return func + return _checks + + cls_checks = classmethod(checks) + + def check(self, instance, format): + """ + Check whether the instance conforms to the given format. + + :argument instance: the instance to check + :type: any primitive type (str, number, bool) + :argument str format: the format that instance should conform to + :raises: :exc:`FormatError` if instance does not conform to format + + """ + + if format not in self.checkers: + return + + func, raises = self.checkers[format] + result, cause = None, None + try: + result = func(instance) + except raises as e: + cause = e + if not result: + raise FormatError( + "%r is not a %r" % (instance, format), cause=cause, + ) + + def conforms(self, instance, format): + """ + Check whether the instance conforms to the given format. + + :argument instance: the instance to check + :type: any primitive type (str, number, bool) + :argument str format: the format that instance should conform to + :rtype: bool + + """ + + try: + self.check(instance, format) + except FormatError: + return False + else: + return True + + +_draft_checkers = {"draft3": [], "draft4": []} + + +def _checks_drafts(both=None, draft3=None, draft4=None, raises=()): + draft3 = draft3 or both + draft4 = draft4 or both + + def wrap(func): + if draft3: + _draft_checkers["draft3"].append(draft3) + func = FormatChecker.cls_checks(draft3, raises)(func) + if draft4: + _draft_checkers["draft4"].append(draft4) + func = FormatChecker.cls_checks(draft4, raises)(func) + return func + return wrap + + +@_checks_drafts("email") +def is_email(instance): + if not isinstance(instance, str_types): + return True + return "@" in instance + + +@_checks_drafts(draft3="ip-address", draft4="ipv4", raises=socket.error) +def is_ipv4(instance): + if not isinstance(instance, str_types): + return True + return socket.inet_aton(instance) + + +if hasattr(socket, "inet_pton"): + @_checks_drafts("ipv6", raises=socket.error) + def is_ipv6(instance): + if not isinstance(instance, str_types): + return True + return socket.inet_pton(socket.AF_INET6, instance) + + +_host_name_re = re.compile(r"^[A-Za-z0-9][A-Za-z0-9\.\-]{1,255}$") + + +@_checks_drafts(draft3="host-name", draft4="hostname") +def is_host_name(instance): + if not isinstance(instance, str_types): + return True + if not _host_name_re.match(instance): + return False + components = instance.split(".") + for component in components: + if len(component) > 63: + return False + return True + + +try: + import rfc3987 +except ImportError: + pass +else: + @_checks_drafts("uri", raises=ValueError) + def is_uri(instance): + if not isinstance(instance, str_types): + return True + return rfc3987.parse(instance, rule="URI") + + +try: + import strict_rfc3339 +except ImportError: + try: + import isodate + except ImportError: + pass + else: + @_checks_drafts("date-time", raises=(ValueError, isodate.ISO8601Error)) + def is_date(instance): + if not isinstance(instance, str_types): + return True + return isodate.parse_datetime(instance) +else: + @_checks_drafts("date-time") + def is_date(instance): + if not isinstance(instance, str_types): + return True + return strict_rfc3339.validate_rfc3339(instance) + + +@_checks_drafts("regex", raises=re.error) +def is_regex(instance): + if not isinstance(instance, str_types): + return True + return re.compile(instance) + + +@_checks_drafts(draft3="date", raises=ValueError) +def is_date(instance): + if not isinstance(instance, str_types): + return True + return datetime.datetime.strptime(instance, "%Y-%m-%d") + + +@_checks_drafts(draft3="time", raises=ValueError) +def is_time(instance): + if not isinstance(instance, str_types): + return True + return datetime.datetime.strptime(instance, "%H:%M:%S") + + +try: + import webcolors +except ImportError: + pass +else: + def is_css_color_code(instance): + return webcolors.normalize_hex(instance) + + @_checks_drafts(draft3="color", raises=(ValueError, TypeError)) + def is_css21_color(instance): + if ( + not isinstance(instance, str_types) or + instance.lower() in webcolors.css21_names_to_hex + ): + return True + return is_css_color_code(instance) + + def is_css3_color(instance): + if instance.lower() in webcolors.css3_names_to_hex: + return True + return is_css_color_code(instance) + + +draft3_format_checker = FormatChecker(_draft_checkers["draft3"]) +draft4_format_checker = FormatChecker(_draft_checkers["draft4"]) diff --git a/tools/extra/packager/jsonschema-2.3.0/jsonschema/_utils.py b/tools/extra/packager/jsonschema-2.3.0/jsonschema/_utils.py new file mode 100644 index 0000000..44a577a --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/jsonschema/_utils.py @@ -0,0 +1,217 @@ +import itertools +import json +import re +import os + +from jsonschema.compat import str_types, MutableMapping, urlsplit + + +class URIDict(MutableMapping): + """ + Dictionary which uses normalized URIs as keys. + + """ + + def normalize(self, uri): + return urlsplit(uri).geturl() + + def __init__(self, *args, **kwargs): + self.store = dict() + self.store.update(*args, **kwargs) + + def __getitem__(self, uri): + return self.store[self.normalize(uri)] + + def __setitem__(self, uri, value): + self.store[self.normalize(uri)] = value + + def __delitem__(self, uri): + del self.store[self.normalize(uri)] + + def __iter__(self): + return iter(self.store) + + def __len__(self): + return len(self.store) + + def __repr__(self): + return repr(self.store) + + +class Unset(object): + """ + An as-of-yet unset attribute or unprovided default parameter. + + """ + + def __repr__(self): + return "" + + +def load_schema(name): + """ + Load a schema from ./schemas/``name``.json and return it. + + """ + schemadir = os.path.join( + os.path.dirname(os.path.abspath(__file__)), + 'schemas' + ) + schemapath = os.path.join(schemadir, '%s.json' % (name,)) + with open(schemapath) as f: + return json.load(f) + + +def indent(string, times=1): + """ + A dumb version of :func:`textwrap.indent` from Python 3.3. + + """ + + return "\n".join(" " * (4 * times) + line for line in string.splitlines()) + + +def format_as_index(indices): + """ + Construct a single string containing indexing operations for the indices. + + For example, [1, 2, "foo"] -> [1][2]["foo"] + + :type indices: sequence + + """ + + if not indices: + return "" + return "[%s]" % "][".join(repr(index) for index in indices) + + +def find_additional_properties(instance, schema): + """ + Return the set of additional properties for the given ``instance``. + + Weeds out properties that should have been validated by ``properties`` and + / or ``patternProperties``. + + Assumes ``instance`` is dict-like already. + + """ + + properties = schema.get("properties", {}) + patterns = "|".join(schema.get("patternProperties", {})) + for property in instance: + if property not in properties: + if patterns and re.search(patterns, property): + continue + yield property + + +def extras_msg(extras): + """ + Create an error message for extra items or properties. + + """ + + if len(extras) == 1: + verb = "was" + else: + verb = "were" + return ", ".join(repr(extra) for extra in extras), verb + + +def types_msg(instance, types): + """ + Create an error message for a failure to match the given types. + + If the ``instance`` is an object and contains a ``name`` property, it will + be considered to be a description of that object and used as its type. + + Otherwise the message is simply the reprs of the given ``types``. + + """ + + reprs = [] + for type in types: + try: + reprs.append(repr(type["name"])) + except Exception: + reprs.append(repr(type)) + return "%r is not of type %s" % (instance, ", ".join(reprs)) + + +def flatten(suitable_for_isinstance): + """ + isinstance() can accept a bunch of really annoying different types: + * a single type + * a tuple of types + * an arbitrary nested tree of tuples + + Return a flattened tuple of the given argument. + + """ + + types = set() + + if not isinstance(suitable_for_isinstance, tuple): + suitable_for_isinstance = (suitable_for_isinstance,) + for thing in suitable_for_isinstance: + if isinstance(thing, tuple): + types.update(flatten(thing)) + else: + types.add(thing) + return tuple(types) + + +def ensure_list(thing): + """ + Wrap ``thing`` in a list if it's a single str. + + Otherwise, return it unchanged. + + """ + + if isinstance(thing, str_types): + return [thing] + return thing + + +def unbool(element, true=object(), false=object()): + """ + A hack to make True and 1 and False and 0 unique for ``uniq``. + + """ + + if element is True: + return true + elif element is False: + return false + return element + + +def uniq(container): + """ + Check if all of a container's elements are unique. + + Successively tries first to rely that the elements are hashable, then + falls back on them being sortable, and finally falls back on brute + force. + + """ + + try: + return len(set(unbool(i) for i in container)) == len(container) + except TypeError: + try: + sort = sorted(unbool(i) for i in container) + sliced = itertools.islice(sort, 1, None) + for i, j in zip(sort, sliced): + if i == j: + return False + except (NotImplementedError, TypeError): + seen = [] + for e in container: + e = unbool(e) + if e in seen: + return False + seen.append(e) + return True diff --git a/tools/extra/packager/jsonschema-2.3.0/jsonschema/_validators.py b/tools/extra/packager/jsonschema-2.3.0/jsonschema/_validators.py new file mode 100644 index 0000000..2f57533 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/jsonschema/_validators.py @@ -0,0 +1,360 @@ +import re + +from jsonschema import _utils +from jsonschema.exceptions import FormatError, ValidationError +from jsonschema.compat import iteritems + + +FLOAT_TOLERANCE = 10 ** -15 + + +def patternProperties(validator, patternProperties, instance, schema): + if not validator.is_type(instance, "object"): + return + + for pattern, subschema in iteritems(patternProperties): + for k, v in iteritems(instance): + if re.search(pattern, k): + for error in validator.descend( + v, subschema, path=k, schema_path=pattern + ): + yield error + + +def additionalProperties(validator, aP, instance, schema): + if not validator.is_type(instance, "object"): + return + + extras = set(_utils.find_additional_properties(instance, schema)) + + if validator.is_type(aP, "object"): + for extra in extras: + for error in validator.descend(instance[extra], aP, path=extra): + yield error + elif not aP and extras: + error = "Additional properties are not allowed (%s %s unexpected)" + yield ValidationError(error % _utils.extras_msg(extras)) + + +def items(validator, items, instance, schema): + if not validator.is_type(instance, "array"): + return + + if validator.is_type(items, "object"): + for index, item in enumerate(instance): + for error in validator.descend(item, items, path=index): + yield error + else: + for (index, item), subschema in zip(enumerate(instance), items): + for error in validator.descend( + item, subschema, path=index, schema_path=index + ): + yield error + + +def additionalItems(validator, aI, instance, schema): + if ( + not validator.is_type(instance, "array") or + validator.is_type(schema.get("items", {}), "object") + ): + return + + len_items = len(schema.get("items", [])) + if validator.is_type(aI, "object"): + for index, item in enumerate(instance[len_items:], start=len_items): + for error in validator.descend(item, aI, path=index): + yield error + elif not aI and len(instance) > len(schema.get("items", [])): + error = "Additional items are not allowed (%s %s unexpected)" + yield ValidationError( + error % + _utils.extras_msg(instance[len(schema.get("items", [])):]) + ) + + +def minimum(validator, minimum, instance, schema): + if not validator.is_type(instance, "number"): + return + + instance = float(instance) + if schema.get("exclusiveMinimum", False): + failed = instance <= minimum + cmp = "less than or equal to" + else: + failed = instance < minimum + cmp = "less than" + + if failed: + yield ValidationError( + "%r is %s the minimum of %r" % (instance, cmp, minimum) + ) + + +def maximum(validator, maximum, instance, schema): + if not validator.is_type(instance, "number"): + return + + instance = float(instance) + if schema.get("exclusiveMaximum", False): + failed = instance >= maximum + cmp = "greater than or equal to" + else: + failed = instance > maximum + cmp = "greater than" + + if failed: + yield ValidationError( + "%r is %s the maximum of %r" % (instance, cmp, maximum) + ) + + +def multipleOf(validator, dB, instance, schema): + if not validator.is_type(instance, "number"): + return + + if isinstance(dB, float): + mod = instance % dB + failed = (mod > FLOAT_TOLERANCE) and (dB - mod) > FLOAT_TOLERANCE + else: + failed = instance % dB + + if failed: + yield ValidationError("%r is not a multiple of %r" % (instance, dB)) + + +def minItems(validator, mI, instance, schema): + if validator.is_type(instance, "array") and len(instance) < mI: + yield ValidationError("%r is too short" % (instance,)) + + +def maxItems(validator, mI, instance, schema): + if validator.is_type(instance, "array") and len(instance) > mI: + yield ValidationError("%r is too long" % (instance,)) + + +def uniqueItems(validator, uI, instance, schema): + if ( + uI and + validator.is_type(instance, "array") and + not _utils.uniq(instance) + ): + yield ValidationError("%r has non-unique elements" % instance) + + +def pattern(validator, patrn, instance, schema): + if ( + validator.is_type(instance, "string") and + not re.search(patrn, instance) + ): + yield ValidationError("%r does not match %r" % (instance, patrn)) + + +def format(validator, format, instance, schema): + if validator.format_checker is not None: + try: + validator.format_checker.check(instance, format) + except FormatError as error: + yield ValidationError(error.message, cause=error.cause) + + +def minLength(validator, mL, instance, schema): + if validator.is_type(instance, "string") and len(instance) < mL: + yield ValidationError("%r is too short" % (instance,)) + + +def maxLength(validator, mL, instance, schema): + if validator.is_type(instance, "string") and len(instance) > mL: + yield ValidationError("%r is too long" % (instance,)) + + +def dependencies(validator, dependencies, instance, schema): + if not validator.is_type(instance, "object"): + return + + for property, dependency in iteritems(dependencies): + if property not in instance: + continue + + if validator.is_type(dependency, "object"): + for error in validator.descend( + instance, dependency, schema_path=property + ): + yield error + else: + dependencies = _utils.ensure_list(dependency) + for dependency in dependencies: + if dependency not in instance: + yield ValidationError( + "%r is a dependency of %r" % (dependency, property) + ) + + +def enum(validator, enums, instance, schema): + if instance not in enums: + yield ValidationError("%r is not one of %r" % (instance, enums)) + + +def ref(validator, ref, instance, schema): + with validator.resolver.resolving(ref) as resolved: + for error in validator.descend(instance, resolved): + yield error + + +def type_draft3(validator, types, instance, schema): + types = _utils.ensure_list(types) + + all_errors = [] + for index, type in enumerate(types): + if type == "any": + return + if validator.is_type(type, "object"): + errors = list(validator.descend(instance, type, schema_path=index)) + if not errors: + return + all_errors.extend(errors) + else: + if validator.is_type(instance, type): + return + else: + yield ValidationError( + _utils.types_msg(instance, types), context=all_errors, + ) + + +def properties_draft3(validator, properties, instance, schema): + if not validator.is_type(instance, "object"): + return + + for property, subschema in iteritems(properties): + if property in instance: + for error in validator.descend( + instance[property], + subschema, + path=property, + schema_path=property, + ): + yield error + elif subschema.get("required", False): + error = ValidationError("%r is a required property" % property) + error._set( + validator="required", + validator_value=subschema["required"], + instance=instance, + schema=schema, + ) + error.path.appendleft(property) + error.schema_path.extend([property, "required"]) + yield error + + +def disallow_draft3(validator, disallow, instance, schema): + for disallowed in _utils.ensure_list(disallow): + if validator.is_valid(instance, {"type": [disallowed]}): + yield ValidationError( + "%r is disallowed for %r" % (disallowed, instance) + ) + + +def extends_draft3(validator, extends, instance, schema): + if validator.is_type(extends, "object"): + for error in validator.descend(instance, extends): + yield error + return + for index, subschema in enumerate(extends): + for error in validator.descend(instance, subschema, schema_path=index): + yield error + + +def type_draft4(validator, types, instance, schema): + types = _utils.ensure_list(types) + + if not any(validator.is_type(instance, type) for type in types): + yield ValidationError(_utils.types_msg(instance, types)) + + +def properties_draft4(validator, properties, instance, schema): + if not validator.is_type(instance, "object"): + return + + for property, subschema in iteritems(properties): + if property in instance: + for error in validator.descend( + instance[property], + subschema, + path=property, + schema_path=property, + ): + yield error + + +def required_draft4(validator, required, instance, schema): + if not validator.is_type(instance, "object"): + return + for property in required: + if property not in instance: + yield ValidationError("%r is a required property" % property) + + +def minProperties_draft4(validator, mP, instance, schema): + if validator.is_type(instance, "object") and len(instance) < mP: + yield ValidationError( + "%r does not have enough properties" % (instance,) + ) + + +def maxProperties_draft4(validator, mP, instance, schema): + if not validator.is_type(instance, "object"): + return + if validator.is_type(instance, "object") and len(instance) > mP: + yield ValidationError("%r has too many properties" % (instance,)) + + +def allOf_draft4(validator, allOf, instance, schema): + for index, subschema in enumerate(allOf): + for error in validator.descend(instance, subschema, schema_path=index): + yield error + + +def oneOf_draft4(validator, oneOf, instance, schema): + subschemas = enumerate(oneOf) + all_errors = [] + for index, subschema in subschemas: + errs = list(validator.descend(instance, subschema, schema_path=index)) + if not errs: + first_valid = subschema + break + all_errors.extend(errs) + else: + yield ValidationError( + "%r is not valid under any of the given schemas" % (instance,), + context=all_errors, + ) + + more_valid = [s for i, s in subschemas if validator.is_valid(instance, s)] + if more_valid: + more_valid.append(first_valid) + reprs = ", ".join(repr(schema) for schema in more_valid) + yield ValidationError( + "%r is valid under each of %s" % (instance, reprs) + ) + + +def anyOf_draft4(validator, anyOf, instance, schema): + all_errors = [] + for index, subschema in enumerate(anyOf): + errs = list(validator.descend(instance, subschema, schema_path=index)) + if not errs: + break + all_errors.extend(errs) + else: + yield ValidationError( + "%r is not valid under any of the given schemas" % (instance,), + context=all_errors, + ) + + +def not_draft4(validator, not_schema, instance, schema): + if validator.is_valid(instance, not_schema): + yield ValidationError( + "%r is not allowed for %r" % (not_schema, instance) + ) diff --git a/tools/extra/packager/jsonschema-2.3.0/jsonschema/compat.py b/tools/extra/packager/jsonschema-2.3.0/jsonschema/compat.py new file mode 100644 index 0000000..07f6437 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/jsonschema/compat.py @@ -0,0 +1,51 @@ +from __future__ import unicode_literals +import sys +import operator + +try: + from collections import MutableMapping, Sequence # noqa +except ImportError: + from collections.abc import MutableMapping, Sequence # noqa + +PY3 = sys.version_info[0] >= 3 + +if PY3: + zip = zip + from urllib.parse import ( + unquote, urljoin, urlunsplit, SplitResult, urlsplit as _urlsplit + ) + from urllib.request import urlopen + str_types = str, + int_types = int, + iteritems = operator.methodcaller("items") +else: + from itertools import izip as zip # noqa + from urlparse import ( + urljoin, urlunsplit, SplitResult, urlsplit as _urlsplit # noqa + ) + from urllib import unquote # noqa + from urllib2 import urlopen # noqa + str_types = basestring + int_types = int, long + iteritems = operator.methodcaller("iteritems") + + +# On python < 3.3 fragments are not handled properly with unknown schemes +def urlsplit(url): + scheme, netloc, path, query, fragment = _urlsplit(url) + if "#" in path: + path, fragment = path.split("#", 1) + return SplitResult(scheme, netloc, path, query, fragment) + + +def urldefrag(url): + if "#" in url: + s, n, p, q, frag = urlsplit(url) + defrag = urlunsplit((s, n, p, q, '')) + else: + defrag = url + frag = '' + return defrag, frag + + +# flake8: noqa diff --git a/tools/extra/packager/jsonschema-2.3.0/jsonschema/exceptions.py b/tools/extra/packager/jsonschema-2.3.0/jsonschema/exceptions.py new file mode 100644 index 0000000..c3b784e --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/jsonschema/exceptions.py @@ -0,0 +1,230 @@ +import collections +import itertools +import pprint +import textwrap + +from jsonschema import _utils +from jsonschema.compat import PY3, iteritems + + +WEAK_MATCHES = frozenset(["anyOf", "oneOf"]) +STRONG_MATCHES = frozenset() + +_unset = _utils.Unset() + + +class _Error(Exception): + def __init__( + self, message, validator=_unset, path=(), cause=None, context=(), + validator_value=_unset, instance=_unset, schema=_unset, schema_path=(), + ): + self.message = message + self.path = collections.deque(path) + self.schema_path = collections.deque(schema_path) + self.context = list(context) + self.cause = self.__cause__ = cause + self.validator = validator + self.validator_value = validator_value + self.instance = instance + self.schema = schema + + def __repr__(self): + return "<%s: %r>" % (self.__class__.__name__, self.message) + + def __str__(self): + return unicode(self).encode("utf-8") + + def __unicode__(self): + if _unset in ( + self.validator, self.validator_value, self.instance, self.schema, + ): + return self.message + + path = _utils.format_as_index(self.path) + schema_path = _utils.format_as_index(list(self.schema_path)[:-1]) + + pschema = pprint.pformat(self.schema, width=72) + pinstance = pprint.pformat(self.instance, width=72) + return self.message + textwrap.dedent(""" + + Failed validating %r in schema%s: + %s + + On instance%s: + %s + """.rstrip() + ) % ( + self.validator, + schema_path, + _utils.indent(pschema), + path, + _utils.indent(pinstance), + ) + + if PY3: + __str__ = __unicode__ + + @classmethod + def create_from(cls, other): + return cls(**other._contents()) + + def _set(self, **kwargs): + for k, v in iteritems(kwargs): + if getattr(self, k) is _unset: + setattr(self, k, v) + + def _contents(self): + return dict( + (attr, getattr(self, attr)) for attr in ( + "message", "cause", "context", "path", "schema_path", + "validator", "validator_value", "instance", "schema" + ) + ) + + +class ValidationError(_Error): + pass + + +class SchemaError(_Error): + pass + + +class RefResolutionError(Exception): + pass + + +class UnknownType(Exception): + def __init__(self, type, instance, schema): + self.type = type + self.instance = instance + self.schema = schema + + def __str__(self): + return unicode(self).encode("utf-8") + + def __unicode__(self): + pschema = pprint.pformat(self.schema, width=72) + pinstance = pprint.pformat(self.instance, width=72) + return textwrap.dedent(""" + Unknown type %r for validator with schema: + %s + + While checking instance: + %s + """.rstrip() + ) % (self.type, _utils.indent(pschema), _utils.indent(pinstance)) + + if PY3: + __str__ = __unicode__ + + +class FormatError(Exception): + def __init__(self, message, cause=None): + super(FormatError, self).__init__(message, cause) + self.message = message + self.cause = self.__cause__ = cause + + def __str__(self): + return self.message.encode("utf-8") + + def __unicode__(self): + return self.message + + if PY3: + __str__ = __unicode__ + + +class ErrorTree(object): + """ + ErrorTrees make it easier to check which validations failed. + + """ + + _instance = _unset + + def __init__(self, errors=()): + self.errors = {} + self._contents = collections.defaultdict(self.__class__) + + for error in errors: + container = self + for element in error.path: + container = container[element] + container.errors[error.validator] = error + + self._instance = error.instance + + def __contains__(self, index): + """ + Check whether ``instance[index]`` has any errors. + + """ + + return index in self._contents + + def __getitem__(self, index): + """ + Retrieve the child tree one level down at the given ``index``. + + If the index is not in the instance that this tree corresponds to and + is not known by this tree, whatever error would be raised by + ``instance.__getitem__`` will be propagated (usually this is some + subclass of :class:`LookupError`. + + """ + + if self._instance is not _unset and index not in self: + self._instance[index] + return self._contents[index] + + def __setitem__(self, index, value): + self._contents[index] = value + + def __iter__(self): + """ + Iterate (non-recursively) over the indices in the instance with errors. + + """ + + return iter(self._contents) + + def __len__(self): + """ + Same as :attr:`total_errors`. + + """ + + return self.total_errors + + def __repr__(self): + return "<%s (%s total errors)>" % (self.__class__.__name__, len(self)) + + @property + def total_errors(self): + """ + The total number of errors in the entire tree, including children. + + """ + + child_errors = sum(len(tree) for _, tree in iteritems(self._contents)) + return len(self.errors) + child_errors + + +def by_relevance(weak=WEAK_MATCHES, strong=STRONG_MATCHES): + def relevance(error): + validator = error.validator + return -len(error.path), validator not in weak, validator in strong + return relevance + + +def best_match(errors, key=by_relevance()): + errors = iter(errors) + best = next(errors, None) + if best is None: + return + best = max(itertools.chain([best], errors), key=key) + + while best.context: + best = min(best.context, key=key) + return best diff --git a/tools/extra/packager/jsonschema-2.3.0/jsonschema/schemas/draft3.json b/tools/extra/packager/jsonschema-2.3.0/jsonschema/schemas/draft3.json new file mode 100644 index 0000000..5bcefe3 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/jsonschema/schemas/draft3.json @@ -0,0 +1,201 @@ +{ + "$schema": "http://json-schema.org/draft-03/schema#", + "dependencies": { + "exclusiveMaximum": "maximum", + "exclusiveMinimum": "minimum" + }, + "id": "http://json-schema.org/draft-03/schema#", + "properties": { + "$ref": { + "format": "uri", + "type": "string" + }, + "$schema": { + "format": "uri", + "type": "string" + }, + "additionalItems": { + "default": {}, + "type": [ + { + "$ref": "#" + }, + "boolean" + ] + }, + "additionalProperties": { + "default": {}, + "type": [ + { + "$ref": "#" + }, + "boolean" + ] + }, + "default": { + "type": "any" + }, + "dependencies": { + "additionalProperties": { + "items": { + "type": "string" + }, + "type": [ + "string", + "array", + { + "$ref": "#" + } + ] + }, + "default": {}, + "type": [ + "string", + "array", + "object" + ] + }, + "description": { + "type": "string" + }, + "disallow": { + "items": { + "type": [ + "string", + { + "$ref": "#" + } + ] + }, + "type": [ + "string", + "array" + ], + "uniqueItems": true + }, + "divisibleBy": { + "default": 1, + "exclusiveMinimum": true, + "minimum": 0, + "type": "number" + }, + "enum": { + "minItems": 1, + "type": "array", + "uniqueItems": true + }, + "exclusiveMaximum": { + "default": false, + "type": "boolean" + }, + "exclusiveMinimum": { + "default": false, + "type": "boolean" + }, + "extends": { + "default": {}, + "items": { + "$ref": "#" + }, + "type": [ + { + "$ref": "#" + }, + "array" + ] + }, + "format": { + "type": "string" + }, + "id": { + "format": "uri", + "type": "string" + }, + "items": { + "default": {}, + "items": { + "$ref": "#" + }, + "type": [ + { + "$ref": "#" + }, + "array" + ] + }, + "maxDecimal": { + "minimum": 0, + "type": "number" + }, + "maxItems": { + "minimum": 0, + "type": "integer" + }, + "maxLength": { + "type": "integer" + }, + "maximum": { + "type": "number" + }, + "minItems": { + "default": 0, + "minimum": 0, + "type": "integer" + }, + "minLength": { + "default": 0, + "minimum": 0, + "type": "integer" + }, + "minimum": { + "type": "number" + }, + "pattern": { + "format": "regex", + "type": "string" + }, + "patternProperties": { + "additionalProperties": { + "$ref": "#" + }, + "default": {}, + "type": "object" + }, + "properties": { + "additionalProperties": { + "$ref": "#", + "type": "object" + }, + "default": {}, + "type": "object" + }, + "required": { + "default": false, + "type": "boolean" + }, + "title": { + "type": "string" + }, + "type": { + "default": "any", + "items": { + "type": [ + "string", + { + "$ref": "#" + } + ] + }, + "type": [ + "string", + "array" + ], + "uniqueItems": true + }, + "uniqueItems": { + "default": false, + "type": "boolean" + } + }, + "type": "object" +} diff --git a/tools/extra/packager/jsonschema-2.3.0/jsonschema/schemas/draft4.json b/tools/extra/packager/jsonschema-2.3.0/jsonschema/schemas/draft4.json new file mode 100644 index 0000000..fead5ce --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/jsonschema/schemas/draft4.json @@ -0,0 +1,221 @@ +{ + "$schema": "http://json-schema.org/draft-04/schema#", + "default": {}, + "definitions": { + "positiveInteger": { + "minimum": 0, + "type": "integer" + }, + "positiveIntegerDefault0": { + "allOf": [ + { + "$ref": "#/definitions/positiveInteger" + }, + { + "default": 0 + } + ] + }, + "schemaArray": { + "items": { + "$ref": "#" + }, + "minItems": 1, + "type": "array" + }, + "simpleTypes": { + "enum": [ + "array", + "boolean", + "integer", + "null", + "number", + "object", + "string" + ] + }, + "stringArray": { + "items": { + "type": "string" + }, + "minItems": 1, + "type": "array", + "uniqueItems": true + } + }, + "dependencies": { + "exclusiveMaximum": [ + "maximum" + ], + "exclusiveMinimum": [ + "minimum" + ] + }, + "description": "Core schema meta-schema", + "id": "http://json-schema.org/draft-04/schema#", + "properties": { + "$schema": { + "format": "uri", + "type": "string" + }, + "additionalItems": { + "anyOf": [ + { + "type": "boolean" + }, + { + "$ref": "#" + } + ], + "default": {} + }, + "additionalProperties": { + "anyOf": [ + { + "type": "boolean" + }, + { + "$ref": "#" + } + ], + "default": {} + }, + "allOf": { + "$ref": "#/definitions/schemaArray" + }, + "anyOf": { + "$ref": "#/definitions/schemaArray" + }, + "default": {}, + "definitions": { + "additionalProperties": { + "$ref": "#" + }, + "default": {}, + "type": "object" + }, + "dependencies": { + "additionalProperties": { + "anyOf": [ + { + "$ref": "#" + }, + { + "$ref": "#/definitions/stringArray" + } + ] + }, + "type": "object" + }, + "description": { + "type": "string" + }, + "enum": { + "minItems": 1, + "type": "array", + "uniqueItems": true + }, + "exclusiveMaximum": { + "default": false, + "type": "boolean" + }, + "exclusiveMinimum": { + "default": false, + "type": "boolean" + }, + "id": { + "format": "uri", + "type": "string" + }, + "items": { + "anyOf": [ + { + "$ref": "#" + }, + { + "$ref": "#/definitions/schemaArray" + } + ], + "default": {} + }, + "maxItems": { + "$ref": "#/definitions/positiveInteger" + }, + "maxLength": { + "$ref": "#/definitions/positiveInteger" + }, + "maxProperties": { + "$ref": "#/definitions/positiveInteger" + }, + "maximum": { + "type": "number" + }, + "minItems": { + "$ref": "#/definitions/positiveIntegerDefault0" + }, + "minLength": { + "$ref": "#/definitions/positiveIntegerDefault0" + }, + "minProperties": { + "$ref": "#/definitions/positiveIntegerDefault0" + }, + "minimum": { + "type": "number" + }, + "multipleOf": { + "exclusiveMinimum": true, + "minimum": 0, + "type": "number" + }, + "not": { + "$ref": "#" + }, + "oneOf": { + "$ref": "#/definitions/schemaArray" + }, + "pattern": { + "format": "regex", + "type": "string" + }, + "patternProperties": { + "additionalProperties": { + "$ref": "#" + }, + "default": {}, + "type": "object" + }, + "properties": { + "additionalProperties": { + "$ref": "#" + }, + "default": {}, + "type": "object" + }, + "required": { + "$ref": "#/definitions/stringArray" + }, + "title": { + "type": "string" + }, + "type": { + "anyOf": [ + { + "$ref": "#/definitions/simpleTypes" + }, + { + "items": { + "$ref": "#/definitions/simpleTypes" + }, + "minItems": 1, + "type": "array", + "uniqueItems": true + } + ] + }, + "uniqueItems": { + "default": false, + "type": "boolean" + } + }, + "type": "object" +} diff --git a/tools/extra/packager/jsonschema-2.3.0/jsonschema/tests/__init__.py b/tools/extra/packager/jsonschema-2.3.0/jsonschema/tests/__init__.py new file mode 100644 index 0000000..e69de29 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/jsonschema/tests/__init__.py diff --git a/tools/extra/packager/jsonschema-2.3.0/jsonschema/tests/compat.py b/tools/extra/packager/jsonschema-2.3.0/jsonschema/tests/compat.py new file mode 100644 index 0000000..b37483f --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/jsonschema/tests/compat.py @@ -0,0 +1,15 @@ +import sys + + +if sys.version_info[:2] < (2, 7): # pragma: no cover + import unittest2 as unittest +else: + import unittest + +try: + from unittest import mock +except ImportError: + import mock + + +# flake8: noqa diff --git a/tools/extra/packager/jsonschema-2.3.0/jsonschema/tests/test_exceptions.py b/tools/extra/packager/jsonschema-2.3.0/jsonschema/tests/test_exceptions.py new file mode 100644 index 0000000..1813df8 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/jsonschema/tests/test_exceptions.py @@ -0,0 +1,270 @@ +from jsonschema import Draft4Validator, exceptions +from jsonschema.tests.compat import mock, unittest + + +class TestBestMatch(unittest.TestCase): + def best_match(self, errors): + errors = list(errors) + best = exceptions.best_match(errors) + reversed_best = exceptions.best_match(reversed(errors)) + self.assertEqual( + best, + reversed_best, + msg="Didn't return a consistent best match!\n" + "Got: {0}\n\nThen: {1}".format(best, reversed_best), + ) + return best + + def test_shallower_errors_are_better_matches(self): + validator = Draft4Validator( + { + "properties": { + "foo": { + "minProperties": 2, + "properties": {"bar": {"type": "object"}}, + } + } + } + ) + best = self.best_match(validator.iter_errors({"foo": {"bar": []}})) + self.assertEqual(best.validator, "minProperties") + + def test_oneOf_and_anyOf_are_weak_matches(self): + """ + A property you *must* match is probably better than one you have to + match a part of. + + """ + + validator = Draft4Validator( + { + "minProperties": 2, + "anyOf": [{"type": "string"}, {"type": "number"}], + "oneOf": [{"type": "string"}, {"type": "number"}], + } + ) + best = self.best_match(validator.iter_errors({})) + self.assertEqual(best.validator, "minProperties") + + def test_if_the_most_relevant_error_is_anyOf_it_is_traversed(self): + """ + If the most relevant error is an anyOf, then we traverse its context + and select the otherwise *least* relevant error, since in this case + that means the most specific, deep, error inside the instance. + + I.e. since only one of the schemas must match, we look for the most + relevant one. + + """ + + validator = Draft4Validator( + { + "properties": { + "foo": { + "anyOf": [ + {"type": "string"}, + {"properties": {"bar": {"type": "array"}}}, + ], + }, + }, + }, + ) + best = self.best_match(validator.iter_errors({"foo": {"bar": 12}})) + self.assertEqual(best.validator_value, "array") + + def test_if_the_most_relevant_error_is_oneOf_it_is_traversed(self): + """ + If the most relevant error is an oneOf, then we traverse its context + and select the otherwise *least* relevant error, since in this case + that means the most specific, deep, error inside the instance. + + I.e. since only one of the schemas must match, we look for the most + relevant one. + + """ + + validator = Draft4Validator( + { + "properties": { + "foo": { + "oneOf": [ + {"type": "string"}, + {"properties": {"bar": {"type": "array"}}}, + ], + }, + }, + }, + ) + best = self.best_match(validator.iter_errors({"foo": {"bar": 12}})) + self.assertEqual(best.validator_value, "array") + + def test_if_the_most_relevant_error_is_allOf_it_is_traversed(self): + """ + Now, if the error is allOf, we traverse but select the *most* relevant + error from the context, because all schemas here must match anyways. + + """ + + validator = Draft4Validator( + { + "properties": { + "foo": { + "allOf": [ + {"type": "string"}, + {"properties": {"bar": {"type": "array"}}}, + ], + }, + }, + }, + ) + best = self.best_match(validator.iter_errors({"foo": {"bar": 12}})) + self.assertEqual(best.validator_value, "string") + + def test_nested_context_for_oneOf(self): + validator = Draft4Validator( + { + "properties": { + "foo": { + "oneOf": [ + {"type": "string"}, + { + "oneOf": [ + {"type": "string"}, + { + "properties": { + "bar": {"type": "array"} + }, + }, + ], + }, + ], + }, + }, + }, + ) + best = self.best_match(validator.iter_errors({"foo": {"bar": 12}})) + self.assertEqual(best.validator_value, "array") + + def test_one_error(self): + validator = Draft4Validator({"minProperties": 2}) + error, = validator.iter_errors({}) + self.assertEqual( + exceptions.best_match(validator.iter_errors({})).validator, + "minProperties", + ) + + def test_no_errors(self): + validator = Draft4Validator({}) + self.assertIsNone(exceptions.best_match(validator.iter_errors({}))) + + +class TestByRelevance(unittest.TestCase): + def test_short_paths_are_better_matches(self): + shallow = exceptions.ValidationError("Oh no!", path=["baz"]) + deep = exceptions.ValidationError("Oh yes!", path=["foo", "bar"]) + match = max([shallow, deep], key=exceptions.by_relevance()) + self.assertIs(match, shallow) + + match = max([deep, shallow], key=exceptions.by_relevance()) + self.assertIs(match, shallow) + + def test_global_errors_are_even_better_matches(self): + shallow = exceptions.ValidationError("Oh no!", path=[]) + deep = exceptions.ValidationError("Oh yes!", path=["foo"]) + + errors = sorted([shallow, deep], key=exceptions.by_relevance()) + self.assertEqual( + [list(error.path) for error in errors], + [["foo"], []], + ) + + errors = sorted([deep, shallow], key=exceptions.by_relevance()) + self.assertEqual( + [list(error.path) for error in errors], + [["foo"], []], + ) + + def test_weak_validators_are_lower_priority(self): + weak = exceptions.ValidationError("Oh no!", path=[], validator="a") + normal = exceptions.ValidationError("Oh yes!", path=[], validator="b") + + best_match = exceptions.by_relevance(weak="a") + + match = max([weak, normal], key=best_match) + self.assertIs(match, normal) + + match = max([normal, weak], key=best_match) + self.assertIs(match, normal) + + def test_strong_validators_are_higher_priority(self): + weak = exceptions.ValidationError("Oh no!", path=[], validator="a") + normal = exceptions.ValidationError("Oh yes!", path=[], validator="b") + strong = exceptions.ValidationError("Oh fine!", path=[], validator="c") + + best_match = exceptions.by_relevance(weak="a", strong="c") + + match = max([weak, normal, strong], key=best_match) + self.assertIs(match, strong) + + match = max([strong, normal, weak], key=best_match) + self.assertIs(match, strong) + + +class TestErrorTree(unittest.TestCase): + def test_it_knows_how_many_total_errors_it_contains(self): + errors = [mock.MagicMock() for _ in range(8)] + tree = exceptions.ErrorTree(errors) + self.assertEqual(tree.total_errors, 8) + + def test_it_contains_an_item_if_the_item_had_an_error(self): + errors = [exceptions.ValidationError("a message", path=["bar"])] + tree = exceptions.ErrorTree(errors) + self.assertIn("bar", tree) + + def test_it_does_not_contain_an_item_if_the_item_had_no_error(self): + errors = [exceptions.ValidationError("a message", path=["bar"])] + tree = exceptions.ErrorTree(errors) + self.assertNotIn("foo", tree) + + def test_validators_that_failed_appear_in_errors_dict(self): + error = exceptions.ValidationError("a message", validator="foo") + tree = exceptions.ErrorTree([error]) + self.assertEqual(tree.errors, {"foo": error}) + + def test_it_creates_a_child_tree_for_each_nested_path(self): + errors = [ + exceptions.ValidationError("a bar message", path=["bar"]), + exceptions.ValidationError("a bar -> 0 message", path=["bar", 0]), + ] + tree = exceptions.ErrorTree(errors) + self.assertIn(0, tree["bar"]) + self.assertNotIn(1, tree["bar"]) + + def test_children_have_their_errors_dicts_built(self): + e1, e2 = ( + exceptions.ValidationError("1", validator="foo", path=["bar", 0]), + exceptions.ValidationError("2", validator="quux", path=["bar", 0]), + ) + tree = exceptions.ErrorTree([e1, e2]) + self.assertEqual(tree["bar"][0].errors, {"foo": e1, "quux": e2}) + + def test_it_does_not_contain_subtrees_that_are_not_in_the_instance(self): + error = exceptions.ValidationError("123", validator="foo", instance=[]) + tree = exceptions.ErrorTree([error]) + + with self.assertRaises(IndexError): + tree[0] + + def test_if_its_in_the_tree_anyhow_it_does_not_raise_an_error(self): + """ + If a validator is dumb (like:validator:`required` in draft 3) and + refers to a path that isn't in the instance, the tree still properly + returns a subtree for that path. + + """ + + error = exceptions.ValidationError( + "a message", validator="foo", instance={}, path=["foo"], + ) + tree = exceptions.ErrorTree([error]) + self.assertIsInstance(tree["foo"], exceptions.ErrorTree) diff --git a/tools/extra/packager/jsonschema-2.3.0/jsonschema/tests/test_format.py b/tools/extra/packager/jsonschema-2.3.0/jsonschema/tests/test_format.py new file mode 100644 index 0000000..ee49e2f --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/jsonschema/tests/test_format.py @@ -0,0 +1,63 @@ +""" +Tests for the parts of jsonschema related to the :validator:`format` property. + +""" + +from jsonschema.tests.compat import mock, unittest + +from jsonschema import FormatError, ValidationError, FormatChecker +from jsonschema.validators import Draft4Validator + + +class TestFormatChecker(unittest.TestCase): + def setUp(self): + self.fn = mock.Mock() + + def test_it_can_validate_no_formats(self): + checker = FormatChecker(formats=()) + self.assertFalse(checker.checkers) + + def test_it_raises_a_key_error_for_unknown_formats(self): + with self.assertRaises(KeyError): + FormatChecker(formats=["o noes"]) + + def test_it_can_register_cls_checkers(self): + with mock.patch.dict(FormatChecker.checkers, clear=True): + FormatChecker.cls_checks("new")(self.fn) + self.assertEqual(FormatChecker.checkers, {"new": (self.fn, ())}) + + def test_it_can_register_checkers(self): + checker = FormatChecker() + checker.checks("new")(self.fn) + self.assertEqual( + checker.checkers, + dict(FormatChecker.checkers, new=(self.fn, ())) + ) + + def test_it_catches_registered_errors(self): + checker = FormatChecker() + cause = self.fn.side_effect = ValueError() + + checker.checks("foo", raises=ValueError)(self.fn) + + with self.assertRaises(FormatError) as cm: + checker.check("bar", "foo") + + self.assertIs(cm.exception.cause, cause) + self.assertIs(cm.exception.__cause__, cause) + + # Unregistered errors should not be caught + self.fn.side_effect = AttributeError + with self.assertRaises(AttributeError): + checker.check("bar", "foo") + + def test_format_error_causes_become_validation_error_causes(self): + checker = FormatChecker() + checker.checks("foo", raises=ValueError)(self.fn) + cause = self.fn.side_effect = ValueError() + validator = Draft4Validator({"format": "foo"}, format_checker=checker) + + with self.assertRaises(ValidationError) as cm: + validator.validate("bar") + + self.assertIs(cm.exception.__cause__, cause) diff --git a/tools/extra/packager/jsonschema-2.3.0/jsonschema/tests/test_jsonschema_test_suite.py b/tools/extra/packager/jsonschema-2.3.0/jsonschema/tests/test_jsonschema_test_suite.py new file mode 100644 index 0000000..d0689cb --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/jsonschema/tests/test_jsonschema_test_suite.py @@ -0,0 +1,269 @@ +""" +Test runner for the JSON Schema official test suite + +Tests comprehensive correctness of each draft's validator. + +See https://github.com/json-schema/JSON-Schema-Test-Suite for details. + +""" + +from decimal import Decimal +import glob +import json +import io +import itertools +import os +import re +import subprocess + +try: + from sys import pypy_version_info +except ImportError: + pypy_version_info = None + +from jsonschema import ( + FormatError, SchemaError, ValidationError, Draft3Validator, + Draft4Validator, FormatChecker, draft3_format_checker, + draft4_format_checker, validate, +) +from jsonschema.compat import PY3 +from jsonschema.tests.compat import mock, unittest +import jsonschema + + +REPO_ROOT = os.path.join(os.path.dirname(jsonschema.__file__), os.path.pardir) +SUITE = os.getenv("JSON_SCHEMA_TEST_SUITE", os.path.join(REPO_ROOT, "json")) + +if not os.path.isdir(SUITE): + raise ValueError( + "Can't find the JSON-Schema-Test-Suite directory. Set the " + "'JSON_SCHEMA_TEST_SUITE' environment variable or run the tests from " + "alongside a checkout of the suite." + ) + +TESTS_DIR = os.path.join(SUITE, "tests") +JSONSCHEMA_SUITE = os.path.join(SUITE, "bin", "jsonschema_suite") + +REMOTES = subprocess.Popen( + ["python", JSONSCHEMA_SUITE, "remotes"], stdout=subprocess.PIPE, +).stdout +if PY3: + REMOTES = io.TextIOWrapper(REMOTES) +REMOTES = json.load(REMOTES) + + +def make_case(schema, data, valid, name): + if valid: + def test_case(self): + kwargs = getattr(self, "validator_kwargs", {}) + validate(data, schema, cls=self.validator_class, **kwargs) + else: + def test_case(self): + kwargs = getattr(self, "validator_kwargs", {}) + with self.assertRaises(ValidationError): + validate(data, schema, cls=self.validator_class, **kwargs) + + if not PY3: + name = name.encode("utf-8") + test_case.__name__ = name + + return test_case + + +def maybe_skip(skip, test, case): + if skip is not None: + reason = skip(case) + if reason is not None: + test = unittest.skip(reason)(test) + return test + + +def load_json_cases(tests_glob, ignore_glob="", basedir=TESTS_DIR, skip=None): + if ignore_glob: + ignore_glob = os.path.join(basedir, ignore_glob) + + def add_test_methods(test_class): + ignored = set(glob.iglob(ignore_glob)) + + for filename in glob.iglob(os.path.join(basedir, tests_glob)): + if filename in ignored: + continue + + validating, _ = os.path.splitext(os.path.basename(filename)) + id = itertools.count(1) + + with open(filename) as test_file: + for case in json.load(test_file): + for test in case["tests"]: + name = "test_%s_%s_%s" % ( + validating, + next(id), + re.sub(r"[\W ]+", "_", test["description"]), + ) + assert not hasattr(test_class, name), name + + test_case = make_case( + data=test["data"], + schema=case["schema"], + valid=test["valid"], + name=name, + ) + test_case = maybe_skip(skip, test_case, case) + setattr(test_class, name, test_case) + + return test_class + return add_test_methods + + +class TypesMixin(object): + @unittest.skipIf(PY3, "In Python 3 json.load always produces unicode") + def test_string_a_bytestring_is_a_string(self): + self.validator_class({"type": "string"}).validate(b"foo") + + +class DecimalMixin(object): + def test_it_can_validate_with_decimals(self): + schema = {"type": "number"} + validator = self.validator_class( + schema, types={"number": (int, float, Decimal)} + ) + + for valid in [1, 1.1, Decimal(1) / Decimal(8)]: + validator.validate(valid) + + for invalid in ["foo", {}, [], True, None]: + with self.assertRaises(ValidationError): + validator.validate(invalid) + + +def missing_format(checker): + def missing_format(case): + format = case["schema"].get("format") + if format not in checker.checkers: + return "Format checker {0!r} not found.".format(format) + elif ( + format == "date-time" and + pypy_version_info is not None and + pypy_version_info[:2] <= (1, 9) + ): + # datetime.datetime is overzealous about typechecking in <=1.9 + return "datetime.datetime is broken on this version of PyPy." + return missing_format + + +class FormatMixin(object): + def test_it_returns_true_for_formats_it_does_not_know_about(self): + validator = self.validator_class( + {"format": "carrot"}, format_checker=FormatChecker(), + ) + validator.validate("bugs") + + def test_it_does_not_validate_formats_by_default(self): + validator = self.validator_class({}) + self.assertIsNone(validator.format_checker) + + def test_it_validates_formats_if_a_checker_is_provided(self): + checker = mock.Mock(spec=FormatChecker) + validator = self.validator_class( + {"format": "foo"}, format_checker=checker, + ) + + validator.validate("bar") + + checker.check.assert_called_once_with("bar", "foo") + + cause = ValueError() + checker.check.side_effect = FormatError('aoeu', cause=cause) + + with self.assertRaises(ValidationError) as cm: + validator.validate("bar") + # Make sure original cause is attached + self.assertIs(cm.exception.cause, cause) + + def test_it_validates_formats_of_any_type(self): + checker = mock.Mock(spec=FormatChecker) + validator = self.validator_class( + {"format": "foo"}, format_checker=checker, + ) + + validator.validate([1, 2, 3]) + + checker.check.assert_called_once_with([1, 2, 3], "foo") + + cause = ValueError() + checker.check.side_effect = FormatError('aoeu', cause=cause) + + with self.assertRaises(ValidationError) as cm: + validator.validate([1, 2, 3]) + # Make sure original cause is attached + self.assertIs(cm.exception.cause, cause) + + +@load_json_cases("draft3/*.json", ignore_glob="draft3/refRemote.json") +@load_json_cases( + "draft3/optional/format.json", skip=missing_format(draft3_format_checker) +) +@load_json_cases("draft3/optional/bignum.json") +@load_json_cases("draft3/optional/zeroTerminatedFloats.json") +class TestDraft3(unittest.TestCase, TypesMixin, DecimalMixin, FormatMixin): + validator_class = Draft3Validator + validator_kwargs = {"format_checker": draft3_format_checker} + + def test_any_type_is_valid_for_type_any(self): + validator = self.validator_class({"type": "any"}) + validator.validate(mock.Mock()) + + # TODO: we're in need of more meta schema tests + def test_invalid_properties(self): + with self.assertRaises(SchemaError): + validate({}, {"properties": {"test": True}}, + cls=self.validator_class) + + def test_minItems_invalid_string(self): + with self.assertRaises(SchemaError): + # needs to be an integer + validate([1], {"minItems": "1"}, cls=self.validator_class) + + +@load_json_cases("draft4/*.json", ignore_glob="draft4/refRemote.json") +@load_json_cases( + "draft4/optional/format.json", skip=missing_format(draft4_format_checker) +) +@load_json_cases("draft4/optional/bignum.json") +@load_json_cases("draft4/optional/zeroTerminatedFloats.json") +class TestDraft4(unittest.TestCase, TypesMixin, DecimalMixin, FormatMixin): + validator_class = Draft4Validator + validator_kwargs = {"format_checker": draft4_format_checker} + + # TODO: we're in need of more meta schema tests + def test_invalid_properties(self): + with self.assertRaises(SchemaError): + validate({}, {"properties": {"test": True}}, + cls=self.validator_class) + + def test_minItems_invalid_string(self): + with self.assertRaises(SchemaError): + # needs to be an integer + validate([1], {"minItems": "1"}, cls=self.validator_class) + + +class RemoteRefResolutionMixin(object): + def setUp(self): + patch = mock.patch("jsonschema.validators.requests") + requests = patch.start() + requests.get.side_effect = self.resolve + self.addCleanup(patch.stop) + + def resolve(self, reference): + _, _, reference = reference.partition("http://localhost:1234/") + return mock.Mock(**{"json.return_value": REMOTES.get(reference)}) + + +@load_json_cases("draft3/refRemote.json") +class Draft3RemoteResolution(RemoteRefResolutionMixin, unittest.TestCase): + validator_class = Draft3Validator + + +@load_json_cases("draft4/refRemote.json") +class Draft4RemoteResolution(RemoteRefResolutionMixin, unittest.TestCase): + validator_class = Draft4Validator diff --git a/tools/extra/packager/jsonschema-2.3.0/jsonschema/tests/test_validators.py b/tools/extra/packager/jsonschema-2.3.0/jsonschema/tests/test_validators.py new file mode 100644 index 0000000..60cee8c --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/jsonschema/tests/test_validators.py @@ -0,0 +1,811 @@ +from __future__ import unicode_literals +import contextlib +import json +import pprint +import textwrap + +from jsonschema import FormatChecker, ValidationError +from jsonschema.compat import PY3 +from jsonschema.tests.compat import mock, unittest +from jsonschema.validators import ( + RefResolutionError, UnknownType, Draft3Validator, + Draft4Validator, RefResolver, create, extend, validator_for, validate, +) + + +class TestCreateAndExtend(unittest.TestCase): + def setUp(self): + self.meta_schema = {"properties": {"smelly": {}}} + self.smelly = mock.MagicMock() + self.validators = {"smelly": self.smelly} + self.types = {"dict": dict} + self.Validator = create( + meta_schema=self.meta_schema, + validators=self.validators, + default_types=self.types, + ) + + self.validator_value = 12 + self.schema = {"smelly": self.validator_value} + self.validator = self.Validator(self.schema) + + def test_attrs(self): + self.assertEqual(self.Validator.VALIDATORS, self.validators) + self.assertEqual(self.Validator.META_SCHEMA, self.meta_schema) + self.assertEqual(self.Validator.DEFAULT_TYPES, self.types) + + def test_init(self): + self.assertEqual(self.validator.schema, self.schema) + + def test_iter_errors(self): + instance = "hello" + + self.smelly.return_value = [] + self.assertEqual(list(self.validator.iter_errors(instance)), []) + + error = mock.Mock() + self.smelly.return_value = [error] + self.assertEqual(list(self.validator.iter_errors(instance)), [error]) + + self.smelly.assert_called_with( + self.validator, self.validator_value, instance, self.schema, + ) + + def test_if_a_version_is_provided_it_is_registered(self): + with mock.patch("jsonschema.validators.validates") as validates: + validates.side_effect = lambda version: lambda cls: cls + Validator = create(meta_schema={"id": "id"}, version="my version") + validates.assert_called_once_with("my version") + self.assertEqual(Validator.__name__, "MyVersionValidator") + + def test_if_a_version_is_not_provided_it_is_not_registered(self): + with mock.patch("jsonschema.validators.validates") as validates: + create(meta_schema={"id": "id"}) + self.assertFalse(validates.called) + + def test_extend(self): + validators = dict(self.Validator.VALIDATORS) + new = mock.Mock() + + Extended = extend(self.Validator, validators={"a new one": new}) + + validators.update([("a new one", new)]) + self.assertEqual(Extended.VALIDATORS, validators) + self.assertNotIn("a new one", self.Validator.VALIDATORS) + + self.assertEqual(Extended.META_SCHEMA, self.Validator.META_SCHEMA) + self.assertEqual(Extended.DEFAULT_TYPES, self.Validator.DEFAULT_TYPES) + + +class TestIterErrors(unittest.TestCase): + def setUp(self): + self.validator = Draft3Validator({}) + + def test_iter_errors(self): + instance = [1, 2] + schema = { + "disallow": "array", + "enum": [["a", "b", "c"], ["d", "e", "f"]], + "minItems": 3 + } + + got = (e.message for e in self.validator.iter_errors(instance, schema)) + expected = [ + "%r is disallowed for [1, 2]" % (schema["disallow"],), + "[1, 2] is too short", + "[1, 2] is not one of %r" % (schema["enum"],), + ] + self.assertEqual(sorted(got), sorted(expected)) + + def test_iter_errors_multiple_failures_one_validator(self): + instance = {"foo": 2, "bar": [1], "baz": 15, "quux": "spam"} + schema = { + "properties": { + "foo": {"type": "string"}, + "bar": {"minItems": 2}, + "baz": {"maximum": 10, "enum": [2, 4, 6, 8]}, + } + } + + errors = list(self.validator.iter_errors(instance, schema)) + self.assertEqual(len(errors), 4) + + +class TestValidationErrorMessages(unittest.TestCase): + def message_for(self, instance, schema, *args, **kwargs): + kwargs.setdefault("cls", Draft3Validator) + with self.assertRaises(ValidationError) as e: + validate(instance, schema, *args, **kwargs) + return e.exception.message + + def test_single_type_failure(self): + message = self.message_for(instance=1, schema={"type": "string"}) + self.assertEqual(message, "1 is not of type %r" % "string") + + def test_single_type_list_failure(self): + message = self.message_for(instance=1, schema={"type": ["string"]}) + self.assertEqual(message, "1 is not of type %r" % "string") + + def test_multiple_type_failure(self): + types = ("string", "object") + message = self.message_for(instance=1, schema={"type": list(types)}) + self.assertEqual(message, "1 is not of type %r, %r" % types) + + def test_object_without_title_type_failure(self): + type = {"type": [{"minimum": 3}]} + message = self.message_for(instance=1, schema={"type": [type]}) + self.assertEqual(message, "1 is not of type %r" % (type,)) + + def test_object_with_name_type_failure(self): + name = "Foo" + schema = {"type": [{"name": name, "minimum": 3}]} + message = self.message_for(instance=1, schema=schema) + self.assertEqual(message, "1 is not of type %r" % (name,)) + + def test_dependencies_failure_has_single_element_not_list(self): + depend, on = "bar", "foo" + schema = {"dependencies": {depend: on}} + message = self.message_for({"bar": 2}, schema) + self.assertEqual(message, "%r is a dependency of %r" % (on, depend)) + + def test_additionalItems_single_failure(self): + message = self.message_for( + [2], {"items": [], "additionalItems": False}, + ) + self.assertIn("(2 was unexpected)", message) + + def test_additionalItems_multiple_failures(self): + message = self.message_for( + [1, 2, 3], {"items": [], "additionalItems": False} + ) + self.assertIn("(1, 2, 3 were unexpected)", message) + + def test_additionalProperties_single_failure(self): + additional = "foo" + schema = {"additionalProperties": False} + message = self.message_for({additional: 2}, schema) + self.assertIn("(%r was unexpected)" % (additional,), message) + + def test_additionalProperties_multiple_failures(self): + schema = {"additionalProperties": False} + message = self.message_for(dict.fromkeys(["foo", "bar"]), schema) + + self.assertIn(repr("foo"), message) + self.assertIn(repr("bar"), message) + self.assertIn("were unexpected)", message) + + def test_invalid_format_default_message(self): + checker = FormatChecker(formats=()) + check_fn = mock.Mock(return_value=False) + checker.checks("thing")(check_fn) + + schema = {"format": "thing"} + message = self.message_for("bla", schema, format_checker=checker) + + self.assertIn(repr("bla"), message) + self.assertIn(repr("thing"), message) + self.assertIn("is not a", message) + + +class TestErrorReprStr(unittest.TestCase): + + message = "hello" + + def setUp(self): + self.error = ValidationError( + message=self.message, + validator="type", + validator_value="string", + instance=5, + schema={"type": "string"}, + ) + + def assertShows(self, message): + if PY3: + message = message.replace("u'", "'") + message = textwrap.dedent(message).rstrip("\n") + + message_line, _, rest = str(self.error).partition("\n") + self.assertEqual(message_line, self.message) + self.assertEqual(rest, message) + + def test_repr(self): + self.assertEqual( + repr(self.error), + "" % self.message, + ) + + def test_unset_error(self): + error = ValidationError("message") + self.assertEqual(str(error), "message") + + kwargs = { + "validator": "type", + "validator_value": "string", + "instance": 5, + "schema": {"type": "string"} + } + # Just the message should show if any of the attributes are unset + for attr in kwargs: + k = dict(kwargs) + del k[attr] + error = ValidationError("message", **k) + self.assertEqual(str(error), "message") + + def test_empty_paths(self): + self.error.path = self.error.schema_path = [] + self.assertShows( + """ + Failed validating u'type' in schema: + {u'type': u'string'} + + On instance: + 5 + """ + ) + + def test_one_item_paths(self): + self.error.path = [0] + self.error.schema_path = ["items"] + self.assertShows( + """ + Failed validating u'type' in schema: + {u'type': u'string'} + + On instance[0]: + 5 + """ + ) + + def test_multiple_item_paths(self): + self.error.path = [0, "a"] + self.error.schema_path = ["items", 0, 1] + self.assertShows( + """ + Failed validating u'type' in schema[u'items'][0]: + {u'type': u'string'} + + On instance[0][u'a']: + 5 + """ + ) + + def test_uses_pprint(self): + with mock.patch.object(pprint, "pformat") as pformat: + str(self.error) + self.assertGreater(pformat.call_count, 1) # schema + instance + + +class TestValidationErrorDetails(unittest.TestCase): + # TODO: These really need unit tests for each individual validator, rather + # than just these higher level tests. + def test_anyOf(self): + instance = 5 + schema = { + "anyOf": [ + {"minimum": 20}, + {"type": "string"} + ] + } + + validator = Draft4Validator(schema) + errors = list(validator.iter_errors(instance)) + self.assertEqual(len(errors), 1) + e = errors[0] + + self.assertEqual(e.validator, "anyOf") + self.assertEqual(list(e.schema_path), ["anyOf"]) + self.assertEqual(e.validator_value, schema["anyOf"]) + self.assertEqual(e.instance, instance) + self.assertEqual(e.schema, schema) + self.assertEqual(list(e.path), []) + self.assertEqual(len(e.context), 2) + + e1, e2 = sorted_errors(e.context) + + self.assertEqual(e1.validator, "minimum") + self.assertEqual(list(e1.schema_path), [0, "minimum"]) + self.assertEqual(e1.validator_value, schema["anyOf"][0]["minimum"]) + self.assertEqual(e1.instance, instance) + self.assertEqual(e1.schema, schema["anyOf"][0]) + self.assertEqual(list(e1.path), []) + self.assertEqual(len(e1.context), 0) + + self.assertEqual(e2.validator, "type") + self.assertEqual(list(e2.schema_path), [1, "type"]) + self.assertEqual(e2.validator_value, schema["anyOf"][1]["type"]) + self.assertEqual(e2.instance, instance) + self.assertEqual(e2.schema, schema["anyOf"][1]) + self.assertEqual(list(e2.path), []) + self.assertEqual(len(e2.context), 0) + + def test_type(self): + instance = {"foo": 1} + schema = { + "type": [ + {"type": "integer"}, + { + "type": "object", + "properties": { + "foo": {"enum": [2]} + } + } + ] + } + + validator = Draft3Validator(schema) + errors = list(validator.iter_errors(instance)) + self.assertEqual(len(errors), 1) + e = errors[0] + + self.assertEqual(e.validator, "type") + self.assertEqual(list(e.schema_path), ["type"]) + self.assertEqual(e.validator_value, schema["type"]) + self.assertEqual(e.instance, instance) + self.assertEqual(e.schema, schema) + self.assertEqual(list(e.path), []) + self.assertEqual(len(e.context), 2) + + e1, e2 = sorted_errors(e.context) + + self.assertEqual(e1.validator, "type") + self.assertEqual(list(e1.schema_path), [0, "type"]) + self.assertEqual(e1.validator_value, schema["type"][0]["type"]) + self.assertEqual(e1.instance, instance) + self.assertEqual(e1.schema, schema["type"][0]) + self.assertEqual(list(e1.path), []) + self.assertEqual(len(e1.context), 0) + + self.assertEqual(e2.validator, "enum") + self.assertEqual( + list(e2.schema_path), + [1, "properties", "foo", "enum"] + ) + self.assertEqual( + e2.validator_value, + schema["type"][1]["properties"]["foo"]["enum"] + ) + self.assertEqual(e2.instance, instance["foo"]) + self.assertEqual(e2.schema, schema["type"][1]["properties"]["foo"]) + self.assertEqual(list(e2.path), ["foo"]) + self.assertEqual(len(e2.context), 0) + + def test_single_nesting(self): + instance = {"foo": 2, "bar": [1], "baz": 15, "quux": "spam"} + schema = { + "properties": { + "foo": {"type": "string"}, + "bar": {"minItems": 2}, + "baz": {"maximum": 10, "enum": [2, 4, 6, 8]}, + } + } + + validator = Draft3Validator(schema) + errors = validator.iter_errors(instance) + e1, e2, e3, e4 = sorted_errors(errors) + + self.assertEqual(list(e1.path), ["bar"]) + self.assertEqual(list(e2.path), ["baz"]) + self.assertEqual(list(e3.path), ["baz"]) + self.assertEqual(list(e4.path), ["foo"]) + + self.assertEqual(e1.validator, "minItems") + self.assertEqual(e2.validator, "enum") + self.assertEqual(e3.validator, "maximum") + self.assertEqual(e4.validator, "type") + + def test_multiple_nesting(self): + instance = [1, {"foo": 2, "bar": {"baz": [1]}}, "quux"] + schema = { + "type": "string", + "items": { + "type": ["string", "object"], + "properties": { + "foo": {"enum": [1, 3]}, + "bar": { + "type": "array", + "properties": { + "bar": {"required": True}, + "baz": {"minItems": 2}, + } + } + } + } + } + + validator = Draft3Validator(schema) + errors = validator.iter_errors(instance) + e1, e2, e3, e4, e5, e6 = sorted_errors(errors) + + self.assertEqual(list(e1.path), []) + self.assertEqual(list(e2.path), [0]) + self.assertEqual(list(e3.path), [1, "bar"]) + self.assertEqual(list(e4.path), [1, "bar", "bar"]) + self.assertEqual(list(e5.path), [1, "bar", "baz"]) + self.assertEqual(list(e6.path), [1, "foo"]) + + self.assertEqual(list(e1.schema_path), ["type"]) + self.assertEqual(list(e2.schema_path), ["items", "type"]) + self.assertEqual( + list(e3.schema_path), ["items", "properties", "bar", "type"], + ) + self.assertEqual( + list(e4.schema_path), + ["items", "properties", "bar", "properties", "bar", "required"], + ) + self.assertEqual( + list(e5.schema_path), + ["items", "properties", "bar", "properties", "baz", "minItems"] + ) + self.assertEqual( + list(e6.schema_path), ["items", "properties", "foo", "enum"], + ) + + self.assertEqual(e1.validator, "type") + self.assertEqual(e2.validator, "type") + self.assertEqual(e3.validator, "type") + self.assertEqual(e4.validator, "required") + self.assertEqual(e5.validator, "minItems") + self.assertEqual(e6.validator, "enum") + + def test_additionalProperties(self): + instance = {"bar": "bar", "foo": 2} + schema = { + "additionalProperties": {"type": "integer", "minimum": 5} + } + + validator = Draft3Validator(schema) + errors = validator.iter_errors(instance) + e1, e2 = sorted_errors(errors) + + self.assertEqual(list(e1.path), ["bar"]) + self.assertEqual(list(e2.path), ["foo"]) + + self.assertEqual(e1.validator, "type") + self.assertEqual(e2.validator, "minimum") + + def test_patternProperties(self): + instance = {"bar": 1, "foo": 2} + schema = { + "patternProperties": { + "bar": {"type": "string"}, + "foo": {"minimum": 5} + } + } + + validator = Draft3Validator(schema) + errors = validator.iter_errors(instance) + e1, e2 = sorted_errors(errors) + + self.assertEqual(list(e1.path), ["bar"]) + self.assertEqual(list(e2.path), ["foo"]) + + self.assertEqual(e1.validator, "type") + self.assertEqual(e2.validator, "minimum") + + def test_additionalItems(self): + instance = ["foo", 1] + schema = { + "items": [], + "additionalItems": {"type": "integer", "minimum": 5} + } + + validator = Draft3Validator(schema) + errors = validator.iter_errors(instance) + e1, e2 = sorted_errors(errors) + + self.assertEqual(list(e1.path), [0]) + self.assertEqual(list(e2.path), [1]) + + self.assertEqual(e1.validator, "type") + self.assertEqual(e2.validator, "minimum") + + def test_additionalItems_with_items(self): + instance = ["foo", "bar", 1] + schema = { + "items": [{}], + "additionalItems": {"type": "integer", "minimum": 5} + } + + validator = Draft3Validator(schema) + errors = validator.iter_errors(instance) + e1, e2 = sorted_errors(errors) + + self.assertEqual(list(e1.path), [1]) + self.assertEqual(list(e2.path), [2]) + + self.assertEqual(e1.validator, "type") + self.assertEqual(e2.validator, "minimum") + + +class ValidatorTestMixin(object): + def setUp(self): + self.instance = mock.Mock() + self.schema = {} + self.resolver = mock.Mock() + self.validator = self.validator_class(self.schema) + + def test_valid_instances_are_valid(self): + errors = iter([]) + + with mock.patch.object( + self.validator, "iter_errors", return_value=errors, + ): + self.assertTrue( + self.validator.is_valid(self.instance, self.schema) + ) + + def test_invalid_instances_are_not_valid(self): + errors = iter([mock.Mock()]) + + with mock.patch.object( + self.validator, "iter_errors", return_value=errors, + ): + self.assertFalse( + self.validator.is_valid(self.instance, self.schema) + ) + + def test_non_existent_properties_are_ignored(self): + instance, my_property, my_value = mock.Mock(), mock.Mock(), mock.Mock() + validate(instance=instance, schema={my_property: my_value}) + + def test_it_creates_a_ref_resolver_if_not_provided(self): + self.assertIsInstance(self.validator.resolver, RefResolver) + + def test_it_delegates_to_a_ref_resolver(self): + resolver = RefResolver("", {}) + schema = {"$ref": mock.Mock()} + + @contextlib.contextmanager + def resolving(): + yield {"type": "integer"} + + with mock.patch.object(resolver, "resolving") as resolve: + resolve.return_value = resolving() + with self.assertRaises(ValidationError): + self.validator_class(schema, resolver=resolver).validate(None) + + resolve.assert_called_once_with(schema["$ref"]) + + def test_is_type_is_true_for_valid_type(self): + self.assertTrue(self.validator.is_type("foo", "string")) + + def test_is_type_is_false_for_invalid_type(self): + self.assertFalse(self.validator.is_type("foo", "array")) + + def test_is_type_evades_bool_inheriting_from_int(self): + self.assertFalse(self.validator.is_type(True, "integer")) + self.assertFalse(self.validator.is_type(True, "number")) + + def test_is_type_raises_exception_for_unknown_type(self): + with self.assertRaises(UnknownType): + self.validator.is_type("foo", object()) + + +class TestDraft3Validator(ValidatorTestMixin, unittest.TestCase): + validator_class = Draft3Validator + + def test_is_type_is_true_for_any_type(self): + self.assertTrue(self.validator.is_valid(mock.Mock(), {"type": "any"})) + + def test_is_type_does_not_evade_bool_if_it_is_being_tested(self): + self.assertTrue(self.validator.is_type(True, "boolean")) + self.assertTrue(self.validator.is_valid(True, {"type": "any"})) + + def test_non_string_custom_types(self): + schema = {'type': [None]} + cls = self.validator_class(schema, types={None: type(None)}) + cls.validate(None, schema) + + +class TestDraft4Validator(ValidatorTestMixin, unittest.TestCase): + validator_class = Draft4Validator + + +class TestBuiltinFormats(unittest.TestCase): + """ + The built-in (specification-defined) formats do not raise type errors. + + If an instance or value is not a string, it should be ignored. + + """ + + +for format in FormatChecker.checkers: + def test(self, format=format): + v = Draft4Validator({"format": format}, format_checker=FormatChecker()) + v.validate(123) + + name = "test_{0}_ignores_non_strings".format(format) + if not PY3: + name = name.encode("utf-8") + test.__name__ = name + setattr(TestBuiltinFormats, name, test) + del test # Ugh py.test. Stop discovering top level tests. + + +class TestValidatorFor(unittest.TestCase): + def test_draft_3(self): + schema = {"$schema": "http://json-schema.org/draft-03/schema"} + self.assertIs(validator_for(schema), Draft3Validator) + + schema = {"$schema": "http://json-schema.org/draft-03/schema#"} + self.assertIs(validator_for(schema), Draft3Validator) + + def test_draft_4(self): + schema = {"$schema": "http://json-schema.org/draft-04/schema"} + self.assertIs(validator_for(schema), Draft4Validator) + + schema = {"$schema": "http://json-schema.org/draft-04/schema#"} + self.assertIs(validator_for(schema), Draft4Validator) + + def test_custom_validator(self): + Validator = create(meta_schema={"id": "meta schema id"}, version="12") + schema = {"$schema": "meta schema id"} + self.assertIs(validator_for(schema), Validator) + + def test_validator_for_jsonschema_default(self): + self.assertIs(validator_for({}), Draft4Validator) + + def test_validator_for_custom_default(self): + self.assertIs(validator_for({}, default=None), None) + + +class TestValidate(unittest.TestCase): + def test_draft3_validator_is_chosen(self): + schema = {"$schema": "http://json-schema.org/draft-03/schema#"} + with mock.patch.object(Draft3Validator, "check_schema") as chk_schema: + validate({}, schema) + chk_schema.assert_called_once_with(schema) + # Make sure it works without the empty fragment + schema = {"$schema": "http://json-schema.org/draft-03/schema"} + with mock.patch.object(Draft3Validator, "check_schema") as chk_schema: + validate({}, schema) + chk_schema.assert_called_once_with(schema) + + def test_draft4_validator_is_chosen(self): + schema = {"$schema": "http://json-schema.org/draft-04/schema#"} + with mock.patch.object(Draft4Validator, "check_schema") as chk_schema: + validate({}, schema) + chk_schema.assert_called_once_with(schema) + + def test_draft4_validator_is_the_default(self): + with mock.patch.object(Draft4Validator, "check_schema") as chk_schema: + validate({}, {}) + chk_schema.assert_called_once_with({}) + + +class TestRefResolver(unittest.TestCase): + + base_uri = "" + stored_uri = "foo://stored" + stored_schema = {"stored": "schema"} + + def setUp(self): + self.referrer = {} + self.store = {self.stored_uri: self.stored_schema} + self.resolver = RefResolver(self.base_uri, self.referrer, self.store) + + def test_it_does_not_retrieve_schema_urls_from_the_network(self): + ref = Draft3Validator.META_SCHEMA["id"] + with mock.patch.object(self.resolver, "resolve_remote") as remote: + with self.resolver.resolving(ref) as resolved: + self.assertEqual(resolved, Draft3Validator.META_SCHEMA) + self.assertFalse(remote.called) + + def test_it_resolves_local_refs(self): + ref = "#/properties/foo" + self.referrer["properties"] = {"foo": object()} + with self.resolver.resolving(ref) as resolved: + self.assertEqual(resolved, self.referrer["properties"]["foo"]) + + def test_it_resolves_local_refs_with_id(self): + schema = {"id": "foo://bar/schema#", "a": {"foo": "bar"}} + resolver = RefResolver.from_schema(schema) + with resolver.resolving("#/a") as resolved: + self.assertEqual(resolved, schema["a"]) + with resolver.resolving("foo://bar/schema#/a") as resolved: + self.assertEqual(resolved, schema["a"]) + + def test_it_retrieves_stored_refs(self): + with self.resolver.resolving(self.stored_uri) as resolved: + self.assertIs(resolved, self.stored_schema) + + self.resolver.store["cached_ref"] = {"foo": 12} + with self.resolver.resolving("cached_ref#/foo") as resolved: + self.assertEqual(resolved, 12) + + def test_it_retrieves_unstored_refs_via_requests(self): + ref = "http://bar#baz" + schema = {"baz": 12} + + with mock.patch("jsonschema.validators.requests") as requests: + requests.get.return_value.json.return_value = schema + with self.resolver.resolving(ref) as resolved: + self.assertEqual(resolved, 12) + requests.get.assert_called_once_with("http://bar") + + def test_it_retrieves_unstored_refs_via_urlopen(self): + ref = "http://bar#baz" + schema = {"baz": 12} + + with mock.patch("jsonschema.validators.requests", None): + with mock.patch("jsonschema.validators.urlopen") as urlopen: + urlopen.return_value.read.return_value = ( + json.dumps(schema).encode("utf8")) + with self.resolver.resolving(ref) as resolved: + self.assertEqual(resolved, 12) + urlopen.assert_called_once_with("http://bar") + + def test_it_can_construct_a_base_uri_from_a_schema(self): + schema = {"id": "foo"} + resolver = RefResolver.from_schema(schema) + self.assertEqual(resolver.base_uri, "foo") + with resolver.resolving("") as resolved: + self.assertEqual(resolved, schema) + with resolver.resolving("#") as resolved: + self.assertEqual(resolved, schema) + with resolver.resolving("foo") as resolved: + self.assertEqual(resolved, schema) + with resolver.resolving("foo#") as resolved: + self.assertEqual(resolved, schema) + + def test_it_can_construct_a_base_uri_from_a_schema_without_id(self): + schema = {} + resolver = RefResolver.from_schema(schema) + self.assertEqual(resolver.base_uri, "") + with resolver.resolving("") as resolved: + self.assertEqual(resolved, schema) + with resolver.resolving("#") as resolved: + self.assertEqual(resolved, schema) + + def test_custom_uri_scheme_handlers(self): + schema = {"foo": "bar"} + ref = "foo://bar" + foo_handler = mock.Mock(return_value=schema) + resolver = RefResolver("", {}, handlers={"foo": foo_handler}) + with resolver.resolving(ref) as resolved: + self.assertEqual(resolved, schema) + foo_handler.assert_called_once_with(ref) + + def test_cache_remote_on(self): + ref = "foo://bar" + foo_handler = mock.Mock() + resolver = RefResolver( + "", {}, cache_remote=True, handlers={"foo": foo_handler}, + ) + with resolver.resolving(ref): + pass + with resolver.resolving(ref): + pass + foo_handler.assert_called_once_with(ref) + + def test_cache_remote_off(self): + ref = "foo://bar" + foo_handler = mock.Mock() + resolver = RefResolver( + "", {}, cache_remote=False, handlers={"foo": foo_handler}, + ) + with resolver.resolving(ref): + pass + with resolver.resolving(ref): + pass + self.assertEqual(foo_handler.call_count, 2) + + def test_if_you_give_it_junk_you_get_a_resolution_error(self): + ref = "foo://bar" + foo_handler = mock.Mock(side_effect=ValueError("Oh no! What's this?")) + resolver = RefResolver("", {}, handlers={"foo": foo_handler}) + with self.assertRaises(RefResolutionError) as err: + with resolver.resolving(ref): + pass + self.assertEqual(str(err.exception), "Oh no! What's this?") + + +def sorted_errors(errors): + def key(error): + return ( + [str(e) for e in error.path], + [str(e) for e in error.schema_path] + ) + return sorted(errors, key=key) diff --git a/tools/extra/packager/jsonschema-2.3.0/jsonschema/validators.py b/tools/extra/packager/jsonschema-2.3.0/jsonschema/validators.py new file mode 100644 index 0000000..141adf8 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/jsonschema/validators.py @@ -0,0 +1,432 @@ +from __future__ import division, unicode_literals + +import contextlib +import json +import numbers + +try: + import requests +except ImportError: + requests = None + +from jsonschema import _utils, _validators +from jsonschema.compat import ( + PY3, Sequence, urljoin, urlsplit, urldefrag, unquote, urlopen, + str_types, int_types, iteritems, +) +from jsonschema.exceptions import ErrorTree # Backwards compatibility # noqa +from jsonschema.exceptions import RefResolutionError, SchemaError, UnknownType + + +_unset = _utils.Unset() + +validators = {} +meta_schemas = _utils.URIDict() + + +def validates(version): + """ + Register the decorated validator for a ``version`` of the specification. + + Registered validators and their meta schemas will be considered when + parsing ``$schema`` properties' URIs. + + :argument str version: an identifier to use as the version's name + :returns: a class decorator to decorate the validator with the version + + """ + + def _validates(cls): + validators[version] = cls + if "id" in cls.META_SCHEMA: + meta_schemas[cls.META_SCHEMA["id"]] = cls + return cls + return _validates + + +def create(meta_schema, validators=(), version=None, default_types=None): # noqa + if default_types is None: + default_types = { + "array": list, "boolean": bool, "integer": int_types, + "null": type(None), "number": numbers.Number, "object": dict, + "string": str_types, + } + + class Validator(object): + VALIDATORS = dict(validators) + META_SCHEMA = dict(meta_schema) + DEFAULT_TYPES = dict(default_types) + + def __init__( + self, schema, types=(), resolver=None, format_checker=None, + ): + self._types = dict(self.DEFAULT_TYPES) + self._types.update(types) + + if resolver is None: + resolver = RefResolver.from_schema(schema) + + self.resolver = resolver + self.format_checker = format_checker + self.schema = schema + + @classmethod + def check_schema(cls, schema): + for error in cls(cls.META_SCHEMA).iter_errors(schema): + raise SchemaError.create_from(error) + + def iter_errors(self, instance, _schema=None): + if _schema is None: + _schema = self.schema + + with self.resolver.in_scope(_schema.get("id", "")): + ref = _schema.get("$ref") + if ref is not None: + validators = [("$ref", ref)] + else: + validators = iteritems(_schema) + + for k, v in validators: + validator = self.VALIDATORS.get(k) + if validator is None: + continue + + errors = validator(self, v, instance, _schema) or () + for error in errors: + # set details if not already set by the called fn + error._set( + validator=k, + validator_value=v, + instance=instance, + schema=_schema, + ) + if k != "$ref": + error.schema_path.appendleft(k) + yield error + + def descend(self, instance, schema, path=None, schema_path=None): + for error in self.iter_errors(instance, schema): + if path is not None: + error.path.appendleft(path) + if schema_path is not None: + error.schema_path.appendleft(schema_path) + yield error + + def validate(self, *args, **kwargs): + for error in self.iter_errors(*args, **kwargs): + raise error + + def is_type(self, instance, type): + if type not in self._types: + raise UnknownType(type, instance, self.schema) + pytypes = self._types[type] + + # bool inherits from int, so ensure bools aren't reported as ints + if isinstance(instance, bool): + pytypes = _utils.flatten(pytypes) + is_number = any( + issubclass(pytype, numbers.Number) for pytype in pytypes + ) + if is_number and bool not in pytypes: + return False + return isinstance(instance, pytypes) + + def is_valid(self, instance, _schema=None): + error = next(self.iter_errors(instance, _schema), None) + return error is None + + if version is not None: + Validator = validates(version)(Validator) + + name = "{0}Validator".format(version.title().replace(" ", "")) + if not PY3 and isinstance(name, unicode): + name = name.encode("utf-8") + Validator.__name__ = name + + return Validator + + +def extend(validator, validators, version=None): + all_validators = dict(validator.VALIDATORS) + all_validators.update(validators) + return create( + meta_schema=validator.META_SCHEMA, + validators=all_validators, + version=version, + default_types=validator.DEFAULT_TYPES, + ) + + +Draft3Validator = create( + meta_schema=_utils.load_schema("draft3"), + validators={ + "$ref": _validators.ref, + "additionalItems": _validators.additionalItems, + "additionalProperties": _validators.additionalProperties, + "dependencies": _validators.dependencies, + "disallow": _validators.disallow_draft3, + "divisibleBy": _validators.multipleOf, + "enum": _validators.enum, + "extends": _validators.extends_draft3, + "format": _validators.format, + "items": _validators.items, + "maxItems": _validators.maxItems, + "maxLength": _validators.maxLength, + "maximum": _validators.maximum, + "minItems": _validators.minItems, + "minLength": _validators.minLength, + "minimum": _validators.minimum, + "multipleOf": _validators.multipleOf, + "pattern": _validators.pattern, + "patternProperties": _validators.patternProperties, + "properties": _validators.properties_draft3, + "type": _validators.type_draft3, + "uniqueItems": _validators.uniqueItems, + }, + version="draft3", +) + +Draft4Validator = create( + meta_schema=_utils.load_schema("draft4"), + validators={ + "$ref": _validators.ref, + "additionalItems": _validators.additionalItems, + "additionalProperties": _validators.additionalProperties, + "allOf": _validators.allOf_draft4, + "anyOf": _validators.anyOf_draft4, + "dependencies": _validators.dependencies, + "enum": _validators.enum, + "format": _validators.format, + "items": _validators.items, + "maxItems": _validators.maxItems, + "maxLength": _validators.maxLength, + "maxProperties": _validators.maxProperties_draft4, + "maximum": _validators.maximum, + "minItems": _validators.minItems, + "minLength": _validators.minLength, + "minProperties": _validators.minProperties_draft4, + "minimum": _validators.minimum, + "multipleOf": _validators.multipleOf, + "not": _validators.not_draft4, + "oneOf": _validators.oneOf_draft4, + "pattern": _validators.pattern, + "patternProperties": _validators.patternProperties, + "properties": _validators.properties_draft4, + "required": _validators.required_draft4, + "type": _validators.type_draft4, + "uniqueItems": _validators.uniqueItems, + }, + version="draft4", +) + + +class RefResolver(object): + """ + Resolve JSON References. + + :argument str base_uri: URI of the referring document + :argument referrer: the actual referring document + :argument dict store: a mapping from URIs to documents to cache + :argument bool cache_remote: whether remote refs should be cached after + first resolution + :argument dict handlers: a mapping from URI schemes to functions that + should be used to retrieve them + + """ + + def __init__( + self, base_uri, referrer, store=(), cache_remote=True, handlers=(), + ): + self.base_uri = base_uri + self.resolution_scope = base_uri + # This attribute is not used, it is for backwards compatibility + self.referrer = referrer + self.cache_remote = cache_remote + self.handlers = dict(handlers) + + self.store = _utils.URIDict( + (id, validator.META_SCHEMA) + for id, validator in iteritems(meta_schemas) + ) + self.store.update(store) + self.store[base_uri] = referrer + + @classmethod + def from_schema(cls, schema, *args, **kwargs): + """ + Construct a resolver from a JSON schema object. + + :argument schema schema: the referring schema + :rtype: :class:`RefResolver` + + """ + + return cls(schema.get("id", ""), schema, *args, **kwargs) + + @contextlib.contextmanager + def in_scope(self, scope): + old_scope = self.resolution_scope + self.resolution_scope = urljoin(old_scope, scope) + try: + yield + finally: + self.resolution_scope = old_scope + + @contextlib.contextmanager + def resolving(self, ref): + """ + Context manager which resolves a JSON ``ref`` and enters the + resolution scope of this ref. + + :argument str ref: reference to resolve + + """ + + full_uri = urljoin(self.resolution_scope, ref) + uri, fragment = urldefrag(full_uri) + if not uri: + uri = self.base_uri + + if uri in self.store: + document = self.store[uri] + else: + try: + document = self.resolve_remote(uri) + except Exception as exc: + raise RefResolutionError(exc) + + old_base_uri, self.base_uri = self.base_uri, uri + try: + with self.in_scope(uri): + yield self.resolve_fragment(document, fragment) + finally: + self.base_uri = old_base_uri + + def resolve_fragment(self, document, fragment): + """ + Resolve a ``fragment`` within the referenced ``document``. + + :argument document: the referrant document + :argument str fragment: a URI fragment to resolve within it + + """ + + fragment = fragment.lstrip("/") + parts = unquote(fragment).split("/") if fragment else [] + + for part in parts: + part = part.replace("~1", "/").replace("~0", "~") + + if isinstance(document, Sequence): + # Array indexes should be turned into integers + try: + part = int(part) + except ValueError: + pass + try: + document = document[part] + except (TypeError, LookupError): + raise RefResolutionError( + "Unresolvable JSON pointer: %r" % fragment + ) + + return document + + def resolve_remote(self, uri): + """ + Resolve a remote ``uri``. + + Does not check the store first, but stores the retrieved document in + the store if :attr:`RefResolver.cache_remote` is True. + + .. note:: + + If the requests_ library is present, ``jsonschema`` will use it to + request the remote ``uri``, so that the correct encoding is + detected and used. + + If it isn't, or if the scheme of the ``uri`` is not ``http`` or + ``https``, UTF-8 is assumed. + + :argument str uri: the URI to resolve + :returns: the retrieved document + + .. _requests: http://pypi.python.org/pypi/requests/ + + """ + + scheme = urlsplit(uri).scheme + + if scheme in self.handlers: + result = self.handlers[scheme](uri) + elif ( + scheme in ["http", "https"] and + requests and + getattr(requests.Response, "json", None) is not None + ): + # Requests has support for detecting the correct encoding of + # json over http + if callable(requests.Response.json): + result = requests.get(uri).json() + else: + result = requests.get(uri).json + else: + # Otherwise, pass off to urllib and assume utf-8 + result = json.loads(urlopen(uri).read().decode("utf-8")) + + if self.cache_remote: + self.store[uri] = result + return result + + +def validator_for(schema, default=_unset): + if default is _unset: + default = Draft4Validator + return meta_schemas.get(schema.get("$schema", ""), default) + + +def validate(instance, schema, cls=None, *args, **kwargs): + """ + Validate an instance under the given schema. + + >>> validate([2, 3, 4], {"maxItems" : 2}) + Traceback (most recent call last): + ... + ValidationError: [2, 3, 4] is too long + + :func:`validate` will first verify that the provided schema is itself + valid, since not doing so can lead to less obvious error messages and fail + in less obvious or consistent ways. If you know you have a valid schema + already or don't care, you might prefer using the + :meth:`~IValidator.validate` method directly on a specific validator + (e.g. :meth:`Draft4Validator.validate`). + + + :argument instance: the instance to validate + :argument schema: the schema to validate with + :argument cls: an :class:`IValidator` class that will be used to validate + the instance. + + If the ``cls`` argument is not provided, two things will happen in + accordance with the specification. First, if the schema has a + :validator:`$schema` property containing a known meta-schema [#]_ then the + proper validator will be used. The specification recommends that all + schemas contain :validator:`$schema` properties for this reason. If no + :validator:`$schema` property is found, the default validator class is + :class:`Draft4Validator`. + + Any other provided positional and keyword arguments will be passed on when + instantiating the ``cls``. + + :raises: + :exc:`ValidationError` if the instance is invalid + + :exc:`SchemaError` if the schema itself is invalid + + .. rubric:: Footnotes + .. [#] known by a validator registered with :func:`validates` + """ + if cls is None: + cls = validator_for(schema) + cls.check_schema(schema) + cls(schema, *args, **kwargs).validate(instance) diff --git a/tools/extra/packager/jsonschema-2.3.0/setup.py b/tools/extra/packager/jsonschema-2.3.0/setup.py new file mode 100644 index 0000000..cd115e3 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/setup.py @@ -0,0 +1,40 @@ +from distutils.core import setup + +from jsonschema import __version__ + + +with open("README.rst") as readme: + long_description = readme.read() + + +classifiers = [ + "Development Status :: 5 - Production/Stable", + "Intended Audience :: Developers", + "License :: OSI Approved :: MIT License", + "Operating System :: OS Independent", + "Programming Language :: Python", + "Programming Language :: Python :: 2", + "Programming Language :: Python :: 2.6", + "Programming Language :: Python :: 2.7", + "Programming Language :: Python :: 3", + "Programming Language :: Python :: 3.1", + "Programming Language :: Python :: 3.2", + "Programming Language :: Python :: 3.3", + "Programming Language :: Python :: Implementation :: CPython", + "Programming Language :: Python :: Implementation :: PyPy", +] + + +setup( + name="jsonschema", + version=__version__, + packages=["jsonschema", "jsonschema.tests"], + package_data={'jsonschema': ['schemas/*.json']}, + author="Julian Berman", + author_email="Julian@GrayVines.com", + classifiers=classifiers, + description="An implementation of JSON Schema validation for Python", + license="MIT", + long_description=long_description, + url="http://github.com/Julian/jsonschema", +) diff --git a/tools/extra/packager/jsonschema-2.3.0/tox.ini b/tools/extra/packager/jsonschema-2.3.0/tox.ini new file mode 100644 index 0000000..1d72328 --- /dev/null +++ b/tools/extra/packager/jsonschema-2.3.0/tox.ini @@ -0,0 +1,71 @@ +[tox] +envlist = py26, py27, pypy, py32, py33, docs, style + +[testenv] +commands = + py.test [] -s jsonschema + {envpython} -m doctest README.rst +deps = + {[testenv:notpy33]deps} + {[testenv:py33]deps} + +[testenv:coverage] +commands = + coverage run --source jsonschema [] {envbindir}/py.test + coverage html +deps = + {[testenv:notpy33]deps} + {[testenv:py33]deps} + coverage + +[testenv:docs] +basepython = python +changedir = docs +deps = + lxml + sphinx +commands = + sphinx-build [] -W -b html -d {envtmpdir}/doctrees . {envtmpdir}/html + +[testenv:style] +deps = flake8 +commands = + flake8 [] --max-complexity 10 jsonschema + +[testenv:py26] +deps = + {[testenv:notpy33]deps} + {[testenv:all]deps} + argparse + unittest2 + +[testenv:py33] +commands = + py.test [] -s jsonschema + {envpython} -m doctest README.rst + sphinx-build -b doctest docs {envtmpdir}/html +deps = + {[testenv:all]deps} + {[testenv:notpy26]deps} + +[testenv:notpy33] +deps = + mock + +[testenv:notpy26] +deps = + rfc3987 + +[testenv:all] +deps = + lxml + pytest + sphinx + strict-rfc3339 + webcolors + +[flake8] +ignore = E203,E302,E303,E701,F811 + +[pytest] +addopts = -r s diff --git a/tools/extra/packager/metadata/__init__.py b/tools/extra/packager/metadata/__init__.py new file mode 100755 index 0000000..55377c9 --- /dev/null +++ b/tools/extra/packager/metadata/__init__.py @@ -0,0 +1,25 @@ +# Copyright(c) 2017, Intel Corporation +# +# Redistribution and use in source and binary forms, with or without +# modification, are permitted provided that the following conditions are met: +# +# * Redistributions of source code must retain the above copyright notice, +# this list of conditions and the following disclaimer. +# * Redistributions in binary form must reproduce the above copyright notice, +# this list of conditions and the following disclaimer in the documentation +# and/or other materials provided with the distribution. +# * Neither the name of Intel Corporation nor the names of its contributors +# may be used to endorse or promote products derived from this software +# without specific prior written permission. +# +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +# AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +# IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +# ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +# LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +# CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +# SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +# INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +# CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +# ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +# POSSIBILITY OF SUCH DAMAGE. diff --git a/tools/extra/packager/metadata/constants.py b/tools/extra/packager/metadata/constants.py new file mode 100755 index 0000000..53361b6 --- /dev/null +++ b/tools/extra/packager/metadata/constants.py @@ -0,0 +1,36 @@ +# Copyright(c) 2017, Intel Corporation +# +# Redistribution and use in source and binary forms, with or without +# modification, are permitted provided that the following conditions are met: +# +# * Redistributions of source code must retain the above copyright notice, +# this list of conditions and the following disclaimer. +# * Redistributions in binary form must reproduce the above copyright notice, +# this list of conditions and the following disclaimer in the documentation +# and/or other materials provided with the distribution. +# * Neither the name of Intel Corporation nor the names of its contributors +# may be used to endorse or promote products derived from this software +# without specific prior written permission. +# +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +# AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +# IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +# ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +# LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +# CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +# SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +# INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +# CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +# ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +# POSSIBILITY OF SUCH DAMAGE. + +# GUID value: "58656F6E-4650-4741-B747-425376303031" +# The string below will be converted to hex format +# before being written into the bitstream file +METADATA_GUID = b'XeonFPGA\xb7GBSv001' + +# Metadata length field is a unsigned 32 bit int +SIZEOF_LEN_FIELD = 4 + +# Length of GUID string +GUID_LEN = len(METADATA_GUID) diff --git a/tools/extra/packager/metadata/metadata.py b/tools/extra/packager/metadata/metadata.py new file mode 100755 index 0000000..e992533 --- /dev/null +++ b/tools/extra/packager/metadata/metadata.py @@ -0,0 +1,54 @@ +# Copyright(c) 2017, Intel Corporation +# +# Redistribution and use in source and binary forms, with or without +# modification, are permitted provided that the following conditions are met: +# +# * Redistributions of source code must retain the above copyright notice, +# this list of conditions and the following disclaimer. +# * Redistributions in binary form must reproduce the above copyright notice, +# this list of conditions and the following disclaimer in the documentation +# and/or other materials provided with the distribution. +# * Neither the name of Intel Corporation nor the names of its contributors +# may be used to endorse or promote products derived from this software +# without specific prior written permission. +# +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +# AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +# IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +# ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +# LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +# CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +# SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +# INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +# CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +# ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +# POSSIBILITY OF SUCH DAMAGE. + +import json +from . import constants +import struct +from collections import OrderedDict + +""" This is the entrypoint for generating the metadata +""" + + +def get_metadata(afu_json): + ret_list = [] + + ret_list.extend(list(constants.METADATA_GUID)) + + if len(afu_json) != 0: + ret_list.extend(pack_int_to_buf(len(json.dumps(afu_json)))) + ret_list.extend(list(json.dumps(afu_json))) + + else: + ret_list.extend(pack_int_to_buf(0)) + + return ret_list + + +def pack_int_to_buf(val): + pack_format = "= (2, 7) +except AssertionError: + print(DESCRIPTION + " requires Python 2 version 2.7+") + sys.exit(1) + +USAGE = """ +{0} + +{1} [options] + +The following values for are currently supported: +\t help - displays this message +\t create-gbs - creates GBS file from RBF and Accelerator Description File +\t modify-gbs - modify metadata of existing GBS file using --set-value +\t gbs-info - prints information about GBS file +\t get-rbf - creates RBF file by extracting RBF from GBS file + +{1} --h will give command specific help +""".format(DESCRIPTION, PACKAGER_EXEC) + + +def run_packager(): + parser = argparse.ArgumentParser(usage=USAGE, add_help=False) + parser.add_argument("cmd", nargs="?") + parser.add_argument("remain_args", nargs=argparse.REMAINDER) + args = parser.parse_args(sys.argv[1:]) + cmd_description = "{0} {1}".format(PACKAGER_EXEC, args.cmd) + subparser = argparse.ArgumentParser(description=cmd_description) + subparser._optionals.title = 'Options' + + if args.cmd == "help" or not args.cmd: + print(USAGE) + + elif args.cmd == "version": + if VERSION.startswith("@"): + try: + devnull = open(os.devnull, 'w') + repo = subprocess.check_output('git remote -v', + shell=True, + stderr=devnull) + version = (subprocess.check_output('git describe --tags', + shell=True, + stderr=devnull).split()[0] + if "opae-sdk" in repo else "UNKNOWN REPO") + except subprocess.CalledProcessError: + version = "UNKNOWN" + else: + version = VERSION + print("{0}: version {1}".format(DESCRIPTION, version)) + elif args.cmd == "create-gbs": + subparser.usage = "\n" + cmd_description + \ + " --rbf= --afu-json="\ + " --gbs= --set-value :\n" + subparser.add_argument('--rbf', required=True, + help='RBF file (REQUIRED)') + subparser.add_argument('--afu-json', required=False, + help='AFU JSON file that contains metadata') + subparser.add_argument('--no-metadata', default=False, + action='store_true', + help='Empty metadata section will be appended') + subparser.add_argument('--gbs', required=False, + help='Output location for gbs file. ' + 'Default is .gbs') + subparser.add_argument('--set-value', required=False, nargs='*', + help='set values for keys in JSON metadata as ' + ':. Can be followed by more than ' + 'one : pairs.') + subargs = subparser.parse_args(args.remain_args) + afu = AFU(subargs.afu_json) + gbs_file = afu.create_gbs(subargs.rbf, subargs.gbs, subargs.set_value) + print("Wrote {0}".format(gbs_file)) + + elif args.cmd == "modify-gbs": + subparser.usage = "\n" + cmd_description + \ + " --input-gbs="\ + " --output-gbs= --set-value :\n" + subparser.add_argument('--input-gbs', required=True, + help='Path to input gbs file') + subparser.add_argument('--output-gbs', required=False, + help='Path to output gbs file. Will replace ' + 'original file if not provided') + subparser.add_argument('--set-value', required=True, nargs='*', + help='set values for keys in JSON metadata as ' + ':. Can be followed by more than ' + 'one : pairs.') + subargs = subparser.parse_args(args.remain_args) + gbs = GBS(subargs.input_gbs) + afu = AFU.create_afu_from_gbs(gbs) + afu.update_afu_json(subargs.set_value) + afu.validate(packaging=True) + gbs.update_gbs_info(afu.afu_json) + gbs_file = gbs.write_gbs(subargs.output_gbs) + print("Wrote {0}".format(gbs_file)) + + elif args.cmd == "package": + subparser.usage = "\n" + cmd_description + \ + " --afu-json= --rbf="\ + " --out=\n" + subparser.usage += cmd_description + \ + " --afu-json= --rbf= --sw-dir="\ + " --doc-dir=" + subparser.add_argument('--afu-json', required=True, + help='AFU JSON file that contains metadata ' + '(REQUIRED)') + subparser.add_argument('--rbf', required=True, + help='RBF file (REQUIRED)') + subparser.add_argument('--sw-dir', required=False, + help='Location of software files to include') + subparser.add_argument('--doc-dir', required=False, + help='Location of documentation files to ' + 'include') + subparser.add_argument('--out', required=False, default="afu", + help='Used to specify name of package') + subargs = subparser.parse_args(args.remain_args) + afu = AFU(subargs.afu_json) + afu.package(subargs.rbf, subargs.sw_dir, subargs.doc_dir, subargs.out) + print("Wrote {0}.zip".format(subargs.out)) + + elif args.cmd == "gbs-info": + subparser.usage = "\n" + cmd_description + " --gbs=" + subparser.add_argument('--gbs', required=True, + help='Path to GBS file') + subargs = subparser.parse_args(args.remain_args) + gbs = GBS(subargs.gbs) + gbs.print_gbs_info() + + elif args.cmd == "get-rbf": + subparser.usage = "\n" + cmd_description + \ + "--gbs= --rbf=" + subparser.add_argument('--gbs', required=True, + help='Path to GBS file from which rbf is to be ' + 'extracted') + subparser.add_argument('--rbf', required=False, + help='Output location for rbf file. Default is ' + '.rbf') + subargs = subparser.parse_args(args.remain_args) + gbs = GBS(subargs.gbs) + rbf_file = gbs.write_rbf(subargs.rbf) + print("Wrote {0}".format(rbf_file)) + + else: + raise Exception("{0} is not a command for {1}!".format( + args.cmd, DESCRIPTION)) + + +def main(): + try: + sys.exit(run_packager()) + except Exception as e: + print("ERROR: {0}".format(e.__str__())) + sys.exit(1) + + +if __name__ == '__main__': + main() diff --git a/tools/extra/packager/packager.sh b/tools/extra/packager/packager.sh new file mode 100755 index 0000000..a425fcd --- /dev/null +++ b/tools/extra/packager/packager.sh @@ -0,0 +1,37 @@ +#!/bin/sh +# Copyright(c) 2017, Intel Corporation +# +# Redistribution and use in source and binary forms, with or without +# modification, are permitted provided that the following conditions are met: +# +# * Redistributions of source code must retain the above copyright notice, +# this list of conditions and the following disclaimer. +# * Redistributions in binary form must reproduce the above copyright notice, +# this list of conditions and the following disclaimer in the documentation +# and/or other materials provided with the distribution. +# * Neither the name of Intel Corporation nor the names of its contributors +# may be used to endorse or promote products derived from this software +# without specific prior written permission. +# +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +# AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +# IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +# ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +# LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +# CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +# SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +# INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +# CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +# ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +# POSSIBILITY OF SUCH DAMAGE. + +SCRIPTNAME="$(basename -- "$0")" +SCRIPT_DIR="$(cd "$(dirname -- "$0")" 2>/dev/null && pwd -P)" + +# Provide an alternate location for required module installation: +if [ -d "${SCRIPT_DIR}/../tools/packager/lib" ]; then + PYTHONPATH="${SCRIPT_DIR}/../tools/packager/lib${PYTHONPATH:+:${PYTHONPATH}}" + export PYTHONPATH +fi + +exec python -B "${SCRIPT_DIR}/../tools/packager/packager.pyz" "$@" diff --git a/tools/extra/packager/schema/afu_schema_v01.json b/tools/extra/packager/schema/afu_schema_v01.json new file mode 100644 index 0000000..4e2d887 --- /dev/null +++ b/tools/extra/packager/schema/afu_schema_v01.json @@ -0,0 +1,42 @@ +{ + "type" : "object", + "properties" : { + "version" : {"type" : "number"}, + "platform-name" : {"type" : "string"}, + "afu-image" : { + "type" : "object", + "properties" : { + "magic-no" : {"type" : "number"}, + "interface-uuid" : {"type" : "string"}, + "afu-top-interface": { + "type" : "object", + "properties" : { + "class" : {"type" : "string"} + }, + "required" : ["class"] + }, + "clock-frequency-low" : {"type" : ["number", "string"], + "pattern" : "^auto(-[0-9.]+)?$" + }, + "clock-frequency-high" : {"type" : ["number", "string"], + "pattern" : "^auto(-[0-9.]+)?$" + }, + "power": {"type" : "number"}, + "accelerator-clusters": { + "type": "array", + "items": { + "type": "object", + "properties": { + "accelerator-type-uuid" : {"type" : "string"}, + "name" : {"type" : "string"}, + "total-contexts" : {"type" : "number"} + }, + "required" : ["accelerator-type-uuid","name","total-contexts"] + } + } + }, + "required" : ["accelerator-clusters", "power"] + } + }, + "required": ["afu-image","version"] +} diff --git a/tools/extra/packager/schema/afu_template.json b/tools/extra/packager/schema/afu_template.json new file mode 100644 index 0000000..2e1a6dc --- /dev/null +++ b/tools/extra/packager/schema/afu_template.json @@ -0,0 +1,18 @@ +{ + "version": 1, + "afu-image": { + "power": 0, + "afu-top-interface": + { + "class": "ccip_std_afu" + }, + "accelerator-clusters": + [ + { + "name": "afu_name", + "total-contexts": 1, + "accelerator-type-uuid": "00000000-0000-0000-0000-000000000000" + } + ] + } +} diff --git a/tools/extra/packager/test/__init__.py b/tools/extra/packager/test/__init__.py new file mode 100644 index 0000000..e69de29 --- /dev/null +++ b/tools/extra/packager/test/__init__.py diff --git a/tools/extra/packager/test/test_afu.py b/tools/extra/packager/test/test_afu.py new file mode 100755 index 0000000..a6cad90 --- /dev/null +++ b/tools/extra/packager/test/test_afu.py @@ -0,0 +1,31 @@ +# test_afu_platform.py +import unittest +import packager +import os +from afu import AFU + +filepath = os.path.dirname(os.path.realpath(__file__)) + + +class jsonTest(unittest.TestCase): + def testGoodAFU(self): + afu = AFU(filepath + "/test_data/good_afu_test.json") + self.assertTrue(afu.validate()) + + def testEmptyAFU(self): + try: + AFU(None) + except Exception: + self.fail( + "AFU() should not throw exception if no AFU JSON is specified") + + def testBadAFU(self): + self.assertRaises( + Exception, + AFU, + filepath + + "/test_data/bad_afu_test.json") + + +if __name__ == '__main__': + unittest.main() diff --git a/tools/extra/packager/test/test_data/bad_afu_test.json b/tools/extra/packager/test/test_data/bad_afu_test.json new file mode 100644 index 0000000..3051421 --- /dev/null +++ b/tools/extra/packager/test/test_data/bad_afu_test.json @@ -0,0 +1,18 @@ +{ + "version": 1, + "platform-name" : "DCP", + "afu-image": { + "magic-no": 488605312, + "interface-uuid": "01234567-89AB-CDEF-0123-456789ABCDEF", + "clock-frequency-low": 156, + "clock-frequency-high": 312, + "power": 0, + "accelerator-clusters": + [ + { + "name": "nlb_400", + "total-contexts": 1 + } + ] + } +} diff --git a/tools/extra/packager/test/test_data/good_afu_test.json b/tools/extra/packager/test/test_data/good_afu_test.json new file mode 100644 index 0000000..a06cd2f --- /dev/null +++ b/tools/extra/packager/test/test_data/good_afu_test.json @@ -0,0 +1,19 @@ +{ + "version": 1, + "platform-name" : "DCP", + "afu-image": { + "magic-no": 488605312, + "interface-uuid": "01234567-89AB-CDEF-0123-456789ABCDEF", + "clock-frequency-low": 156, + "clock-frequency-high": 312, + "power": 0, + "accelerator-clusters": + [ + { + "name": "nlb_400", + "total-contexts": 1, + "accelerator-type-uuid": "d8424dc4-a4a3-c413-f89e-433683f9040b" + } + ] + } +} diff --git a/tools/extra/packager/test/test_hello.py b/tools/extra/packager/test/test_hello.py new file mode 100644 index 0000000..7e8b542 --- /dev/null +++ b/tools/extra/packager/test/test_hello.py @@ -0,0 +1,11 @@ +import unittest + + +class HelloWorldTestCase(unittest.TestCase): + def runTest(self): + import packager + self.assertTrue('main' in dir(packager)) + + +if __name__ == '__main__': + unittest.main() diff --git a/tools/extra/packager/test/test_metadata.py b/tools/extra/packager/test/test_metadata.py new file mode 100755 index 0000000..55cdf18 --- /dev/null +++ b/tools/extra/packager/test/test_metadata.py @@ -0,0 +1,50 @@ +import unittest +import packager +import filecmp +import metadata.constants +import struct +import os +import json +from collections import OrderedDict + +filepath = os.path.dirname(os.path.realpath(__file__)) + +afu_json = ( + '{"version": 1, "afu-image": {"clock-frequency-low": 50, ' + '"slot-type-uuid": "166b785b-0a5d-411a-bc2d-5b3d17c479a8"' + ', "clock-frequency-high": 200, "accelerator-clusters": ' + '[{"total-contexts": 1, "accelerator-type-uuid": ' + '"c000c966-0d82-4272-9aef-fe5f84570612"' + ', "name": "nlb_400"}], "power": 10}}') + +expected_metadata = ("XeonFPGA" + chr(0xb7) + "GBSv001" + + struct.pack(' +#endif // HAVE_CONFIG_H +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#include + + + +#define GETOPT_STRING ":hB:D:F:S:H:L:v" + +struct option longopts[] = { + { "help", no_argument, NULL, 'h' }, + { "segment", required_argument, NULL, 0xe }, + { "bus", required_argument, NULL, 'B' }, + { "device", required_argument, NULL, 'D' }, + { "function", required_argument, NULL, 'F' }, + { "socket-id", required_argument, NULL, 'S' }, + { "freq-high", required_argument, NULL, 'H' }, + { "freq-low", required_argument, NULL, 'L' }, + { "version", no_argument, NULL, 'v' }, + { NULL, 0, NULL, 0 } +}; + +// User clock Command line struct +struct UserClkCommandLine { + int segment; + int bus; + int device; + int function; + int socket; + int freq_high; + int freq_low; + +}; + +struct UserClkCommandLine userclkCmdLine = { -1, -1, -1, -1, -1, -1, -1 }; + +// User clock Command line input help +void UserClkAppShowHelp(void) +{ + printf("Usage:\n"); + printf("userclk\n"); + printf(" --segment=\n"); + printf(" --bus= OR -B=\n"); + printf(" --device= OR -D=\n"); + printf(" --function= OR -F=\n"); + printf(" --socket-id= OR -S=\n"); + printf(" --freq-high OR -H=\n"); + printf(" --freq-low OR -L=\n"); + printf(" -v,--version\n"); + printf("\n"); + +} + +/* + * macro to check return codes, print error message, and goto cleanup label + * NOTE: this changes the program flow (uses goto)! + */ +#define ON_ERR_GOTO(res, label, desc) \ + do { \ + if ((res) != FPGA_OK) { \ + print_err((desc), (res)); \ + goto label; \ + } \ + } while (0) + +void print_err(const char *s, fpga_result res) +{ + fprintf(stderr, "Error %s: %s\n", s, fpgaErrStr(res)); +} + +int ParseCmds(struct UserClkCommandLine *userclkCmdLine, int argc, char *argv[]); + +int main(int argc, char *argv[]) +{ + fpga_properties filter = NULL; + uint32_t num_matches = 1; + fpga_result result = FPGA_OK; + fpga_result res = FPGA_OK; + uint64_t userclk_high = 0; + uint64_t userclk_low = 0; + fpga_token accel_token = NULL; + int high = 0; + int low = 0; + fpga_handle accelerator_handle; + + // Parse command line + if (argc < 2) { + UserClkAppShowHelp(); + return 1; + } else if (0 != ParseCmds(&userclkCmdLine, argc, argv)) { + return 2; + } + + printf(" ------- Command line Input START ----\n \n"); + + printf(" Segment : %d\n", userclkCmdLine.segment); + printf(" Bus : %d\n", userclkCmdLine.bus); + printf(" Device : %d\n", userclkCmdLine.device); + printf(" Function : %d\n", userclkCmdLine.function); + printf(" Socket-id : %d\n", userclkCmdLine.socket); + printf(" Freq High : %d\n", userclkCmdLine.freq_high); + printf(" Freq Low : %d\n", userclkCmdLine.freq_low); + + printf(" ------- Command line Input END ----\n\n"); + + result = fpgaInitialize(NULL); + ON_ERR_GOTO(result, out_exit, "Failed to initilize "); + + // Enum FPGA device + result = fpgaGetProperties(NULL, &filter); + ON_ERR_GOTO(result, out_exit, "creating properties object"); + + result = fpgaPropertiesSetObjectType(filter, FPGA_ACCELERATOR); + ON_ERR_GOTO(result, out_destroy_prop, "setting object type"); + + if (-1 != userclkCmdLine.segment) { + result = fpgaPropertiesSetSegment(filter, userclkCmdLine.segment); + ON_ERR_GOTO(result, out_destroy_prop, "setting segment"); + } + + if (-1 != userclkCmdLine.bus) { + result = fpgaPropertiesSetBus(filter, userclkCmdLine.bus); + ON_ERR_GOTO(result, out_destroy_prop, "setting bus"); + } + + if (-1 != userclkCmdLine.device) { + result = fpgaPropertiesSetDevice(filter, userclkCmdLine.device); + ON_ERR_GOTO(result, out_destroy_prop, "setting device"); + } + + if (-1 != userclkCmdLine.function) { + result = fpgaPropertiesSetFunction(filter, userclkCmdLine.function); + ON_ERR_GOTO(result, out_destroy_prop, "setting function"); + } + + if (-1 != userclkCmdLine.socket) { + result = fpgaPropertiesSetSocketID(filter, userclkCmdLine.socket); + ON_ERR_GOTO(result, out_destroy_prop, "setting socket"); + } + + result = fpgaEnumerate(&filter, 1, &accel_token, 1, &num_matches); + ON_ERR_GOTO(result, out_destroy_prop, "enumerating FPGAs"); + + if (num_matches < 1) { + OPAE_ERR("FPGA Resource not found."); + res = FPGA_NOT_FOUND; + goto out_destroy_prop; + } + printf("AFU Resource found.\n"); + + result = fpgaOpen(accel_token, &accelerator_handle, 0); + ON_ERR_GOTO(result, out_destroy_prop, "opening accelerator"); + + res = fpgaGetUserClock(accelerator_handle, &userclk_high, &userclk_low, 0); + ON_ERR_GOTO(res, out_close, "Failed to get user clock"); + + printf("\nApproximate frequency:\n" + "High clock = %5.1f MHz\n" + "Low clock = %5.1f MHz\n \n", + userclk_high / 1.0e6, userclk_low / 1.0e6); + + if (userclkCmdLine.freq_high > 0 || userclkCmdLine.freq_low > 0) { + high = userclkCmdLine.freq_high; + low = userclkCmdLine.freq_low; + if (low <= 0) { + low = userclkCmdLine.freq_high / 2; + } else if (high <= 0) { + high = userclkCmdLine.freq_low * 2; + } else if ((abs(high - (2 * low))) > 1) { + res = FPGA_INVALID_PARAM; + OPAE_ERR("High freq must be ~ (2 * Low freq)"); + goto out_close; + } + } else { + res = FPGA_INVALID_PARAM; + OPAE_ERR("Please specify one or both of -H and -L"); + goto out_close; + } + + res = fpgaSetUserClock(accelerator_handle, high, low, 0); + ON_ERR_GOTO(res, out_close, "Failed to set user clock"); + + res = fpgaGetUserClock(accelerator_handle, &userclk_high, &userclk_low, 0); + ON_ERR_GOTO(res, out_close, "Failed to get user clock"); + + printf("\nApproximate frequency:\n" + "High clock = %5.1f MHz\n" + "Low clock = %5.1f MHz\n \n", + userclk_high / 1.0e6, userclk_low / 1.0e6); + +out_close: + result = fpgaClose(accelerator_handle); + ON_ERR_GOTO(result, out_destroy_tok, "closing accelerator"); + +out_destroy_tok: + result = fpgaDestroyToken(&accel_token); + ON_ERR_GOTO(result, out_destroy_prop, "destroying token object"); + + /* Destroy properties object */ +out_destroy_prop: + result = fpgaDestroyProperties(&filter); + ON_ERR_GOTO(result, out_exit, "destroying properties object"); + +out_exit: + return (res != FPGA_OK) ? res : result; +} + +// parse Input command line +int ParseCmds(struct UserClkCommandLine *userclkCmdLine, int argc, char *argv[]) +{ + int getopt_ret = 0; + int option_index = 0; + char *endptr = NULL; + + while (-1 != (getopt_ret = getopt_long(argc, argv, GETOPT_STRING, longopts, &option_index))) { + const char *tmp_optarg = optarg; + + if ((optarg) && ('=' == *tmp_optarg)) { + ++tmp_optarg; + } + + if ((!optarg) && (optind < argc) && (NULL != argv[optind]) && + ('-' != argv[optind][0])) { + tmp_optarg = argv[optind++]; + } + + switch (getopt_ret) { + case 'h': + // Command line help + UserClkAppShowHelp(); + return -2; + break; + + case 0xe: + // segment number + if (!tmp_optarg) + return -1; + endptr = NULL; + userclkCmdLine->segment = strtol(tmp_optarg, &endptr, 0); + break; + + case 'B': + // bus number + if (!tmp_optarg) + return -1; + endptr = NULL; + userclkCmdLine->bus = strtol(tmp_optarg, &endptr, 0); + break; + + case 'D': + // Device number + if (!tmp_optarg) + return -1; + endptr = NULL; + userclkCmdLine->device = strtol(tmp_optarg, &endptr, 0); + break; + + case 'F': + // Function number + if (!tmp_optarg) + return -1; + endptr = NULL; + userclkCmdLine->function = strtol(tmp_optarg, &endptr, 0); + break; + + case 'S': + // Socket number + if (!tmp_optarg) + return -1; + endptr = NULL; + userclkCmdLine->socket = strtol(tmp_optarg, &endptr, 0); + break; + + case 'H': + // User clock High + if (!tmp_optarg) + return -1; + endptr = NULL; + userclkCmdLine->freq_high = strtol(tmp_optarg, &endptr, 0); + break; + + case 'L': + // User clock low + if (!tmp_optarg) + return -1; + endptr = NULL; + userclkCmdLine->freq_low = strtol(tmp_optarg, &endptr, 0); + break; + + case 'v': + printf("userclk %s %s%s\n", + OPAE_VERSION, + OPAE_GIT_COMMIT_HASH, + OPAE_GIT_SRC_TREE_DIRTY ? "*":""); + return -2; + + case '?': + default: /* invalid option */ + printf("Invalid cmdline options.\n"); + return -1; + } + } + + return 0; +} diff --git a/tools/fpgaconf/CMakeLists.txt b/tools/fpgaconf/CMakeLists.txt new file mode 100644 index 0000000..69fd241 --- /dev/null +++ b/tools/fpgaconf/CMakeLists.txt @@ -0,0 +1,36 @@ +## Copyright(c) 2017-2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +opae_add_executable(TARGET fpgaconf + SOURCE fpgaconf.c + LIBS + ${CMAKE_THREAD_LIBS_INIT} + bitstream + opae-c + ${libjson-c_LIBRARIES} + ${libuuid_LIBRARIES} + COMPONENT toolfpgaconf +) diff --git a/tools/fpgaconf/fpgaconf.c b/tools/fpgaconf/fpgaconf.c new file mode 100644 index 0000000..ef555ef --- /dev/null +++ b/tools/fpgaconf/fpgaconf.c @@ -0,0 +1,602 @@ +// Copyright(c) 2017-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +/* + * @file fpgaconf.c + * + * @brief FPGA configure command line tool + * + * fpgaconf allows you to program green bitstream files to an FPGA supported by + * the intel-fpga driver and API. + * + * Features: + * * Auto-discovery of compatible slots for supplied bitstream + * * Dry-run mode ("what would happen if...?") + */ +#define _GNU_SOURCE +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H +#include +#include +#include +#include +#include +#include +#include + +#include + +#include +#include + +/* + * macro to check FPGA return codes, print error message, and goto cleanup label + * NOTE: this changes the program flow (uses goto)! + */ +#define ON_ERR_GOTO(res, label, desc) \ + do { \ + if ((res) != FPGA_OK) { \ + print_err((desc), (res)); \ + goto label; \ + } \ + } while (0) +/* + * Global configuration, set during parse_args() + */ +#define MAX_FILENAME_LEN 256 +struct config { + unsigned int verbosity; + bool dry_run; + enum { INTERACTIVE, /* ask if ambiguous */ + NORMAL, /* stop if ambiguous */ + AUTOMATIC /* choose if ambiguous */ + } mode; + int flags; + struct target { + int segment; + int bus; + int device; + int function; + int socket; + } target; + char *filename; +} config = {.verbosity = 0, + .dry_run = false, + .mode = NORMAL, + .flags = 0, + .target = {.segment = -1, .bus = -1, .device = -1, .function = -1, .socket = -1}, + .filename = NULL }; + +/* + * Print readable error message for fpga_results + */ +void print_err(const char *s, fpga_result res) +{ + fprintf(stderr, "Error %s: %s\n", s, fpgaErrStr(res)); +} + +/* + * Print message depending on verbosity + */ +void print_msg(unsigned int verbosity, const char *s) +{ + if (config.verbosity >= verbosity) + printf("%s\n", s); +} + +/* + * Print help + * TODO: uncomment options as they are implemented + */ +void help(void) +{ + printf("\n" + "fpgaconf\n" + "FPGA configuration utility\n" + "\n" + "Usage:\n" + //" fpgaconf [-hvnAIQ] [-B ] [-D ] [-F + //] [-S ] \n" + " fpgaconf [-hvn] [-B ] [-D ] [-F ] [-S ] \n" + "\n" + " -h,--help Print this help\n" + " -V,--verbose Increase verbosity\n" + " -n,--dry-run Don't actually perform actions\n" + " --force Don't try to open accelerator resource\n" + " --segment Set target segment number\n" + " -B,--bus Set target bus number\n" + " -D,--device Set target device number\n" + " -F,--function Set target function number\n" + " -S,--socket-id Set target socket number\n" + " -v,--version Print version info and exit\n" + /* " -A,--auto Automatically choose + target slot if\n" */ + /* " multiple valid slots are + available\n" */ + /* " -I,--interactive Prompt user to choose + target slot if\n" */ + /* " multiple valid slots are + available\n" */ + /* " -Q,--quiet Don't print any messages + except errors\n" */ + "\n"); +} + +/* + * Parse command line arguments + * TODO: uncomment options as they are implemented + */ +#define GETOPT_STRING ":hVnB:D:F:S:AIQv" +int parse_args(int argc, char *argv[]) +{ + struct option longopts[] = { + {"help", no_argument, NULL, 'h'}, + {"verbose", no_argument, NULL, 'V'}, + {"dry-run", no_argument, NULL, 'n'}, + {"segment", required_argument, NULL, 0xe}, + {"bus", required_argument, NULL, 'B'}, + {"device", required_argument, NULL, 'D'}, + {"function", required_argument, NULL, 'F'}, + {"socket-id", required_argument, NULL, 'S'}, + {"force", no_argument, NULL, 0xf}, + {"version", no_argument, NULL, 'v'}, + /* {"auto", no_argument, NULL, 'A'}, */ + /* {"interactive", no_argument, NULL, 'I'}, */ + /* {"quiet", no_argument, NULL, 'Q'}, */ + {0, 0, 0, 0} }; + + int getopt_ret; + int option_index; + char *endptr = NULL; + + while (-1 + != (getopt_ret = getopt_long(argc, argv, GETOPT_STRING, longopts, + &option_index))) { + const char *tmp_optarg = optarg; + + if ((optarg) && ('=' == *tmp_optarg)) { + ++tmp_optarg; + } + + switch (getopt_ret) { + case 'h': /* help */ + help(); + return -1; + + case 'V': /* verbose */ + config.verbosity++; + break; + + case 'n': /* dry-run */ + config.dry_run = true; + break; + + case 0xf: /* force */ + config.flags |= FPGA_RECONF_FORCE; + break; + + case 0xe: /* segment */ + if (NULL == tmp_optarg) + break; + endptr = NULL; + config.target.segment = + (int)strtoul(tmp_optarg, &endptr, 0); + if (endptr != tmp_optarg + strlen(tmp_optarg)) { + fprintf(stderr, "invalid segment: %s\n", + tmp_optarg); + return -1; + } + break; + + case 'B': /* bus */ + if (NULL == tmp_optarg) + break; + endptr = NULL; + config.target.bus = + (int)strtoul(tmp_optarg, &endptr, 0); + if (endptr != tmp_optarg + strlen(tmp_optarg)) { + fprintf(stderr, "invalid bus: %s\n", + tmp_optarg); + return -1; + } + break; + + case 'D': /* device */ + if (NULL == tmp_optarg) + break; + endptr = NULL; + config.target.device = + (int)strtoul(tmp_optarg, &endptr, 0); + if (endptr != tmp_optarg + strlen(tmp_optarg)) { + fprintf(stderr, "invalid device: %s\n", + tmp_optarg); + return -1; + } + break; + + case 'F': /* function */ + if (NULL == tmp_optarg) + break; + endptr = NULL; + config.target.function = + (int)strtoul(tmp_optarg, &endptr, 0); + if (endptr != tmp_optarg + strlen(tmp_optarg)) { + fprintf(stderr, "invalid function: %s\n", + tmp_optarg); + return -1; + } + break; + + case 'S': /* socket */ + if (NULL == tmp_optarg) + break; + endptr = NULL; + config.target.socket = + (int)strtoul(tmp_optarg, &endptr, 0); + if (endptr != tmp_optarg + strlen(tmp_optarg)) { + fprintf(stderr, "invalid socket: %s\n", + tmp_optarg); + return -1; + } + break; + + case 'A': /* auto */ + config.mode = AUTOMATIC; + break; + + case 'I': /* interactive */ + config.mode = INTERACTIVE; + break; + + case 'Q': /* quiet */ + config.verbosity = 0; + break; + + case 'v': /* version */ + fprintf(stdout, "fpgaconf %s %s%s\n", + OPAE_VERSION, + OPAE_GIT_COMMIT_HASH, + OPAE_GIT_SRC_TREE_DIRTY ? "*":""); + return -1; + + case ':': /* missing option argument */ + fprintf(stderr, "Missing option argument\n"); + return -1; + + case '?': + default: /* invalid option */ + fprintf(stderr, "Invalid cmdline options\n"); + return -1; + } + } + + /* use first non-option argument as GBS filename */ + if (optind == argc) { + fprintf(stderr, "No GBS file\n"); + return -1; + } + config.filename = canonicalize_file_name(argv[optind]); + if (config.filename) { + return 0; + } else { + fprintf(stderr, "Error locating GBS file specified: \"%s\"\n", strerror(errno)); + return -1; + } +} + +fpga_result get_fpga_interface_id(fpga_token token, fpga_guid interface_id) +{ + fpga_result result = FPGA_OK; + fpga_result resval = FPGA_OK; + fpga_properties filter = NULL; + fpga_objtype objtype; + fpga_guid guid; + + result = fpgaGetProperties(token, &filter); + if (result != FPGA_OK) { + OPAE_ERR("Failed to get Token Properties Object"); + goto out; + } + + result = fpgaPropertiesGetObjectType(filter, &objtype); + if (result != FPGA_OK) { + OPAE_ERR("Failed to get Token Properties Object"); + goto out_destroy; + } + + if (objtype != FPGA_DEVICE) { + OPAE_ERR("Invalid FPGA object type"); + result = FPGA_EXCEPTION; + goto out_destroy; + } + + result = fpgaPropertiesGetGUID(filter, &guid); + if (result != FPGA_OK) { + OPAE_ERR("Failed to get PR guid"); + goto out_destroy; + } + + memcpy(interface_id, guid, sizeof(fpga_guid)); + +out_destroy: + resval = (result != FPGA_OK) ? result : resval; + result = fpgaDestroyProperties(&filter); + if (result != FPGA_OK) { + OPAE_ERR("Failed to destroy properties"); + } + +out: + resval = (result != FPGA_OK) ? result : resval; + return resval; +} + +/* + * Prints Actual and Expected Interface id + */ +int print_interface_id(fpga_guid actual_interface_id) +{ + fpga_properties filter = NULL; + uint32_t num_matches = 0; + int retval = -1; + fpga_handle fpga_handle = NULL; + fpga_result res = -1; + fpga_token fpga_token = NULL; + fpga_guid expt_interface_id = {0}; + char guid_str[37] = {0}; + + res = fpgaGetProperties(NULL, &filter); + ON_ERR_GOTO(res, out_err, "creating properties object"); + + res = fpgaPropertiesSetObjectType(filter, FPGA_DEVICE); + ON_ERR_GOTO(res, out_destroy, "setting object type"); + + if (-1 != config.target.segment) { + res = fpgaPropertiesSetSegment(filter, config.target.segment); + ON_ERR_GOTO(res, out_destroy, "setting segment"); + } + + if (-1 != config.target.bus) { + res = fpgaPropertiesSetBus(filter, config.target.bus); + ON_ERR_GOTO(res, out_destroy, "setting bus"); + } + + if (-1 != config.target.device) { + res = fpgaPropertiesSetDevice(filter, config.target.device); + ON_ERR_GOTO(res, out_destroy, "setting device"); + } + + if (-1 != config.target.function) { + res = fpgaPropertiesSetFunction(filter, config.target.function); + ON_ERR_GOTO(res, out_destroy, "setting function"); + } + + if (-1 != config.target.socket) { + res = fpgaPropertiesSetSocketID(filter, config.target.socket); + ON_ERR_GOTO(res, out_destroy, "setting socket id"); + } + + res = fpgaEnumerate(&filter, 1, &fpga_token, 1, &num_matches); + ON_ERR_GOTO(res, out_destroy, "enumerating FPGAs"); + + if (num_matches > 0) { + retval = (int)num_matches; /* FPGA found */ + } else { + retval = 0; /* no FPGA found */ + goto out_destroy; + } + + res = fpgaOpen(fpga_token, &fpga_handle, 0); + ON_ERR_GOTO(res, out_destroy, "opening fpga"); + + res = get_fpga_interface_id(fpga_token, expt_interface_id); + ON_ERR_GOTO(res, out_close, "interfaceid get"); + + uuid_unparse(expt_interface_id, guid_str); + printf("Expected Interface id: %s\n", guid_str); + + uuid_unparse(actual_interface_id, guid_str); + printf("Actual Interface id: %s\n", guid_str); + + +out_close: + res = fpgaClose(fpga_handle); + ON_ERR_GOTO(res, out_destroy, "closing fme"); + +out_destroy: + if (fpga_token) + fpgaDestroyToken(&fpga_token); + res = fpgaDestroyProperties(&filter); /* not needed anymore */ + ON_ERR_GOTO(res, out_err, "destroying properties object"); +out_err: + return retval; +} + +/* + * Find first FPGA matching the interface ID of the GBS + * + * @returns the total number of FPGAs matching the interface ID + */ +int find_fpga(fpga_guid interface_id, fpga_token *fpga) +{ + fpga_properties filter = NULL; + uint32_t num_matches; + fpga_result res; + int retval = -1; + + /* Get number of FPGAs in system */ + res = fpgaGetProperties(NULL, &filter); + ON_ERR_GOTO(res, out_err, "creating properties object"); + + res = fpgaPropertiesSetObjectType(filter, FPGA_DEVICE); + ON_ERR_GOTO(res, out_destroy, "setting object type"); + + res = fpgaPropertiesSetGUID(filter, interface_id); + ON_ERR_GOTO(res, out_destroy, "setting interface ID"); + + if (-1 != config.target.segment) { + res = fpgaPropertiesSetSegment(filter, config.target.segment); + ON_ERR_GOTO(res, out_destroy, "setting segment"); + } + + if (-1 != config.target.bus) { + res = fpgaPropertiesSetBus(filter, config.target.bus); + ON_ERR_GOTO(res, out_destroy, "setting bus"); + } + + if (-1 != config.target.device) { + res = fpgaPropertiesSetDevice(filter, config.target.device); + ON_ERR_GOTO(res, out_destroy, "setting device"); + } + + if (-1 != config.target.function) { + res = fpgaPropertiesSetFunction(filter, config.target.function); + ON_ERR_GOTO(res, out_destroy, "setting function"); + } + + if (-1 != config.target.socket) { + res = fpgaPropertiesSetSocketID(filter, config.target.socket); + ON_ERR_GOTO(res, out_destroy, "setting socket id"); + } + + res = fpgaEnumerate(&filter, 1, fpga, 1, &num_matches); + ON_ERR_GOTO(res, out_destroy, "enumerating FPGAs"); + + if (num_matches > 0) { + retval = (int)num_matches; /* FPGA found */ + } else { + retval = 0; /* no FPGA found */ + } + +out_destroy: + res = fpgaDestroyProperties(&filter); /* not needed anymore */ + ON_ERR_GOTO(res, out_err, "destroying properties object"); +out_err: + return retval; +} + +int program_bitstream(fpga_token token, uint32_t slot_num, + opae_bitstream_info *info, int flags) +{ + fpga_handle handle; + fpga_result res; + + print_msg(2, "Opening FPGA"); + res = fpgaOpen(token, &handle, 0); + ON_ERR_GOTO(res, out_err, "opening FPGA"); + + print_msg(1, "Writing bitstream"); + if (config.dry_run) { + print_msg(1, "[--dry-run] Skipping reconfiguration"); + } else { + res = fpgaReconfigureSlot(handle, slot_num, info->data, + info->data_len, flags); + ON_ERR_GOTO(res, out_close, "writing bitstream to FPGA"); + } + + print_msg(2, "Closing FPGA"); + res = fpgaClose(handle); + ON_ERR_GOTO(res, out_err, "closing FPGA"); + return 1; + +out_close: + res = fpgaClose(handle); + ON_ERR_GOTO(res, out_err, "closing FPGA"); +out_err: + return -1; +} + + +int main(int argc, char *argv[]) +{ + int res; + fpga_result result; + int retval = 0; + opae_bitstream_info info; + fpga_token token; + uint32_t slot_num = 0; /* currently, we don't support multiple slots */ + + /* parse command line arguments */ + res = parse_args(argc, argv); + if (res < 0) { + retval = 1; + goto out_exit; + } + + if (config.dry_run) + printf("--dry-run is set\n"); + + /* allocate memory and read bitstream data */ + print_msg(1, "Reading bitstream"); + result = opae_load_bitstream(config.filename, &info); + if (result != FPGA_OK) { + retval = 2; + goto out_exit; + } + + /* find suitable slot */ + print_msg(1, "Looking for slot"); + res = find_fpga(info.pr_interface_id, &token); + if (res < 0) { + retval = 3; + goto out_free; + } + if (res == 0) { + fprintf(stderr, "No suitable slots found.\n"); + retval = 4; + if (config.verbosity > 0) + print_interface_id(info.pr_interface_id); + goto out_free; + } + if (res > 1) { + fprintf(stderr, + "Found more than one suitable slot, please be more specific.\n"); + retval = 5; + goto out_destroy; + } + print_msg(1, "Found slot"); + + /* program bitstream */ + print_msg(1, "Programming bitstream"); + res = program_bitstream(token, slot_num, &info, config.flags); + if (res < 0) { + retval = 5; + goto out_destroy; + } + print_msg(1, "Done"); + + /* clean up */ +out_destroy: + fpgaDestroyToken(&token); +out_free: + opae_unload_bitstream(&info); +out_exit: + if (config.filename) { + free(config.filename); + config.filename = NULL; + } + return retval; +} diff --git a/tools/fpgainfo/CMakeLists.txt b/tools/fpgainfo/CMakeLists.txt new file mode 100644 index 0000000..b93e7b0 --- /dev/null +++ b/tools/fpgainfo/CMakeLists.txt @@ -0,0 +1,49 @@ +## Copyright(c) 2018-2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +opae_add_executable(TARGET fpgainfo + SOURCE + main.c + fpgainfo.c + errors.c + fmeinfo.c + bmcinfo.c + bmcdata.c + powerinfo.c + tempinfo.c + portinfo.c + board.c + LIBS + argsfilter + opae-c + ${libjson-c_LIBRARIES} + COMPONENT toolfpgainfo +) + +target_include_directories(fpgainfo + PRIVATE + ${OPAE_SDK_SOURCE}/tools/argsfilter +) diff --git a/tools/fpgainfo/bmcdata.c b/tools/fpgainfo/bmcdata.c new file mode 100644 index 0000000..a4d3bdc --- /dev/null +++ b/tools/fpgainfo/bmcdata.c @@ -0,0 +1,152 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include "fpgainfo.h" +#include "bmcdata.h" +#include +#include +#include +#include + +fpga_result get_metrics(fpga_token token, + metrics_inquiry inquiry, + fpga_metric_info *metrics_info, + uint64_t *num_metrics_info, + fpga_metric *metrics, + uint64_t *num_metrics) +{ + if (!metrics_info || !metrics || !num_metrics || !num_metrics_info) { + return FPGA_INVALID_PARAM; + } + + fpga_result res = FPGA_OK; + fpga_result ret = FPGA_OK; + fpga_handle handle; + + /* open FPGA */ + res = fpgaOpen(token, &handle, FPGA_OPEN_SHARED); + ON_FPGAINFO_ERR_GOTO(res, out_exit, "opening FPGA"); + + res = fpgaGetNumMetrics(handle, num_metrics_info); + ON_FPGAINFO_ERR_GOTO(res, out_close, + "getting number of metrics"); + + res = fpgaGetMetricsInfo(handle, metrics_info, num_metrics_info); + ON_FPGAINFO_ERR_GOTO(res, out_close, + "getting metrics info"); + + /* get metrics */ + uint64_t id_array[METRICS_MAX_NUM]; + uint64_t i = 0; + uint64_t j = 0; + switch (inquiry) { + case FPGA_ALL: + for (i = 0; i < *num_metrics_info; ++i) { + id_array[j++] = i; + } + break; + case FPGA_POWER: + for (i = 0; i < *num_metrics_info; ++i) { + if (metrics_info[i].metric_type == FPGA_METRIC_TYPE_POWER) { + id_array[j++] = i; + } + } + break; + case FPGA_THERMAL: + for (i = 0; i < *num_metrics_info; ++i) { + if (metrics_info[i].metric_type == FPGA_METRIC_TYPE_THERMAL) { + id_array[j++] = i; + } + } + break; + case FPGA_PERF: + for (i = 0; i < *num_metrics_info; ++i) { + if (metrics_info[i].metric_type == FPGA_METRIC_TYPE_PERFORMANCE_CTR) { + id_array[j++] = i; + } + } + break; + } + + *num_metrics = j; + + if (*num_metrics == 0) { + goto out_close; + } + + res = fpgaGetMetricsByIndex(handle, id_array, *num_metrics, metrics); + ON_FPGAINFO_ERR_GOTO(res, out_close, "getting metrics"); + +out_close: + /* close FPGA */ + ret = (res != FPGA_OK) ? res : ret; + res = fpgaClose(handle); + ON_FPGAINFO_ERR_GOTO(res, out_exit, "closing FPGA"); + +out_exit: + ret = (res != FPGA_OK) ? res : ret; + return ret; +} + +void print_metrics(const fpga_metric_info *metrics_info, + uint64_t num_metrics_info, + const fpga_metric *metrics, uint64_t num_metrics) +{ + uint64_t i = 0; + for (i = 0; i < num_metrics; ++i) { + uint64_t idx = metrics[i].metric_num; + + if (metrics[i].isvalid) { + + if (idx < num_metrics_info) { + printf("(%2ld) %-27s : ", i + 1, metrics_info[idx].metric_name); + + switch (metrics_info[idx].metric_datatype) { + case FPGA_METRIC_DATATYPE_INT: + printf("%" PRId64 "", metrics[i].value.ivalue); + break; + case FPGA_METRIC_DATATYPE_DOUBLE: /* FALLTHROUGH */ + case FPGA_METRIC_DATATYPE_FLOAT: + printf("%0.2f", metrics[i].value.dvalue); + break; + case FPGA_METRIC_DATATYPE_BOOL: + printf("%d", metrics[i].value.bvalue); + break; + default: + OPAE_ERR("Metrics Invalid datatype"); + break; + } + + printf(" %s\n", metrics_info[idx].metric_units); + } + } else { + // Failed to read metric value + fprintf(stdout, "(%2ld) %-27s : %s\n", i + 1, metrics_info[idx].metric_name, "N/A"); + } + + } +} + diff --git a/tools/fpgainfo/bmcdata.h b/tools/fpgainfo/bmcdata.h new file mode 100644 index 0000000..5e93719 --- /dev/null +++ b/tools/fpgainfo/bmcdata.h @@ -0,0 +1,56 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifndef BMCDATA_H +#define BMCDATA_H + +#include +#include + +#define METRICS_MAX_NUM 256 + +#ifdef __cplusplus +extern "C" { +#endif + +typedef enum metrics_inquiry { FPGA_ALL, FPGA_POWER, FPGA_THERMAL, FPGA_PERF } metrics_inquiry; + +fpga_result get_metrics(fpga_token token, + metrics_inquiry inquiry, + fpga_metric_info *metrics_info, + uint64_t *num_metrics_info, + fpga_metric *metrics, + uint64_t *num_metrics); + +void print_metrics(const fpga_metric_info *metrics_info, + uint64_t num_metrics_info, + const fpga_metric *metrics, uint64_t num); + +#ifdef __cplusplus +} +#endif + +#endif //BMCDATA_H diff --git a/tools/fpgainfo/bmcinfo.c b/tools/fpgainfo/bmcinfo.c new file mode 100644 index 0000000..07e6f44 --- /dev/null +++ b/tools/fpgainfo/bmcinfo.c @@ -0,0 +1,231 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include "fpgainfo.h" +#include "bmcinfo.h" +#include "bmcdata.h" +#include "board.h" +#include +#include +#include +#include +#include +#include +#include +#include + + +/* + * Print help + */ +void bmc_help(void) +{ + printf("\nPrint all Board Management Controller sensor values\n" + " fpgainfo bmc [-h]\n" + " -h,--help Print this help\n" + "\n"); +} + +/* + * Print help + */ +void perf_help(void) +{ + printf("\nPrint performance counter values\n" + " fpgainfo perf [-h]\n" + " -h,--help Print this help\n" + "\n"); +} + +fpga_result bmc_filter(fpga_properties *filter, int argc, char *argv[]) +{ + (void)argc; + (void)argv; + fpga_result res = FPGA_OK; + res = fpgaPropertiesSetObjectType(*filter, FPGA_DEVICE); + fpgainfo_print_err("setting type to FPGA_DEVICE", res); + return res; +} + +static void print_bmc_info(fpga_token token) +{ + fpga_properties props; + fpga_metric_info metrics_info[METRICS_MAX_NUM]; + fpga_metric metrics[METRICS_MAX_NUM] = { { 0 } }; + uint64_t num_metrics; + uint64_t num_metrics_info; + fpga_result res = FPGA_OK; + + res = fpgaGetProperties(token, &props); + ON_FPGAINFO_ERR_GOTO(res, out_exit, + "reading properties from token"); + + fpgainfo_board_info(token); + fpgainfo_print_common("//****** BMC SENSORS ******//", props); + + res = get_metrics(token, FPGA_ALL, metrics_info, &num_metrics_info, metrics, &num_metrics); + ON_FPGAINFO_ERR_GOTO(res, out_destroy, + "reading metrics from BMC"); + + print_metrics(metrics_info, num_metrics_info, metrics, num_metrics); + +out_destroy: + res = fpgaDestroyProperties(&props); + ON_FPGAINFO_ERR_GOTO(res, out_exit, "destroying properties"); + +out_exit: + return; +} + +static void print_perf_info(fpga_token token) +{ + fpga_properties props; + fpga_metric_info metrics_info[METRICS_MAX_NUM]; + fpga_metric metrics[METRICS_MAX_NUM]; + uint64_t num_metrics; + uint64_t num_metrics_info; + fpga_result res = FPGA_OK; + + res = fpgaGetProperties(token, &props); + ON_FPGAINFO_ERR_GOTO(res, out_destroy, + "reading properties from token"); + fpgainfo_board_info(token); + fpgainfo_print_common("//****** PERFORMANCE COUNTERS ******//", props); + + res = get_metrics(token, FPGA_PERF, metrics_info, &num_metrics_info, metrics, &num_metrics); + ON_FPGAINFO_ERR_GOTO(res, out_destroy, + "reading metrics from BMC"); + + print_metrics(metrics_info, num_metrics_info, metrics, num_metrics); + +out_destroy: + fpgaDestroyProperties(&props); +} + + +fpga_result bmc_command(fpga_token *tokens, int num_tokens, int argc, + char *argv[]) +{ + (void)argc; + (void)argv; + + fpga_result res = FPGA_OK; + + optind = 0; + struct option longopts[] = { + {"help", no_argument, NULL, 'h'}, + {0, 0, 0, 0}, + }; + + int getopt_ret; + int option_index; + + while (-1 + != (getopt_ret = getopt_long(argc, argv, ":h", longopts, + &option_index))) { + const char *tmp_optarg = optarg; + + if ((optarg) && ('=' == *tmp_optarg)) { + ++tmp_optarg; + } + + switch (getopt_ret) { + case 'h': /* help */ + bmc_help(); + return res; + + case ':': /* missing option argument */ + OPAE_ERR("Missing option argument\n"); + bmc_help(); + return FPGA_INVALID_PARAM; + + case '?': + default: /* invalid option */ + OPAE_ERR("Invalid cmdline options\n"); + bmc_help(); + return FPGA_INVALID_PARAM; + } + } + + int i = 0; + for (i = 0; i < num_tokens; ++i) { + print_bmc_info(tokens[i]); + } + + return res; +} + +fpga_result perf_command(fpga_token *tokens, int num_tokens, int argc, + char *argv[]) +{ + (void)argc; + (void)argv; + + fpga_result res = FPGA_OK; + + optind = 0; + struct option longopts[] = { + {"help", no_argument, NULL, 'h'}, + {0, 0, 0, 0}, + }; + + int getopt_ret; + int option_index; + + while (-1 + != (getopt_ret = getopt_long(argc, argv, ":h", longopts, + &option_index))) { + const char *tmp_optarg = optarg; + + if ((optarg) && ('=' == *tmp_optarg)) { + ++tmp_optarg; + } + + switch (getopt_ret) { + case 'h': /* help */ + perf_help(); + return res; + + case ':': /* missing option argument */ + OPAE_ERR("Missing option argument\n"); + perf_help(); + return FPGA_INVALID_PARAM; + + case '?': + default: /* invalid option */ + OPAE_ERR("Invalid cmdline options\n"); + perf_help(); + return FPGA_INVALID_PARAM; + } + } + + int i = 0; + for (i = 0; i < num_tokens; ++i) { + print_perf_info(tokens[i]); + } + + return res; +} diff --git a/tools/fpgainfo/bmcinfo.h b/tools/fpgainfo/bmcinfo.h new file mode 100644 index 0000000..a1d1552 --- /dev/null +++ b/tools/fpgainfo/bmcinfo.h @@ -0,0 +1,55 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +/* + * @file bmcinfo.h + * + * @brief + */ +#ifndef BMCINFO_H +#define BMCINFO_H + +#include +#include +#include + +#ifdef __cplusplus +extern "C" { +#endif + +fpga_result bmc_filter(fpga_properties *filter, int argc, char *argv[]); +fpga_result bmc_command(fpga_token *tokens, int num_tokens, int argc, + char *argv[]); +fpga_result perf_command(fpga_token *tokens, int num_tokens, int argc, + char *argv[]); +void perf_help(void); +void bmc_help(void); + + +#ifdef __cplusplus +} +#endif + +#endif /* !BMCINFO_H */ diff --git a/tools/fpgainfo/board.c b/tools/fpgainfo/board.c new file mode 100644 index 0000000..5aca968 --- /dev/null +++ b/tools/fpgainfo/board.c @@ -0,0 +1,618 @@ +// Copyright(c) 2019-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H + +#ifndef __USE_GNU +#define __USE_GNU +#endif +#ifndef _GNU_SOURCE +#define _GNU_SOURCE +#endif + +#include +#include "fpgainfo.h" +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#include "board.h" + + +static pthread_mutex_t board_plugin_lock = PTHREAD_RECURSIVE_MUTEX_INITIALIZER_NP; + +// Board plug-in table +static platform_data platform_data_table[] = { + { 0x8086, 0x09c4, "libboard_rc.so", NULL }, + { 0x8086, 0x09c5, "libboard_rc.so", NULL }, + { 0x8086, 0x0b30, "libboard_vc.so", NULL }, + { 0x8086, 0x0b31, "libboard_vc.so", NULL }, + { 0x8086, 0x0b2b, "libboard_dc.so", NULL }, + { 0x8086, 0x0b2c, "libboard_dc.so", NULL }, + { 0, 0, NULL, NULL }, +}; + +void *find_plugin(const char *libpath) +{ + char plugin_path[PATH_MAX]; + const char *search_paths[] = { OPAE_MODULE_SEARCH_PATHS }; + unsigned i; + void *dl_handle; + + for (i = 0 ; + i < sizeof(search_paths) / sizeof(search_paths[0]) ; + ++i) { + snprintf(plugin_path, sizeof(plugin_path), + "%s%s", search_paths[i], libpath); + + dl_handle = dlopen(plugin_path, RTLD_LAZY | RTLD_LOCAL); + if (dl_handle) + return dl_handle; + } + + return NULL; +} + +fpga_result load_board_plugin(fpga_token token, void **dl_handle) +{ + fpga_result res = FPGA_OK; + fpga_result resval = FPGA_OK; + fpga_properties props = NULL; + uint16_t vendor_id = 0; + uint16_t device_id = 0; + int i = 0; + + if (token == NULL || dl_handle == NULL) { + OPAE_ERR("Invalid input parameter"); + return FPGA_INVALID_PARAM; + } + + res = fpgaGetProperties(token, &props); + if (res != FPGA_OK) { + OPAE_ERR("Failed to get properties\n"); + return FPGA_INVALID_PARAM; + } + + res = fpgaPropertiesGetDeviceID(props, &device_id); + if (res != FPGA_OK) { + OPAE_ERR("Failed to get device ID\n"); + resval = res; + goto destroy; + } + + res = fpgaPropertiesGetVendorID(props, &vendor_id); + if (res != FPGA_OK) { + OPAE_ERR("Failed to get vendor ID\n"); + resval = res; + goto destroy; + } + + if (pthread_mutex_lock(&board_plugin_lock) != 0) { + OPAE_ERR("pthread mutex lock failed \n"); + resval = FPGA_EXCEPTION; + goto destroy; + } + + for (i = 0; platform_data_table[i].board_plugin; ++i) { + + if (platform_data_table[i].device_id == device_id && + platform_data_table[i].vendor_id == vendor_id) { + + // Loaded lib or found + if (platform_data_table[i].dl_handle) { + *dl_handle = platform_data_table[i].dl_handle; + resval = FPGA_OK; + goto unlock_destroy; + } + + platform_data_table[i].dl_handle = find_plugin(platform_data_table[i].board_plugin); + if (!platform_data_table[i].dl_handle) { + char *err = dlerror(); + OPAE_ERR("Failed to load \"%s\" %s", platform_data_table[i].board_plugin, err ? err : ""); + resval = FPGA_EXCEPTION; + goto unlock_destroy; + } else { + // Dynamically loaded board module + *dl_handle = platform_data_table[i].dl_handle; + resval = FPGA_OK; + goto unlock_destroy; + } + } //end if + + } // end for + + +unlock_destroy: + + if (pthread_mutex_unlock(&board_plugin_lock) != 0) { + OPAE_ERR("pthread mutex unlock failed \n"); + resval = FPGA_EXCEPTION; + } + +destroy: + res = fpgaDestroyProperties(&props); + if (res != FPGA_OK) { + OPAE_ERR("Failed to Destroy Object"); + } + + if (*dl_handle == NULL) { + OPAE_MSG("Failed to load board module"); + resval = FPGA_EXCEPTION; + } + + return resval; +} + +int unload_board_plugin(void) +{ + int i = 0; + fpga_result res = FPGA_OK; + fpga_result resval = FPGA_OK; + + if (pthread_mutex_lock(&board_plugin_lock) != 0) { + OPAE_ERR("pthread mutex lock failed \n"); + return FPGA_EXCEPTION; + } + + for (i = 0; platform_data_table[i].board_plugin; ++i) { + + if (platform_data_table[i].dl_handle) { + + res = dlclose(platform_data_table[i].dl_handle); + if (res) { + char *err = dlerror(); + OPAE_ERR("dlclose failed with %d %s", res, err ? err : ""); + resval = FPGA_EXCEPTION; + } else { + platform_data_table[i].dl_handle = NULL; + } + } //end if + + } // end for + + if (pthread_mutex_unlock(&board_plugin_lock) != 0) { + OPAE_ERR("pthread mutex unlock failed \n"); + resval = FPGA_EXCEPTION; + } + + return resval; +} + +/* + * Print help + */ +void mac_help(void) +{ + printf("\nPrint MAC information\n" + " fpgainfo mac [-h]\n" + " -h,--help Print this help\n" + "\n"); +} + +#define MAC_GETOPT_STRING ":h" +int parse_mac_args(int argc, char *argv[]) +{ + struct option longopts[] = { + {"help", no_argument, NULL, 'h'}, + {0, 0, 0, 0}, + }; + int getopt_ret; + int option_index; + + optind = 0; + while (-1 != (getopt_ret = getopt_long(argc, argv, MAC_GETOPT_STRING, + longopts, &option_index))) { + const char *tmp_optarg = optarg; + + if (optarg && ('=' == *tmp_optarg)) { + ++tmp_optarg; + } + + switch (getopt_ret) { + case 'h': /* help */ + mac_help(); + return -1; + + case ':': /* missing option argument */ + fprintf(stderr, "Missing option argument\n"); + mac_help(); + return -1; + + case '?': + default: /* invalid option */ + fprintf(stderr, "Invalid cmdline options\n"); + mac_help(); + return -1; + } + } + + return 0; +} + +fpga_result mac_filter(fpga_properties *filter, int argc, char *argv[]) +{ + fpga_result res = FPGA_INVALID_PARAM; + + if (0 == parse_mac_args(argc, argv)) { + res = fpgaPropertiesSetObjectType(*filter, FPGA_DEVICE); + fpgainfo_print_err("Setting type to FPGA_DEVICE", res); + } + return res; +} + +fpga_result mac_command(fpga_token *tokens, int num_tokens, int argc, + char *argv[]) +{ + (void)argc; + (void)argv; + fpga_result res = FPGA_OK; + fpga_properties props; + + int i = 0; + for (i = 0; i < num_tokens; ++i) { + + res = fpgaGetProperties(tokens[i], &props); + if (res != FPGA_OK) { + OPAE_ERR("Failed to get properties\n"); + continue; + } + + fpgainfo_board_info(tokens[i]); + fpgainfo_print_common("//****** MAC ******//", props); + res = mac_info(tokens[i]); + if (res != FPGA_OK) { + printf("mac info is not supported\n"); + } + + } + + return FPGA_OK; +} + + +//phy + +/* + * Print help + */ +void phy_help(void) +{ + printf("\nPrint PHY information\n" + " fpgainfo phy [-h] [-G ]\n" + " -h,--help Print this help\n" + " -G,--group Select PHY group {0,1,all}\n" + "\n"); +} + +#define PHY_GETOPT_STRING ":G:h" +int group_num; +int parse_phy_args(int argc, char *argv[]) +{ + struct option longopts[] = { + {"group", required_argument, NULL, 'G'}, + {"help", no_argument, NULL, 'h'}, + {0, 0, 0, 0}, + }; + int getopt_ret; + int option_index; + + /* default configuration */ + group_num = -1; + + optind = 0; + while (-1 != (getopt_ret = getopt_long(argc, argv, PHY_GETOPT_STRING, + longopts, &option_index))) { + const char *tmp_optarg = optarg; + + if (optarg && ('=' == *tmp_optarg)) { + ++tmp_optarg; + } + + switch (getopt_ret) { + case 'G': + if (NULL == tmp_optarg) { + fprintf(stderr, "Invalid argument group\n"); + return -1; + } + if (!strcmp("0", tmp_optarg)) { + group_num = 0; + } else if (!strcmp("1", tmp_optarg)) { + group_num = 1; + } else if (!strcmp("all", tmp_optarg)) { + group_num = -1; + } else { + fprintf(stderr, "Invalid argument '%s' of option group\n", + tmp_optarg); + return -1; + } + break; + + case 'h': /* help */ + phy_help(); + return -1; + + case ':': /* missing option argument */ + fprintf(stderr, "Missing option argument\n"); + phy_help(); + return -1; + + case '?': + default: /* invalid option */ + fprintf(stderr, "Invalid cmdline options\n"); + phy_help(); + return -1; + } + } + + return 0; +} + +fpga_result phy_filter(fpga_properties *filter, int argc, char *argv[]) +{ + fpga_result res = FPGA_INVALID_PARAM; + + if (0 == parse_phy_args(argc, argv)) { + res = fpgaPropertiesSetObjectType(*filter, FPGA_DEVICE); + fpgainfo_print_err("setting type to FPGA_DEVICE", res); + } + return res; +} + +fpga_result phy_command(fpga_token *tokens, int num_tokens, int argc, + char *argv[]) +{ + (void)argc; + (void)argv; + fpga_result res = FPGA_OK; + fpga_properties props; + + int i = 0; + for (i = 0; i < num_tokens; ++i) { + res = fpgaGetProperties(tokens[i], &props); + if (res != FPGA_OK) { + OPAE_ERR("Failed to get properties\n"); + continue; + } + + fpgainfo_board_info(tokens[i]); + fpgainfo_print_common("//****** PHY ******//", props); + res = phy_group_info(tokens[i]); + if (res != FPGA_OK) { + printf("phy group info is not supported\n"); + } + + } + + return FPGA_OK; +} + + +// prints board version info +fpga_result fpgainfo_board_info(fpga_token token) +{ + fpga_result res = FPGA_OK; + void *dl_handle = NULL; + + // Board version + fpga_result(*print_board_info)(fpga_token token); + + res = load_board_plugin(token, &dl_handle); + if (res != FPGA_OK) { + OPAE_MSG("Failed to load board plugin\n"); + goto out; + } + + print_board_info = dlsym(dl_handle, "print_board_info"); + if (print_board_info) { + res = print_board_info(token); + } else { + OPAE_ERR("No print_board_info entry point:%s\n", dlerror()); + res = FPGA_NOT_FOUND; + } + +out: + return res; +} + +// Prints mac info +fpga_result mac_info(fpga_token token) +{ + fpga_result res = FPGA_OK; + void *dl_handle = NULL; + + // mac information + fpga_result(*print_mac_info)(fpga_token token); + + res = load_board_plugin(token, &dl_handle); + if (res != FPGA_OK) { + OPAE_MSG("Failed to load board plugin\n"); + goto out; + } + + print_mac_info = dlsym(dl_handle, "print_mac_info"); + if (print_mac_info) { + res = print_mac_info(token); + } else { + OPAE_MSG("No print_mac_info entry point:%s\n", dlerror()); + res = FPGA_NOT_FOUND; + } + +out: + return res; +} + +// prints PHY group info +fpga_result phy_group_info(fpga_token token) +{ + fpga_result res = FPGA_OK; + void *dl_handle = NULL; + + // phy group info + fpga_result(*print_phy_info)(fpga_token token); + + res = load_board_plugin(token, &dl_handle); + if (res != FPGA_OK) { + OPAE_MSG("Failed to load board plugin\n"); + goto out; + } + + print_phy_info = dlsym(dl_handle, "print_phy_info"); + if (print_phy_info) { + res = print_phy_info(token); + } else { + OPAE_MSG("No print_phy_info entry point:%s\n", dlerror()); + res = FPGA_NOT_FOUND; + } + +out: + return res; +} + + +void sec_help(void) +{ + printf("\nPrint security information\n" + " fpgainfo security [-h]\n" + " -h,--help Print this help\n" + "\n"); +} + +#define SEC_GETOPT_STRING ":h" +int parse_sec_args(int argc, char *argv[]) +{ + struct option longopts[] = { + {"help", no_argument, NULL, 'h'}, + {0, 0, 0, 0}, + }; + int getopt_ret; + int option_index; + + optind = 0; + while (-1 != (getopt_ret = getopt_long(argc, argv, SEC_GETOPT_STRING, + longopts, &option_index))) { + const char *tmp_optarg = optarg; + + if (optarg && ('=' == *tmp_optarg)) { + ++tmp_optarg; + } + + switch (getopt_ret) { + case 'h': /* help */ + mac_help(); + return -1; + + case ':': /* missing option argument */ + fprintf(stderr, "Missing option argument\n"); + mac_help(); + return -1; + + case '?': + default: /* invalid option */ + fprintf(stderr, "Invalid cmdline options\n"); + mac_help(); + return -1; + } + } + + return 0; +} + +fpga_result sec_filter(fpga_properties *filter, int argc, char *argv[]) +{ + fpga_result res = FPGA_INVALID_PARAM; + + if (0 == parse_sec_args(argc, argv)) { + res = fpgaPropertiesSetObjectType(*filter, FPGA_DEVICE); + fpgainfo_print_err("Setting type to FPGA_DEVICE", res); + } + return res; +} + +fpga_result sec_command(fpga_token *tokens, int num_tokens, int argc, + char *argv[]) +{ + (void)argc; + (void)argv; + fpga_result res = FPGA_OK; + fpga_properties props; + + int i = 0; + for (i = 0; i < num_tokens; ++i) { + + res = fpgaGetProperties(tokens[i], &props); + if (res != FPGA_OK) { + OPAE_ERR("Failed to get properties\n"); + continue; + } + + fpgainfo_board_info(tokens[i]); + fpgainfo_print_common("//****** MAC ******//", props); + res = sec_info(tokens[i]); + if (res != FPGA_OK) { + printf("mac info is not supported\n"); + } + + } + + return FPGA_OK; +} + +// Prints Sec info +fpga_result sec_info(fpga_token token) +{ + fpga_result res = FPGA_OK; + void *dl_handle = NULL; + + // Sec information + fpga_result(*print_sec_info)(fpga_token token); + + res = load_board_plugin(token, &dl_handle); + if (res != FPGA_OK) { + OPAE_MSG("Failed to load board plugin\n"); + goto out; + } + + print_sec_info = dlsym(dl_handle, "print_sec_info"); + if (print_sec_info) { + res = print_sec_info(token); + } else { + OPAE_MSG("No print_sec_info entry point:%s\n", dlerror()); + res = FPGA_NOT_FOUND; + } + +out: + return res; +} diff --git a/tools/fpgainfo/board.h b/tools/fpgainfo/board.h new file mode 100644 index 0000000..f0f5466 --- /dev/null +++ b/tools/fpgainfo/board.h @@ -0,0 +1,79 @@ +// Copyright(c) 2019, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +/* + * @file board.h + * + * @brief + */ +#ifndef _FPGA_BOARD_H +#define _FPGA_BOARD_H + +#include + +#ifdef __cplusplus +extern "C" { +#endif + +typedef struct _platform_data { + uint16_t vendor_id; + uint16_t device_id; + char *board_plugin; + void *dl_handle; +} platform_data; + +fpga_result load_board_plugin(fpga_token token, void **dl_handle); +int unload_board_plugin(void); + +// Board info +fpga_result fpgainfo_board_info(fpga_token token); + +// mac info +fpga_result mac_filter(fpga_properties *filter, int argc, char *argv[]); +fpga_result mac_command(fpga_token *tokens, int num_tokens, int argc, + char *argv[]); +void mac_help(void); +fpga_result mac_info(fpga_token token); + +// phy group info +fpga_result phy_filter(fpga_properties *filter, int argc, char *argv[]); +fpga_result phy_command(fpga_token *tokens, int num_tokens, int argc, + char *argv[]); +void phy_help(void); +fpga_result phy_group_info(fpga_token token); + +// sec group info +fpga_result sec_filter(fpga_properties *filter, int argc, char *argv[]); +fpga_result sec_command(fpga_token *tokens, int num_tokens, int argc, + char *argv[]); +void sec_help(void); +fpga_result sec_info(fpga_token token); + +#ifdef __cplusplus +} +#endif + +#endif /* !_FPGA_BOARD_H */ diff --git a/tools/fpgainfo/errors.c b/tools/fpgainfo/errors.c new file mode 100644 index 0000000..dd44e1b --- /dev/null +++ b/tools/fpgainfo/errors.c @@ -0,0 +1,480 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +/* + * @file errors.c + * + * @brief fpga error reporting + * + */ +#include +#include +#include +#include +#include +#include + +#include "fpgainfo.h" +#include +#include "errors.h" + +#define FPGA_BIT_IS_SET(val, index) (((val) >> (index)) & 1) + +const char *supported_verbs[] = {"all", "fme", "port"}; +enum verbs_index { VERB_ALL = 0, VERB_FME, VERB_PORT, VERB_MAX }; + +#define FME_ERROR_COUNT 7 +static const char *const FME_ERROR[FME_ERROR_COUNT] = { + "Fabric error detected", + "Fabric fifo under / overflow error detected", + "KTI CDC Parity Error detected", + "KTI CDC Parity Error detected", + "IOMMU Parity error detected", + "AFU PF/VF access mismatch detected", + "Indicates an MBP event error detected"}; + +#define PCIE0_ERROR_COUNT 10 +static const char *const PCIE0_ERROR[PCIE0_ERROR_COUNT] = { + "TLP format/type error detected", "TTLP MW address error detected", + "TLP MW length error detected", "TLP MR address error detected", + "TLP MR length error detected", "TLP CPL tag error detected", + "TLP CPL status error detected", "TLP CPL timeout error detected", + "CCI bridge parity error detected", "TLP with EP error detected"}; + +#define PCIE1_ERROR_COUNT 10 +static const char *const PCIE1_ERROR[PCIE1_ERROR_COUNT] = { + "TLP format/type error detected", "TTLP MW address error detected", + "TLP MW length error detected", "TLP MR address error detected", + "TLP MR length error detected", "TLP CPL tag error detected", + "TLP CPL status error detected", "TLP CPL timeout error detected", + "CCI bridge parity error detected", "TLP with EP error detected"}; + +#define NONFATAL_ERROR_COUNT 13 +static const char *const NONFATAL_ERROR[NONFATAL_ERROR_COUNT] = { + "Temperature threshold triggered AP1 detected", + "Temperature threshold triggered AP2 detected", + "PCIe error detected", + "AFU port Fatal error detected", + "ProcHot event error detected", + "AFU PF/VF access mismatch error detected", + "Injected Warning Error detected", + "Reserved", + "Reserved", + "Temperature threshold triggered AP6 detected", + "Power threshold triggered AP1 error detected", + "Power threshold triggered AP2 error detected", + "MBP event error detected"}; + +#define CATFATAL_ERROR_COUNT 12 +static const char *const CATFATAL_ERROR[CATFATAL_ERROR_COUNT] = { + "KTI link layer error detected.", + "tag-n-cache error detected.", + "CCI error detected.", + "KTI protocol error detected.", + "Fatal DRAM error detected", + "IOMMU fatal parity error detected.", + "Fabric fatal error detected", + "Poison error from any of PCIe ports detected", + "Injected Fatal Error detected", + "Catastrophic CRC error detected", + "Catastrophic thermal runaway event detected", + "Injected Catastrophic Error detected"}; + +#define INJECT_ERROR_COUNT 3 +static const char *const INJECT_ERROR[INJECT_ERROR_COUNT] = { + "Set Catastrophic error .", "Set Fatal error.", + "Ser Non-fatal error ."}; + +#define PORT_ERROR_COUNT 60 +static const char *const PORT_ERROR[PORT_ERROR_COUNT] = { + // 0 + "Tx Channel 0 overflow error detected.", + "Tx Channel 0 invalid request encoding error detected.", + "Tx Channel 0 cl_len=3 not supported error detected.", + "Tx Channel 0 request with cl_len=2 does NOT have a 2CL aligned address error detected.", + "Tx Channel 0 request with cl_len=4 does NOT have a 4CL aligned address error detected.", + "RSVD.", + "RSVD.", + "RSVD.", + "RSVD.", + "AFU MMIO RD received while PORT is in reset error detected", + // 10 + "AFU MMIO WR received while PORT is in reset error detected", + "RSVD.", + "RSVD.", + "RSVD.", + "RSVD.", + "RSVD.", + "Tx Channel 1 invalid request encoding error detected", + "Tx Channel 1 cl_len=3 not supported error detected.", + "Tx Channel 1 request with cl_len=2 does NOT have a 2CL aligned address error detected", + "Tx Channel 1 request with cl_len=4 does NOT have a 4CL aligned address error detected", + // 20 + "Tx Channel 1 insufficient data payload Error detected", + "Tx Channel 1 data payload overrun error detected", + "Tx Channel 1 incorrect address on subsequent payloads error detected", + "Tx Channel 1 Non-zero SOP detected for requests!=WrLine_* error detected", + "Tx Channel 1 SOP expected to be 0 for req_type!=WrLine_*", + "Tx Channel 1 Illegal VC_SEL. Atomic request is only supported on VL0 error detected", + "RSVD.", + "RSVD.", + "RSVD.", + "RSVD.", + // 30 + "RSVD.", + "RSVD.", + "MMIO TimedOut error detected", + "Tx Channel 2 fifo overflo error detected", + "MMIO Read response received, with no matching request pending error detected", + "RSVD.", + "RSVD.", + "RSVD.", + "RSVD.", + "RSVD.", + // 40 + "Number of pending requests: counter overflow error detected", + "Request with Address violating SMM range error detected", + "Request with Address violating second SMM range error detected", + "Request with Address violating ME stolen range", + "Request with Address violating Generic protected range error detected ", + "Request with Address violating Legacy Range Low error detected", + "Request with Address violating Legacy Range High error detected", + "Request with Address violating VGA memory range error detected", + "Page Fault error detected", + "PMR Erro error detected", + // 50 + "AP6 event detected", + "VF FLR detected on port when PORT configured in PF access mode error detected ", + "RSVD.", + "RSVD.", + "RSVD.", + "RSVD.", + "Tx Channel 1 byte_len cannot be zero", + "Tx Channel 1 illegal operation: sum of byte_len and byte_start should be less than or equal to 64", + "Tx Channel 1 illegal operation: cl_len cannot be non-zero when mode is eMOD_BYTE", + "Tx Channel 1 byte_len and byte_start should be zero when mode is not eMOD_BYTE" +}; + +/* + * errors command configuration, set during parse_args() + */ +static struct errors_config { + bool clear; + int force_count; + enum verbs_index which; + bool help_only; +} errors_config = {.clear = false, .which = VERB_ALL, .help_only = false}; + +/* + * Print help + */ +void errors_help(void) +{ + unsigned int i; + + printf("\nPrint and clear errors\n" + " fpgainfo errors [-h] [-c] {"); + printf("%s", supported_verbs[0]); + for (i = 1; i < sizeof(supported_verbs) / sizeof(supported_verbs[0]); + i++) { + printf(",%s", supported_verbs[i]); + } + printf("}\n\n" + " -h,--help Print this help\n" + " -c,--clear Clear all errors\n" + " --force Retry clearing errors 64 times\n" + " to clear certain error conditions\n" + "\n"); + errors_config.help_only = true; +} + +#define ERRORS_GETOPT_STRING ":chf" +int parse_error_args(int argc, char *argv[]) +{ + optind = 0; + struct option longopts[] = { + {"clear", no_argument, NULL, 'c'}, + {"force", no_argument, NULL, 'f'}, + {"help", no_argument, NULL, 'h'}, + {0, 0, 0, 0}, + }; + + int getopt_ret; + int option_index; + errors_config.force_count = 1; + + while (-1 + != (getopt_ret = getopt_long(argc, argv, ERRORS_GETOPT_STRING, + longopts, &option_index))) { + const char *tmp_optarg = optarg; + + if ((optarg) && ('=' == *tmp_optarg)) { + ++tmp_optarg; + } + + switch (getopt_ret) { + case 'c': /* clear */ + errors_config.clear = true; + break; + + case 'f': /* Force */ + errors_config.clear = true; + errors_config.force_count = 64; + break; + + case 'h': /* help */ + errors_help(); + return -1; + + case ':': /* missing option argument */ + OPAE_ERR("Missing option argument\n"); + errors_help(); + return -1; + + case '?': + default: /* invalid option */ + OPAE_ERR("Invalid cmdline options\n"); + errors_help(); + return -1; + } + } + + // The word after 'errors' should be what to operate on ("all", "fme", + // or "port") + optind++; + if (argc < optind + 1) { + OPAE_ERR("Not enough parameters\n"); + errors_help(); + return -1; + } + + if ((optind < argc) && + !strcmp(argv[optind - 1], "errors")) { + char *verb = argv[optind]; + size_t idx = str_in_list(verb, supported_verbs, VERB_MAX); + if (idx < VERB_MAX) { + errors_config.which = idx; + } else { + OPAE_ERR("Not a valid errors resource spec: %s\n", verb); + errors_help(); + return -1; + } + } else { + OPAE_ERR("Not a valid errors resource spec: %s\n", + argv[optind - 1]); + errors_help(); + return -1; + } + + return 0; +} + +fpga_result errors_filter(fpga_properties *filter, int argc, char *argv[]) +{ + fpga_result res = FPGA_OK; + if (0 == parse_error_args(argc, argv)) { + switch (errors_config.which) { + case VERB_FME: + res = fpgaPropertiesSetObjectType(*filter, FPGA_DEVICE); + ON_FPGAINFO_ERR_GOTO(res, out, + "setting type to FPGA_DEVICE"); + break; + case VERB_PORT: + res = fpgaPropertiesSetObjectType(*filter, + FPGA_ACCELERATOR); + ON_FPGAINFO_ERR_GOTO( + res, out, "setting type to FPGA_ACCELERATOR"); + break; + case VERB_ALL: + default: + break; + } + } +out: + return res; +} + +static void print_errors_info(fpga_token token, fpga_properties props, + struct fpga_error_info *errinfos, + uint32_t num_errors) +{ + int i; + int j; + fpga_result res = FPGA_OK; + fpga_objtype objtype; + const char *const *error_string = NULL; + int size = 0; + + if ((NULL == errinfos) || (0 == num_errors)) { + return; + } + + if (errors_config.clear) { + for (i = 0; i < errors_config.force_count; i++) { + fpgaClearAllErrors(token); + } + } + + res = fpgaPropertiesGetObjectType(props, &objtype); + fpgainfo_print_err("reading objtype from properties", res); + + if (((VERB_ALL == errors_config.which) + || (VERB_FME == errors_config.which)) + && (FPGA_DEVICE == objtype)) { + fpgainfo_print_common("//****** FME ERRORS ******//", props); + + for (i = 0; i < (int)num_errors; i++) { + uint64_t error_value = 0; + + res = fpgaReadError(token, i, &error_value); + fpgainfo_print_err("reading error for FME", res); + + printf("%-32s : 0x%" PRIX64 "\n", errinfos[i].name, + error_value); + + if (!strcmp(errinfos[i].name, "Errors")) { + size = FME_ERROR_COUNT; + error_string = FME_ERROR; + } else if (!strcmp(errinfos[i].name, "Next Error")) { + size = 0; + error_string = NULL; + } else if (!strcmp(errinfos[i].name, "First Error")) { + size = 0; + error_string = NULL; + } else if (!strcmp(errinfos[i].name, "PCIe0 Errors")) { + size = PCIE0_ERROR_COUNT; + error_string = PCIE0_ERROR; + } else if (!strcmp(errinfos[i].name, "Inject Error")) { + size = INJECT_ERROR_COUNT; + error_string = INJECT_ERROR; + } else if (!strcmp(errinfos[i].name, "Catfatal Errors")) { + size = CATFATAL_ERROR_COUNT; + error_string = CATFATAL_ERROR; + } else if (!strcmp(errinfos[i].name, "Nonfatal Errors")) { + size = NONFATAL_ERROR_COUNT; + error_string = NONFATAL_ERROR; + } else if (!strcmp(errinfos[i].name, "PCIe1 Errors")) { + size = PCIE1_ERROR_COUNT; + error_string = PCIE1_ERROR; + } + + for (j = 0; (j < size) && (NULL != error_string); j++) { + if (FPGA_BIT_IS_SET(error_value, j)) { + printf("\t %s \n", error_string[j]); + } + } + } + } else if (((VERB_ALL == errors_config.which) + || (VERB_PORT == errors_config.which)) + && (FPGA_ACCELERATOR == objtype)) { + fpgainfo_print_common("//****** PORT ERRORS ******//", props); + + for (i = 0; i < (int)num_errors; i++) { + uint64_t error_value = 0; + res = fpgaReadError(token, i, &error_value); + fpgainfo_print_err("reading error for PORT", res); + + printf("%-32s : 0x%" PRIX64 "\n", errinfos[i].name, + error_value); + + if (!strcmp(errinfos[i].name, "Errors")) { + size = PORT_ERROR_COUNT; + error_string = PORT_ERROR; + } else if (!strcmp(errinfos[i].name, "First Malformed Req")) { + size = 0; + error_string = NULL; + } else if (!strcmp(errinfos[i].name, "First Error")) { + size = 0; + error_string = NULL; + } + + for (j = 0; (j < size) && (NULL != error_string); j++) { + if (FPGA_BIT_IS_SET(error_value, j)) { + printf("\t %s \n", error_string[j]); + } + } + } + } +} + +fpga_result errors_command(fpga_token *tokens, int num_tokens, int argc, + char *argv[]) +{ + (void)argc; + (void)argv; + fpga_result res = FPGA_OK; + fpga_properties props; + struct fpga_error_info *errinfos = NULL; + + if (errors_config.help_only) { + return res; + } + + int i = 0; + for (i = 0; i < num_tokens; ++i) { + uint32_t num_errors; + + res = fpgaGetProperties(tokens[i], &props); + if (res == FPGA_OK) { + res = fpgaPropertiesGetNumErrors(props, &num_errors); + fpgainfo_print_err("reading errors from properties", res); + + if (num_errors != 0) { + int j; + errinfos = (struct fpga_error_info *)calloc( + num_errors, sizeof(*errinfos)); + if (!errinfos) { + res = FPGA_NO_MEMORY; + OPAE_ERR("Error allocating memory"); + goto destroy_and_free; + } + + for (j = 0; j < (int)num_errors; j++) { + res = fpgaGetErrorInfo(tokens[i], j, + &errinfos[j]); + fpgainfo_print_err( + "reading error info structure", res); + replace_chars(errinfos[j].name, '_', ' '); + upcase_pci(errinfos[j].name, + strnlen(errinfos[j].name, 4096)); + upcase_first(errinfos[j].name); + } + } + + print_errors_info(tokens[i], props, errinfos, num_errors); + destroy_and_free: + free(errinfos); + errinfos = NULL; + fpgaDestroyProperties(&props); + if (res == FPGA_NO_MEMORY) { + break; + } + } else { + fpgainfo_print_err("reading properties from token", res); + } + } + + return res; +} diff --git a/tools/fpgainfo/errors.h b/tools/fpgainfo/errors.h new file mode 100644 index 0000000..1077ab0 --- /dev/null +++ b/tools/fpgainfo/errors.h @@ -0,0 +1,51 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +/* + * @file errors.h + * + * @brief fpga error reporting + * + */ +#ifndef ERRORS_H +#define ERRORS_H + +#include +#include + +#ifdef __cplusplus +extern "C" { +#endif + +fpga_result errors_filter(fpga_properties *filter, int argc, char *argv[]); +fpga_result errors_command(fpga_token *tokens, int num_tokens, int argc, + char *argv[]); +void errors_help(void); + +#ifdef __cplusplus +} +#endif + +#endif /* !ERRORS_H */ diff --git a/tools/fpgainfo/fmeinfo.c b/tools/fpgainfo/fmeinfo.c new file mode 100644 index 0000000..b84bfee --- /dev/null +++ b/tools/fpgainfo/fmeinfo.c @@ -0,0 +1,128 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include +#include "fpgainfo.h" +#include "fmeinfo.h" +#include "board.h" +#include +#include +#include + +/* + * Print help + */ +void fme_help(void) +{ + printf("\nPrint FME information\n" + " fpgainfo fme [-h]\n" + " -h,--help Print this help\n" + "\n"); +} + +static void print_fme_info(fpga_token token) +{ + fpga_properties props; + fpga_result res = FPGA_OK; + res = fpgaGetProperties(token, &props); + ON_FPGAINFO_ERR_GOTO(res, out_destroy, + "Failure reading properties from token"); + + fpgainfo_board_info(token); + fpgainfo_print_common("//****** FME ******//", props); + +out_destroy: + res = fpgaDestroyProperties(&props); + ON_FPGAINFO_ERR_GOTO(res, out_exit, + "destroying properties"); + +out_exit: + return; +} + +fpga_result fme_filter(fpga_properties *filter, int argc, char *argv[]) +{ + (void)argc; + (void)argv; + fpga_result res = FPGA_OK; + res = fpgaPropertiesSetObjectType(*filter, FPGA_DEVICE); + fpgainfo_print_err("setting type to FPGA_DEVICE", res); + return res; +} + +fpga_result fme_command(fpga_token *tokens, int num_tokens, int argc, + char *argv[]) +{ + (void)tokens; + (void)num_tokens; + (void)argc; + (void)argv; + + fpga_result res = FPGA_OK; + + optind = 0; + struct option longopts[] = { + {"help", no_argument, NULL, 'h'}, + {0, 0, 0, 0}, + }; + + int getopt_ret; + int option_index; + + while (-1 + != (getopt_ret = getopt_long(argc, argv, ":h", longopts, + &option_index))) { + const char *tmp_optarg = optarg; + + if ((optarg) && ('=' == *tmp_optarg)) { + ++tmp_optarg; + } + + switch (getopt_ret) { + case 'h': /* help */ + fme_help(); + return res; + + case ':': /* missing option argument */ + OPAE_ERR("Missing option argument\n"); + fme_help(); + return FPGA_INVALID_PARAM; + + case '?': + default: /* invalid option */ + OPAE_ERR("Invalid cmdline options\n"); + fme_help(); + return FPGA_INVALID_PARAM; + } + } + + int i = 0; + for (i = 0; i < num_tokens; ++i) { + print_fme_info(tokens[i]); + } + + return res; +} diff --git a/tools/fpgainfo/fmeinfo.h b/tools/fpgainfo/fmeinfo.h new file mode 100644 index 0000000..95e846b --- /dev/null +++ b/tools/fpgainfo/fmeinfo.h @@ -0,0 +1,49 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +/* + * @file fmeinfo.h + * + * @brief + */ +#ifndef FMEINFO_H +#define FMEINFO_H + +#include + +#ifdef __cplusplus +extern "C" { +#endif + +fpga_result fme_filter(fpga_properties *filter, int argc, char *argv[]); +fpga_result fme_command(fpga_token *tokens, int num_tokens, int argc, + char *argv[]); +void fme_help(void); + +#ifdef __cplusplus +} +#endif + +#endif /* !FMEINFO_H */ diff --git a/tools/fpgainfo/fpgainfo.c b/tools/fpgainfo/fpgainfo.c new file mode 100644 index 0000000..8cbb0ef --- /dev/null +++ b/tools/fpgainfo/fpgainfo.c @@ -0,0 +1,233 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#define _GNU_SOURCE +#include + +#include "fpgainfo.h" +#include "opae/fpga.h" +#include +#include +#include +#include + +/* + * Print readable error message for fpga_results + */ +void fpgainfo_print_err(const char *s, fpga_result res) +{ + if (s && res) + fprintf(stderr, "Error %s: %s\n", s, fpgaErrStr(res)); +} + +void fpgainfo_print_common(const char *hdr, fpga_properties props) +{ + fpga_result res = FPGA_OK; + char guid_str[38] = {0}; + uint64_t object_id = (uint64_t)-1; + uint8_t bus = (uint8_t)-1; + uint16_t segment = (uint16_t)-1; + uint8_t device = (uint8_t)-1; + uint8_t function = (uint8_t)-1; + uint16_t device_id = (uint16_t)-1; + uint8_t socket_id = (uint8_t)-1; + fpga_guid guid = {0}; + fpga_guid port_guid = {0}; + uint32_t num_slots = (uint32_t)-1; + uint64_t bbs_id = (uint64_t)-1; + fpga_version bbs_version = { 0, 0, 0 }; + fpga_objtype objtype; + fpga_properties pprops = props; + fpga_token par = NULL; + int is_accelerator = 0; + bool has_parent = true; + + res = fpgaPropertiesGetObjectID(props, &object_id); + fpgainfo_print_err("reading object_id from properties", res); + + res = fpgaPropertiesGetBus(props, &bus); + fpgainfo_print_err("reading bus from properties", res); + + res = fpgaPropertiesGetSegment(props, &segment); + fpgainfo_print_err("reading segment from properties", res); + + res = fpgaPropertiesGetDevice(props, &device); + fpgainfo_print_err("reading device from properties", res); + + res = fpgaPropertiesGetFunction(props, &function); + fpgainfo_print_err("reading function from properties", res); + + res = fpgaPropertiesGetSocketID(props, &socket_id); + fpgainfo_print_err("reading socket_id from properties", res); + + res = fpgaPropertiesGetDeviceID(props, &device_id); + fpgainfo_print_err("reading device_id from properties", res); + + res = fpgaPropertiesGetObjectType(props, &objtype); + fpgainfo_print_err("reading objtype from properties", res); + + if (objtype != FPGA_DEVICE) { + res = fpgaPropertiesGetGUID(props, &port_guid); + fpgainfo_print_err("reading guid from properties", res); + is_accelerator = 1; + } + + // Go up the tree until we find the device + while (objtype != FPGA_DEVICE) { + res = fpgaPropertiesGetParent(pprops, &par); + if (FPGA_NOT_FOUND == res) { + has_parent = false; + break; + } + fpgainfo_print_err("reading objtype from properties", res); + + if (pprops != props) { + res = fpgaDestroyProperties(pprops); + fpgainfo_print_err("destroying parent properties", res); + pprops = props; + } + + res = fpgaGetProperties(par, &pprops); + fpgainfo_print_err("reading parent properties", res); + + res = fpgaPropertiesGetObjectType(pprops, &objtype); + fpgainfo_print_err("reading objtype from properties", res); + + res = fpgaDestroyToken(&par); + fpgainfo_print_err("destroying parent token", res); + }; + + res = fpgaPropertiesGetDeviceID(pprops, &device_id); + fpgainfo_print_err("reading device_id from properties", res); + + if (has_parent) { + + res = fpgaPropertiesGetGUID(pprops, &guid); + fpgainfo_print_err("reading guid from properties", res); + + res = fpgaPropertiesGetNumSlots(pprops, &num_slots); + fpgainfo_print_err("reading num_slots from properties", res); + + res = fpgaPropertiesGetBBSID(pprops, &bbs_id); + fpgainfo_print_err("reading bbs_id from properties", res); + + res = fpgaPropertiesGetBBSVersion(pprops, &bbs_version); + fpgainfo_print_err("reading bbs_version from properties", res); + } + + // TODO: Implement once model and capabilities accessors are + // implemented + + // res = fpgaPropertiesGetModel(props, &model); + // fpgainfo_print_err("reading model from properties", res); + + // res = fpgaPropertiesGetCapabilities(props, &capabilities); + // fpgainfo_print_err("reading capabilities from properties", res); + + if (pprops != props) { + res = fpgaDestroyProperties(&pprops); + fpgainfo_print_err("destroying parent properties after use", + res); + pprops = props; + } + + printf("%s\n", hdr); + printf("%-32s : 0x%2" PRIX64 "\n", "Object Id", object_id); + printf("%-32s : %04X:%02X:%02X:%01X\n", "PCIe s:b:d:f", segment, bus, + device, function); + printf("%-32s : 0x%04X\n", "Device Id", device_id); + printf("%-32s : 0x%02X\n", "Socket Id", socket_id); + + if (has_parent) { + printf("%-32s : %02d\n", "Ports Num", num_slots); + printf("%-32s : 0x%" PRIX64 "\n", "Bitstream Id", bbs_id); + printf("%-32s : %d.%d.%d\n", "Bitstream Version", + bbs_version.major, bbs_version.minor, bbs_version.patch); + uuid_unparse(guid, guid_str); + printf("%-32s : %s\n", "Pr Interface Id", guid_str); + } + + if (is_accelerator) { + uuid_unparse(port_guid, guid_str); + printf("%-32s : %s\n", "Accelerator Id", guid_str); + } + + if (objtype == FPGA_DEVICE) { + printf("%-32s : %s\n", "Boot Page", + bbs_id & FACTORY_BIT ? "factory" : "user"); + } +} + +// Replace occurrences of character within string +void replace_chars(char *str, char match, char rep) +{ + char *tmp = strchr(str, match); + while (tmp) { + *tmp = rep; + tmp = strchr(tmp + 1, match); + } +} + +// Turn all "pcie" into "PCIe" +void upcase_pci(char *str, size_t len) +{ + char *tmp; + + tmp = strcasestr(str, "pci"); + while (tmp) { + *tmp++ = 'P'; + *tmp++ = 'C'; + *tmp++ = 'I'; + str = tmp + 3; + len -= 3; + tmp = strcasestr(str, "pci"); + } +} + +// Upper-case the first letter of each word in str +void upcase_first(char *str) +{ + *str = toupper(*str); + char *tmp = strchr(str + 1, ' '); + while (tmp) { + if (tmp[1] && isalpha(tmp[1])) { + tmp[1] = toupper(tmp[1]); + } + tmp = strchr(tmp + 1, ' '); + } +} + +// TODO: Move this to a common file for reuse in other fpgainfo files +int str_in_list(const char *key, const char *list[], size_t size) +{ + size_t i = 0; + for (i = 0; i < size; ++i) { + if (!strcmp(key, list[i])) { + return (int)i; + } + } + return INT_MAX; +} diff --git a/tools/fpgainfo/fpgainfo.h b/tools/fpgainfo/fpgainfo.h new file mode 100644 index 0000000..fbcea84 --- /dev/null +++ b/tools/fpgainfo/fpgainfo.h @@ -0,0 +1,73 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +/* + * fpgainfo.h + */ +#ifndef FPGAINFO_H +#define FPGAINFO_H + +#include +//#include "sysinfo.h" + +#ifdef __cplusplus +extern "C" { +#endif + +#define FACTORY_BIT (1ULL << 36) + +void fpgainfo_print_common(const char *hdr, fpga_properties props); + +void fpgainfo_print_err(const char *s, fpga_result res); + +// Replace occurrences of character within string +void replace_chars(char *str, char match, char rep); + +// Turn all "pcie" into "PCIe" +void upcase_pci(char *str, size_t len); + +// Upper-case the first letter of each word in str +void upcase_first(char *str); + +// Find string in list of strings +int str_in_list(const char *key, const char *list[], size_t size); + +/* + * macro to check FPGA return codes, print error message, and goto cleanup label + * NOTE: this changes the program flow (uses goto)! + */ +#define ON_FPGAINFO_ERR_GOTO(res, label, desc) \ + do { \ + if ((res) != FPGA_OK) { \ + fpgainfo_print_err((desc), (res)); \ + goto label; \ + } \ + } while (0) + + +#ifdef __cplusplus +} +#endif +#endif /* !FPGAINFO_H */ diff --git a/tools/fpgainfo/main.c b/tools/fpgainfo/main.c new file mode 100644 index 0000000..48e3443 --- /dev/null +++ b/tools/fpgainfo/main.c @@ -0,0 +1,287 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifdef HAVE_CONFIG_H +#include +#endif // HAVE_CONFIG_H +#include +#include +#include +#include +#include +#ifdef _WIN32 +#define EX_OK 0 +#define EX_USAGE (-1) +#define EX_SOFTWARE (-2) +#define EX_TEMPFAIL (-3) +#else +#include +#endif + +#include "argsfilter.h" +#include "opae/fpga.h" + +#include "fpgainfo.h" + +#include "errors.h" +#include "fmeinfo.h" +#include "portinfo.h" +#include "tempinfo.h" +#include "powerinfo.h" +#include "bmcinfo.h" +#include "board.h" + +void help(void); + +typedef fpga_result (*filter_fn)(fpga_properties *, int, char **); +typedef fpga_result (*command_fn)(fpga_token *, int, int, char **); +typedef void (*help_fn)(void); + +// define a list of command words and +// function ptrs to the command handler +static struct command_handler { + const char *command; + filter_fn filter; + command_fn run; + help_fn help; +} cmd_array[] = { + {.command = "errors", + .filter = errors_filter, + .run = errors_command, + .help = errors_help}, + {.command = "power", + .filter = power_filter, + .run = power_command, + .help = power_help}, + {.command = "temp", + .filter = temp_filter, + .run = temp_command, + .help = temp_help}, + {.command = "fme", + .filter = fme_filter, + .run = fme_command, + .help = fme_help}, + {.command = "port", + .filter = port_filter, + .run = port_command, + .help = port_help}, + {.command = "perf", + .filter = bmc_filter, + .run = perf_command, + .help = perf_help}, + {.command = "bmc", + .filter = bmc_filter, + .run = bmc_command, + .help = bmc_help}, + {.command = "mac", + .filter = mac_filter, + .run = mac_command, + .help = mac_help}, + {.command = "phy", + .filter = phy_filter, + .run = phy_command, + .help = phy_help}, + {.command = "security", + .filter = sec_filter, + .run = sec_command, + .help = sec_help}, +}; + +/* + * Parse command line arguments + */ +#define MAIN_GETOPT_STRING "+hv" +int parse_args(int argc, char *argv[]) +{ + struct option longopts[] = { + {"help", no_argument, NULL, 'h'}, + {"version", no_argument, NULL, 'v'}, + {0, 0, 0, 0}, + }; + + int getopt_ret = -1; + int option_index = 0; + if (argc < 2) { + help(); + return EX_USAGE; + } + + while (-1 + != (getopt_ret = getopt_long(argc, argv, MAIN_GETOPT_STRING, + longopts, &option_index))) { + const char *tmp_optarg = optarg; + + if ((optarg) && ('=' == *tmp_optarg)) + ++tmp_optarg; + + switch (getopt_ret) { + case 'h': /* help */ + help(); + return EX_TEMPFAIL; + + case 'v': /* version */ + printf("fpgainfo %s %s%s\n", + OPAE_VERSION, + OPAE_GIT_COMMIT_HASH, + OPAE_GIT_SRC_TREE_DIRTY ? "*":""); + return EX_TEMPFAIL; + + case ':': /* missing option argument */ + OPAE_ERR("Missing option argument\n"); + return EX_USAGE; + + case '?': + default: /* invalid option */ + OPAE_ERR("Invalid cmdline options\n"); + return EX_USAGE; + } + } + + optind = 0; + return EX_OK; +} + +struct command_handler *get_command(char *cmd) +{ + int cmd_size = sizeof(cmd_array) / sizeof(cmd_array[0]); + // find the command handler for the command + int i = 0; + for (i = 0; i < cmd_size; ++i) { + if (!strcmp(cmd, cmd_array[i].command)) { + return &cmd_array[i]; + } + } + return NULL; +} + +/* + * Print help + */ +void help(void) +{ + unsigned int i; + + printf("\n" + "fpgainfo\n" + "FPGA information utility\n" + "\n" + "Usage:\n" + " fpgainfo [-h] [-B ] [-D ] " + "[-F ] [-S ] {"); + printf("%s", cmd_array[0].command); + for (i = 1; i < sizeof(cmd_array) / sizeof(cmd_array[0]); i++) { + printf(",%s", cmd_array[i].command); + } + printf("}\n\n" + " -h,--help Print this help\n" + " -v,--version Print version and exit\n" + " -B,--bus Set target bus number\n" + " -D,--device Set target device number\n" + " -F,--function Set target function number\n" + " -S,--socket-id Set target socket number\n" + " --segment Set target segment\n" + "\n"); + + printf("Subcommands:\n"); + for (i = 0; i < sizeof(cmd_array) / sizeof(cmd_array[0]); i++) { + cmd_array[i].help(); + } +} + +int main(int argc, char *argv[]) +{ + int ret_value = EX_OK; + fpga_result res = FPGA_OK; + uint32_t matches = 0; + uint32_t i = 0; + fpga_properties filter = NULL; + fpga_token *tokens = NULL; + + if (NULL == setlocale(LC_ALL, "")) { + OPAE_ERR("Could not set locale\n"); + return EX_SOFTWARE; + } + + // start a filter using the first level command line arguments + res = fpgaGetProperties(NULL, &filter); + ON_FPGAINFO_ERR_GOTO(res, out_err, "creating properties object"); + + ret_value = set_properties_from_args(filter, &res, &argc, argv); + if (ret_value != EX_OK) { + goto out_destroy; + } + + ret_value = parse_args(argc, argv); + if (ret_value != EX_OK) { + fpgaDestroyProperties(&filter); + return ret_value == EX_TEMPFAIL ? EX_OK : ret_value; + } + + uint32_t num_tokens = 0; + struct command_handler *handler = get_command(argv[1]); + if (handler == NULL) { + OPAE_ERR("Invalid command specified\n"); + help(); + goto out_destroy; + } + if (handler->filter) { + res = handler->filter(&filter, argc, argv); + ON_FPGAINFO_ERR_GOTO(res, out_destroy, 0); + } + res = fpgaEnumerate(&filter, 1, NULL, 0, &matches); + ON_FPGAINFO_ERR_GOTO(res, out_destroy, "enumerating resources"); + + if (0 == matches) { + ret_value = EX_SOFTWARE; + OPAE_ERR("No FPGA resources found.\n"); + goto out_destroy; + } + + num_tokens = matches; + tokens = (fpga_token *)malloc(num_tokens * sizeof(fpga_token)); + res = fpgaEnumerate(&filter, 1, tokens, num_tokens, &matches); + ON_FPGAINFO_ERR_GOTO(res, out_destroy_tokens, "enumerating resources"); + if (num_tokens != matches) { + ret_value = EX_SOFTWARE; + OPAE_ERR("token list changed in between enumeration calls\n"); + goto out_destroy_tokens; + } + + res = handler->run(tokens, matches, argc, argv); + +out_destroy_tokens: + for (i = 0; i < num_tokens; i++) { + fpgaDestroyToken(&tokens[i]); + } + free(tokens); + +out_destroy: + if (res != FPGA_OK) + ret_value = EX_SOFTWARE; + fpgaDestroyProperties(&filter); /* not needed anymore */ +out_err: + return ret_value; +} diff --git a/tools/fpgainfo/portinfo.c b/tools/fpgainfo/portinfo.c new file mode 100644 index 0000000..3099998 --- /dev/null +++ b/tools/fpgainfo/portinfo.c @@ -0,0 +1,130 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include +#include "fpgainfo.h" +#include "portinfo.h" +#include +#include + +/* + * Print help + */ +void port_help(void) +{ + printf("\nPrint accelerator port information\n" + " fpgainfo port [-h]\n" + " -h,--help Print this help\n" + "\n"); +} + +static void print_port_info(fpga_token token) +{ + char guid_str[38]; + fpga_guid guid; + fpga_properties props; + fpga_result res = FPGA_OK; + res = fpgaGetProperties(token, &props); + ON_FPGAINFO_ERR_GOTO(res, out_destroy, + "Failure reading properties from token"); + fpgainfo_print_common("//****** PORT ******//", props); + + res = fpgaPropertiesGetGUID(props, &guid); + ON_FPGAINFO_ERR_GOTO(res, out_destroy, + "reading guid from properties"); + uuid_unparse(guid, guid_str); + printf("%-32s : %s\n", "Accelerator GUID", guid_str); + +out_destroy: + res = fpgaDestroyProperties(&props); + ON_FPGAINFO_ERR_GOTO(res, out_exit, + "destroying properties"); + +out_exit: + return; +} + +fpga_result port_filter(fpga_properties *filter, int argc, char *argv[]) +{ + (void)argc; + (void)argv; + fpga_result res = FPGA_OK; + res = fpgaPropertiesSetObjectType(*filter, FPGA_ACCELERATOR); + fpgainfo_print_err("setting type to FPGA_ACCELERATOR", res); + return res; +} + +fpga_result port_command(fpga_token *tokens, int num_tokens, int argc, + char *argv[]) +{ + (void)argc; + (void)argv; + + fpga_result res = FPGA_OK; + + optind = 0; + struct option longopts[] = { + {"help", no_argument, NULL, 'h'}, + {0, 0, 0, 0}, + }; + + int getopt_ret; + int option_index; + + while (-1 + != (getopt_ret = getopt_long(argc, argv, ":h", longopts, + &option_index))) { + const char *tmp_optarg = optarg; + + if ((optarg) && ('=' == *tmp_optarg)) { + ++tmp_optarg; + } + + switch (getopt_ret) { + case 'h': /* help */ + port_help(); + return res; + + case ':': /* missing option argument */ + OPAE_ERR("Missing option argument\n"); + port_help(); + return FPGA_INVALID_PARAM; + + case '?': + default: /* invalid option */ + OPAE_ERR("Invalid cmdline options\n"); + port_help(); + return FPGA_INVALID_PARAM; + } + } + + int i = 0; + for (i = 0; i < num_tokens; ++i) { + print_port_info(tokens[i]); + } + + return res; +} diff --git a/tools/fpgainfo/portinfo.h b/tools/fpgainfo/portinfo.h new file mode 100644 index 0000000..365c301 --- /dev/null +++ b/tools/fpgainfo/portinfo.h @@ -0,0 +1,49 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +/* + * @file portinfo.h + * + * @brief + */ +#ifndef PORTINFO_H +#define PORTINFO_H + +#ifdef __cplusplus +extern "C" { +#endif + +#include + +fpga_result port_filter(fpga_properties *filter, int argc, char *argv[]); +fpga_result port_command(fpga_token *tokens, int num_tokens, int argc, + char *argv[]); +void port_help(void); + +#ifdef __cplusplus +} +#endif + +#endif /* !PORTINFO_H */ diff --git a/tools/fpgainfo/powerinfo.c b/tools/fpgainfo/powerinfo.c new file mode 100644 index 0000000..563d76d --- /dev/null +++ b/tools/fpgainfo/powerinfo.c @@ -0,0 +1,138 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include +#include "fpgainfo.h" +#include "powerinfo.h" +#include "bmcdata.h" +#include "board.h" +#include +#include + +#define MODEL_SIZE 64 + +/* + * Print help + */ +void power_help(void) +{ + printf("\nPrint power metrics\n" + " fpgainfo power [-h]\n" + " -h,--help Print this help\n" + "\n"); +} + +static void print_power_info(fpga_token token) +{ + fpga_properties props; + fpga_metric_info metrics_info[METRICS_MAX_NUM] = { { 0 } }; + fpga_metric metrics[METRICS_MAX_NUM] = { { 0 } }; + uint64_t num_metrics; + uint64_t num_metrics_info; + fpga_result res = FPGA_OK; + + res = fpgaGetProperties(token, &props); + ON_FPGAINFO_ERR_GOTO(res, out_destroy, "reading properties from token"); + + fpgainfo_board_info(token); + fpgainfo_print_common("//****** POWER ******//", props); + + res = get_metrics(token, FPGA_POWER, metrics_info, &num_metrics_info, metrics, &num_metrics); + ON_FPGAINFO_ERR_GOTO(res, out_destroy, "reading metrics from BMC"); + + print_metrics(metrics_info, num_metrics_info, metrics, num_metrics); + +out_destroy: + res = fpgaDestroyProperties(&props); + ON_FPGAINFO_ERR_GOTO(res, out_exit, "destroying properties"); + +out_exit: + return; +} + +fpga_result power_filter(fpga_properties *filter, int argc, char *argv[]) +{ + (void)argc; + (void)argv; + fpga_result res = FPGA_OK; + res = fpgaPropertiesSetObjectType(*filter, FPGA_DEVICE); + fpgainfo_print_err("setting type to FPGA_DEVICE", res); + return res; +} + +fpga_result power_command(fpga_token *tokens, int num_tokens, int argc, + char *argv[]) +{ + (void)tokens; + (void)num_tokens; + (void)argc; + (void)argv; + + fpga_result res = FPGA_OK; + + optind = 0; + struct option longopts[] = { + {"help", no_argument, NULL, 'h'}, + {0, 0, 0, 0}, + }; + + int getopt_ret; + int option_index; + + while (-1 + != (getopt_ret = getopt_long(argc, argv, ":h", longopts, + &option_index))) { + const char *tmp_optarg = optarg; + + if ((optarg) && ('=' == *tmp_optarg)) { + ++tmp_optarg; + } + + switch (getopt_ret) { + case 'h': /* help */ + power_help(); + return res; + + case ':': /* missing option argument */ + fprintf(stderr, "Missing option argument\n"); + power_help(); + return FPGA_INVALID_PARAM; + + case '?': + default: /* invalid option */ + fprintf(stderr, "Invalid cmdline options\n"); + power_help(); + return FPGA_INVALID_PARAM; + } + } + + int i = 0; + for (i = 0; i < num_tokens; ++i) { + print_power_info(tokens[i]); + } + + return res; +} diff --git a/tools/fpgainfo/powerinfo.h b/tools/fpgainfo/powerinfo.h new file mode 100644 index 0000000..48f97db --- /dev/null +++ b/tools/fpgainfo/powerinfo.h @@ -0,0 +1,49 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +/* + * @file powerinfo.h + * + * @brief + */ +#ifndef POWERINFO_H +#define POWERINFO_H + +#include + +#ifdef __cplusplus +extern "C" { +#endif + +fpga_result power_filter(fpga_properties *filter, int argc, char *argv[]); +fpga_result power_command(fpga_token *tokens, int num_tokens, int argc, + char *argv[]); +void power_help(void); + +#ifdef __cplusplus +} +#endif + +#endif /* !POWERINFO_H */ diff --git a/tools/fpgainfo/tempinfo.c b/tools/fpgainfo/tempinfo.c new file mode 100644 index 0000000..1b7cc39 --- /dev/null +++ b/tools/fpgainfo/tempinfo.c @@ -0,0 +1,163 @@ +// Copyright(c) 2018-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include +#include "fpgainfo.h" +#include "tempinfo.h" +#include "bmcdata.h" +#include "board.h" +#include +#include +#include + +/* + * Print help + */ +void temp_help(void) +{ + printf("\nPrint thermal metrics\n" + " fpgainfo temp [-h]\n" + " -h,--help Print this help\n" + "\n"); +} + +static void print_temp_info(fpga_token token) +{ + fpga_properties props; + fpga_object obj = NULL; + fpga_metric_info metrics_info[METRICS_MAX_NUM]; + fpga_metric metrics[METRICS_MAX_NUM]; + uint64_t num_metrics; + uint64_t num_metrics_info; + fpga_result res = FPGA_OK; + uint64_t pkg_temp; + struct stat st; + + res = fpgaGetProperties(token, &props); + ON_FPGAINFO_ERR_GOTO(res, out_destroy, "Failure reading properties from token"); + + fpgainfo_board_info(token); + fpgainfo_print_common("//****** TEMP ******//", props); + + if (!stat("/sys/bus/pci/drivers/intel-fpga-pci", &st)) { + + res = fpgaTokenGetObject(token, PKG_TEMP_NAME, &obj, FPGA_OBJECT_GLOB); + ON_FPGAINFO_ERR_GOTO(res, out_destroy, "Failure getting temp object from token"); + res = fpgaObjectRead64(obj, &pkg_temp, FPGA_OBJECT_SYNC); + ON_FPGAINFO_ERR_GOTO(res, out_destroy, "Failure reading package temperature value"); + printf("%-32s : %02ld %s\n", "Package Temperature", pkg_temp, "Centigrade"); + } + + if (!stat("/sys/bus/pci/drivers/dfl-pci", &st)) { + + res = fpgaTokenGetObject(token, PKG_TEMP_UPS_DRV_NAME, &obj, FPGA_OBJECT_GLOB); + ON_FPGAINFO_ERR_GOTO(res, out_destroy, "Failure getting temp object from token"); + res = fpgaObjectRead64(obj, &pkg_temp, FPGA_OBJECT_SYNC); + ON_FPGAINFO_ERR_GOTO(res, out_destroy, "Failure reading package temperature value"); + printf("%-32s : %02ld %s\n", "Package Temperature", pkg_temp, "Milli Centigrade"); + } + + res = get_metrics(token, FPGA_THERMAL, metrics_info, &num_metrics_info, metrics, &num_metrics); + ON_FPGAINFO_ERR_GOTO(res, out_destroy, "reading metrics from BMC"); + + print_metrics(metrics_info, num_metrics_info, metrics, num_metrics); + +out_destroy: + if (obj) { + res = fpgaDestroyObject(&obj); + ON_FPGAINFO_ERR_GOTO(res, out_exit, "destroying object"); + } + + res = fpgaDestroyProperties(&props); + ON_FPGAINFO_ERR_GOTO(res, out_exit, "destroying properties"); + +out_exit: + return; +} + +fpga_result temp_filter(fpga_properties *filter, int argc, char *argv[]) +{ + (void)argc; + (void)argv; + fpga_result res = FPGA_OK; + res = fpgaPropertiesSetObjectType(*filter, FPGA_DEVICE); + fpgainfo_print_err("setting type to FPGA_DEVICE", res); + return res; +} + +fpga_result temp_command(fpga_token *tokens, int num_tokens, int argc, + char *argv[]) +{ + (void)tokens; + (void)num_tokens; + (void)argc; + (void)argv; + + fpga_result res = FPGA_OK; + + optind = 0; + struct option longopts[] = { + {"help", no_argument, NULL, 'h'}, + {0, 0, 0, 0}, + }; + + int getopt_ret; + int option_index; + + while (-1 + != (getopt_ret = getopt_long(argc, argv, ":h", longopts, + &option_index))) { + const char *tmp_optarg = optarg; + + if ((optarg) && ('=' == *tmp_optarg)) { + ++tmp_optarg; + } + + switch (getopt_ret) { + case 'h': /* help */ + temp_help(); + return res; + + case ':': /* missing option argument */ + fprintf(stderr, "Missing option argument\n"); + temp_help(); + return FPGA_INVALID_PARAM; + + case '?': + default: /* invalid option */ + fprintf(stderr, "Invalid cmdline options\n"); + temp_help(); + return FPGA_INVALID_PARAM; + } + } + + int i = 0; + for (i = 0; i < num_tokens; ++i) { + print_temp_info(tokens[i]); + } + + return res; +} diff --git a/tools/fpgainfo/tempinfo.h b/tools/fpgainfo/tempinfo.h new file mode 100644 index 0000000..8b8067d --- /dev/null +++ b/tools/fpgainfo/tempinfo.h @@ -0,0 +1,52 @@ +// Copyright(c) 2018, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. +/* + * @file tempinfo.h + * + * @brief + */ +#ifndef TEMPINFO_H +#define TEMPINFO_H + +#include + +#ifdef __cplusplus +extern "C" { +#endif + +#define PKG_TEMP_NAME "thermal_mgmt/temperature" +#define PKG_TEMP_UPS_DRV_NAME "hwmon/hwmon*/temp*_input" + +fpga_result temp_filter(fpga_properties *filter, int argc, char *argv[]); +fpga_result temp_command(fpga_token *tokens, int num_tokens, int argc, + char *argv[]); +void temp_help(void); + +#ifdef __cplusplus +} +#endif + +#endif /* !TEMPINFO_H */ diff --git a/tools/libboard/board_rc/CMakeLists.txt b/tools/libboard/board_rc/CMakeLists.txt new file mode 100644 index 0000000..688cbb5 --- /dev/null +++ b/tools/libboard/board_rc/CMakeLists.txt @@ -0,0 +1,33 @@ +## Copyright(c) 2019-2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +opae_add_module_library(TARGET board_rc + SOURCE board_rc.c + LIBS + ${CMAKE_THREAD_LIBS_INIT} + opae-c + COMPONENT opaeclib +) diff --git a/tools/libboard/board_rc/board_rc.c b/tools/libboard/board_rc/board_rc.c new file mode 100644 index 0000000..877b550 --- /dev/null +++ b/tools/libboard/board_rc/board_rc.c @@ -0,0 +1,493 @@ +// Copyright(c) 2019-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#include "board_rc.h" + +// BMC sysfs path +#define SYSFS_DEVID_FILE "avmmi-bmc.*.auto/bmc_info/device_id" +#define SYSFS_RESET_FILE "avmmi-bmc.*.auto/bmc_info/reset_cause" +#define SYSFS_PWRDN_FILE "avmmi-bmc.*.auto/bmc_info/power_down_cause" + +#define SYSFS_TCM_GLOB "tcm/*" +#define SYSFS_TCM_BIP_VER "tcm/bip_version" +#define SYSFS_TCM_BMC_CANCEL "tcm/bmc_canceled_csks" +#define SYSFS_TCM_BMC_FLASH_COUNT "tcm/bmc_flash_count" +#define SYSFS_TCM_BMC_FWVERS "tcm/bmcfw_version" +#define SYSFS_TCM_BMC_ROOT "tcm/bmc_root_hash" +#define SYSFS_TCM_CRYPTO_VER "tcm/crypto_version" +#define SYSFS_TCM_PR_CANCEL "tcm/pr_canceled_csks" +#define SYSFS_TCM_PR_ROOT "tcm/pr_root_hash" +#define SYSFS_TCM_QSPI_COUNT "tcm/qspi_flash_count" +#define SYSFS_TCM_SR_CANCEL "tcm/sr_canceled_csks" +#define SYSFS_TCM_SR_ROOT "tcm/sr_root_hash" +#define SYSFS_TCM_FW_VER "tcm/tcmfw_version" +#define FPGA_STR_SIZE 256 +#define SDR_HEADER_LEN 3 +#define SDR_MSG_LEN 40 + +typedef struct _bmc_powerdown_cause { + uint8_t _header[SDR_HEADER_LEN]; + uint8_t completion_code; + uint8_t iana[SDR_HEADER_LEN]; + uint8_t count; + uint8_t message[SDR_MSG_LEN]; +} bmc_powerdown_cause; + +typedef struct _bmc_reset_cause { + uint8_t _header[SDR_HEADER_LEN]; + uint8_t completion_code; + uint8_t iana[SDR_HEADER_LEN]; + uint8_t reset_cause; +} bmc_reset_cause; + + +typedef enum { + CHIP_RESET_CAUSE_POR = 0x01, + CHIP_RESET_CAUSE_EXTRST = 0x02, + CHIP_RESET_CAUSE_BOD_IO = 0x04, + CHIP_RESET_CAUSE_WDT = 0x08, + CHIP_RESET_CAUSE_OCD = 0x10, + CHIP_RESET_CAUSE_SOFT = 0x20, + CHIP_RESET_CAUSE_SPIKE = 0x40, +} bmc_ResetCauses; + +typedef struct _bmc_device_id { + uint8_t _header[SDR_HEADER_LEN]; + uint8_t completion_code; + uint8_t device_id; + union { + struct { + uint8_t device_revision : 3; + uint8_t _unused : 3; + uint8_t provides_sdrs : 2; + } bits; + uint8_t _value; + } device_revision; + union { + struct { + uint8_t device_available : 7; + uint8_t major_fw_revision : 1; + } bits; + uint8_t _value; + } firmware_revision_1; + uint8_t firmware_revision_2; + uint8_t ipmi_version; + union { + struct { + uint8_t sensor_device : 1; + uint8_t sdr_repository_device : 1; + uint8_t sel_device : 1; + uint8_t fru_inventory_device : 1; + uint8_t ipmb_event_receiver : 1; + uint8_t ipmb_event_generator : 1; + uint8_t bridge : 1; + uint8_t chassis_device : 1; + } bits; + uint8_t _value; + } additional_device_support; + uint8_t manufacturer_id_0_7; + uint8_t manufacturer_id_8_15; + uint8_t manufacturer_id_16_23; + uint8_t product_id_0_7; + uint8_t product_id_8_15; + uint8_t aux_fw_rev_0_7; + uint8_t aux_fw_rev_8_15; + uint8_t aux_fw_rev_16_23; + uint8_t aux_fw_rev_24_31; +} bmc_device_id; + + +// Read bmc version +fpga_result read_bmc_version(fpga_token token, int *version) +{ + fpga_result res = FPGA_OK; + fpga_result resval = FPGA_OK; + bmc_device_id bmc_dev; + fpga_object bmc_object; + + if (version == NULL) { + OPAE_ERR("Invalid input parameter"); + return FPGA_INVALID_PARAM; + } + + res = fpgaTokenGetObject(token, SYSFS_DEVID_FILE, &bmc_object, FPGA_OBJECT_GLOB); + if (res != FPGA_OK) { + OPAE_ERR("Failed to get token Object"); + return res; + } + + memset(&bmc_dev, 0, sizeof(bmc_dev)); + + res = fpgaObjectRead(bmc_object, (uint8_t *)(&bmc_dev), 0, sizeof(bmc_dev), 0); + if (res != FPGA_OK) { + OPAE_ERR("Failed to Read object "); + resval = res; + goto out_destroy; + } + + *version = bmc_dev.aux_fw_rev_0_7 + | (bmc_dev.aux_fw_rev_8_15 << 8) + | (bmc_dev.aux_fw_rev_16_23 << 16) + | (bmc_dev.aux_fw_rev_24_31 << 24); + + +out_destroy: + res = fpgaDestroyObject(&bmc_object); + if (res != FPGA_OK) { + OPAE_ERR("Failed to Destroy Object"); + } + + + return resval; +} + +// Read power down cause +fpga_result read_bmc_pwr_down_cause(fpga_token token, char *pwr_down_cause) +{ + fpga_result res = FPGA_OK; + fpga_result resval = FPGA_OK; + fpga_object bmc_object; + bmc_powerdown_cause bmc_pd; + + if (pwr_down_cause == NULL) { + OPAE_ERR("Invalid input parameter"); + return FPGA_INVALID_PARAM; + } + + res = fpgaTokenGetObject(token, SYSFS_PWRDN_FILE, &bmc_object, FPGA_OBJECT_GLOB); + if (res != FPGA_OK) { + OPAE_ERR("Failed to get token Object"); + return res; + } + + memset(&bmc_pd, 0, sizeof(bmc_pd)); + + res = fpgaObjectRead(bmc_object, (uint8_t *)(&bmc_pd), 0, sizeof(bmc_pd), 0); + if (res != FPGA_OK) { + OPAE_ERR("Failed to Read object "); + resval = res; + goto out_destroy; + } + + if (bmc_pd.completion_code == 0) { + strncpy(pwr_down_cause, (char *)bmc_pd.message, bmc_pd.count); + } else { + OPAE_ERR("unavailable read power down cause: %d ", bmc_pd.completion_code); + resval = FPGA_EXCEPTION; + } + + +out_destroy: + res = fpgaDestroyObject(&bmc_object); + if (res != FPGA_OK) { + OPAE_ERR("Failed to Destroy Object"); + resval = res; + } + + return resval; +} + + +// Read reset cause +fpga_result read_bmc_reset_cause(fpga_token token, char *reset_cause_str) +{ + fpga_result res = FPGA_OK; + fpga_result resval = FPGA_OK; + fpga_object bmc_object; + bmc_reset_cause bmc_rc; + + if (reset_cause_str == NULL) { + OPAE_ERR("Invalid input parameter"); + return FPGA_INVALID_PARAM; + } + + res = fpgaTokenGetObject(token, SYSFS_RESET_FILE, &bmc_object, FPGA_OBJECT_GLOB); + if (res != FPGA_OK) { + OPAE_ERR("Failed to get token Object"); + return res; + } + + memset(&bmc_rc, 0, sizeof(bmc_rc)); + + res = fpgaObjectRead(bmc_object, (uint8_t *)(&bmc_rc), 0, sizeof(bmc_rc), 0); + if (res != FPGA_OK) { + OPAE_ERR("Failed to Read Object "); + resval = res; + goto out_destroy; + } + + if (bmc_rc.completion_code != 0) { + OPAE_ERR("Failed to Read Reset cause \n"); + resval = FPGA_EXCEPTION; + goto out_destroy; + } + + if (0 == bmc_rc.reset_cause) { + strncpy(reset_cause_str, "None", 5); + goto out_destroy; + } + + + if (bmc_rc.reset_cause & CHIP_RESET_CAUSE_EXTRST) { + strncpy(reset_cause_str, "External reset", 15); + } + + if (bmc_rc.reset_cause & CHIP_RESET_CAUSE_BOD_IO) { + strncpy(reset_cause_str, "Brown-out detected", 19); + } + + if (bmc_rc.reset_cause & CHIP_RESET_CAUSE_OCD) { + strncpy(reset_cause_str, "On-chip debug system", 21); + } + + if (bmc_rc.reset_cause & CHIP_RESET_CAUSE_POR) { + strncpy(reset_cause_str, "Power-on-reset", 15); + } + + if (bmc_rc.reset_cause & CHIP_RESET_CAUSE_SOFT) { + strncpy(reset_cause_str, "Software reset", 15); + } + + if (bmc_rc.reset_cause & CHIP_RESET_CAUSE_SPIKE) { + strncpy(reset_cause_str, "Spike detected", 15); + } + + if (bmc_rc.reset_cause & CHIP_RESET_CAUSE_WDT) { + strncpy(reset_cause_str, "Watchdog timeout", 17); + } + + +out_destroy: + res = fpgaDestroyObject(&bmc_object); + if (res != FPGA_OK) { + OPAE_ERR("Failed to Destroy Object"); + } + + return resval; +} + +// Print BMC version, Power down cause and Reset cause +fpga_result print_board_info(fpga_token token) +{ + fpga_result res = FPGA_OK; + int version = 0; + char pwr_down_cause[FPGA_STR_SIZE] = { 0 }; + char reset_cause[FPGA_STR_SIZE] = { 0 }; + struct stat st; + fpga_object bmc_object; + + + if (!stat("/sys/bus/pci/drivers/dfl-pci", &st)) { + res = fpgaTokenGetObject(token, SYSFS_DEVID_FILE, &bmc_object, FPGA_OBJECT_GLOB); + if (res != FPGA_OK) { + printf("Board Management Controller, microcontroller FW version: %s\n", "Not Supported"); + printf("Last Power down cause: %s\n", "Not Supported"); + printf("Last Reset cause: %s\n", "Not Supported"); + return res; + } + res = fpgaDestroyObject(&bmc_object); + if (res != FPGA_OK) { + OPAE_ERR("Failed to Destroy Object"); + } + + } + + res = read_bmc_version(token, &version); + if (res != FPGA_OK) { + OPAE_ERR("Failed to read BMC FW version"); + } + + res = read_bmc_pwr_down_cause(token, pwr_down_cause); + if (res != FPGA_OK) { + OPAE_ERR("Failed to read power down cause"); + } + + res = read_bmc_reset_cause(token, reset_cause); + if (res != FPGA_OK) { + OPAE_ERR("Failed to read reset cause"); + } + + // Print BMC info + printf("Board Management Controller, microcontroller FW version: %d\n", version); + printf("Last Power down cause:%s\n", pwr_down_cause); + printf("Last Reset cause: %s\n", reset_cause); + + return res; +} + +fpga_result read_sysfs(fpga_token token, char *sysfs_path, char *sysfs_name) +{ + fpga_result res = FPGA_OK; + fpga_result resval = FPGA_OK; + uint32_t size = 0; + char name[FPGA_STR_SIZE] = { 0, }; + fpga_object sec_object; + size_t len; + + if (sysfs_path == NULL || + sysfs_name == NULL) { + OPAE_ERR("Invalid input parameter"); + return FPGA_INVALID_PARAM; + } + + res = fpgaTokenGetObject(token, sysfs_path, &sec_object, FPGA_OBJECT_GLOB); + if (res != FPGA_OK) { + OPAE_ERR("Failed to get token Object"); + return res; + } + + res = fpgaObjectGetSize(sec_object, &size, 0); + if (res != FPGA_OK) { + OPAE_ERR("Failed to get object size "); + resval = res; + goto out_destroy; + } + + if (size > FPGA_STR_SIZE) { + OPAE_ERR("object size bigger then buffer size"); + resval = FPGA_EXCEPTION; + goto out_destroy; + } + + res = fpgaObjectRead(sec_object, (uint8_t *)(&name), 0, size, 0); + if (res != FPGA_OK) { + OPAE_ERR("Failed to Read object "); + resval = res; + goto out_destroy; + } + + len = strnlen(name, FPGA_STR_SIZE - 1); + strncpy(sysfs_name, name, len + 1); + +out_destroy: + res = fpgaDestroyObject(&sec_object); + if (res != FPGA_OK) { + OPAE_ERR("Failed to Destroy Object"); + resval = res; + } + + return resval; +} + + +fpga_result print_sec_info(fpga_token token) +{ + fpga_result res = FPGA_OK; + fpga_object tcm_object; + char name[FPGA_STR_SIZE] = { 0 }; + + res = fpgaTokenGetObject(token, SYSFS_TCM_GLOB, &tcm_object, FPGA_OBJECT_GLOB); + if (res != FPGA_OK) { + OPAE_MSG("Failed to get token Object"); + return res; + } + + if (read_sysfs(token, SYSFS_TCM_BMC_FWVERS, name) == FPGA_OK) + printf("BMC FW Version: %s", name); + else + OPAE_MSG("Failed to Read BMC FW Version"); + + memset(name, 0, sizeof(name)); + if (read_sysfs(token, SYSFS_TCM_BIP_VER, name) == FPGA_OK) + printf("BIP Version: %s", name); + else + OPAE_MSG("Failed to Read BIP Version"); + + memset(name, 0, sizeof(name)); + if (read_sysfs(token, SYSFS_TCM_FW_VER, name) == FPGA_OK) + printf("TCM FW Version: %s", name); + else + OPAE_MSG("Failed to Read TCM FW Version"); + + memset(name, 0, sizeof(name)); + if (read_sysfs(token, SYSFS_TCM_CRYPTO_VER, name) == FPGA_OK) + printf("Crypto block Version: %s", name); + else + OPAE_MSG("Failed to Read Crypto block Version"); + + memset(name, 0, sizeof(name)); + if (read_sysfs(token, SYSFS_TCM_SR_ROOT, name) == FPGA_OK) + printf("FIM root entry hash: %s", name); + else + OPAE_MSG("Failed to Read FIM root entry hash"); + + memset(name, 0, sizeof(name)); + if (read_sysfs(token, SYSFS_TCM_BMC_ROOT, name) == FPGA_OK) + printf("BMC root entry hash: %s", name); + else + OPAE_MSG("Failed to Read TCM BMC root entry hash"); + + memset(name, 0, sizeof(name)); + if (read_sysfs(token, SYSFS_TCM_PR_ROOT, name) == FPGA_OK) + printf("PR root entry hash: %s", name); + + memset(name, 0, sizeof(name)); + if (read_sysfs(token, SYSFS_TCM_BMC_FLASH_COUNT, name) == FPGA_OK) + printf("BMC flash update counter: %s", name); + else + OPAE_MSG("Failed to Read BMC flash update counter"); + + memset(name, 0, sizeof(name)); + if (read_sysfs(token, SYSFS_TCM_QSPI_COUNT, name) == FPGA_OK) + printf("User flash update counter: %s", name); + else + OPAE_MSG("Failed to Read User flash update counter"); + + memset(name, 0, sizeof(name)); + if (read_sysfs(token, SYSFS_TCM_SR_CANCEL, name) == FPGA_OK) + printf("FIM CSK IDs canceled : %s", strlen(name) > 1 ? name : "None\n"); + else + OPAE_MSG("Failed to Read FIM CSK IDs canceled"); + + memset(name, 0, sizeof(name)); + if (read_sysfs(token, SYSFS_TCM_BMC_CANCEL, name) == FPGA_OK) + printf("BMC CSK IDs canceled: %s", strlen(name) > 1 ? name : "None\n"); + else + OPAE_MSG("Failed to Read BMC CSK IDs canceled"); + + memset(name, 0, sizeof(name)); + if (read_sysfs(token, SYSFS_TCM_PR_CANCEL, name) == FPGA_OK) + printf("AFU CSK IDs canceled: %s", strlen(name) > 1 ? name : "None\n"); + else + OPAE_MSG("Failed to Read AFU CSK IDs canceled"); + + res = fpgaDestroyObject(&tcm_object); + if (res != FPGA_OK) { + OPAE_MSG("Failed to Destroy Object"); + } + + return res; +} diff --git a/tools/libboard/board_rc/board_rc.h b/tools/libboard/board_rc/board_rc.h new file mode 100644 index 0000000..35820d4 --- /dev/null +++ b/tools/libboard/board_rc/board_rc.h @@ -0,0 +1,93 @@ +// Copyright(c) 2019-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifndef __FPGA_BOARD_RC_H__ +#define __FPGA_BOARD_RC_H__ + +#include + +#ifdef __cplusplus +extern "C" { +#endif /* __cplusplus */ + + + /** + * Get Baseboard Management Controller version. + * + * @param[in] token fpga_token object for device (FPGA_DEVICE type) + * @param[inout] version pointer to BMC version + * @returns FPGA_OK on success. FPGA_NOT_FOUND if BMC sysfs not found. + * FPGA_INVALID_PARAM if invalid parameters were provide + * + */ +fpga_result read_bmc_version(fpga_token token, int *version); + +/** + * Get BMC power down root cause + * + * @param[in] token fpga_token object for device (FPGA_DEVICE type) + * @param[inout] pwr_down_cause pointer to power down root cause string. + * user allocates memory and free input string + * @returns FPGA_OK on success. FPGA_NOT_FOUND if BMC sysfs not found. + * FPGA_INVALID_PARAM if invalid parameters were provided + * + */ +fpga_result read_bmc_pwr_down_cause(fpga_token token, char *pwr_down_cause); + +/** + * Get BMC last reset root cause + * + * @param[in] token fpga_token object for device (FPGA_DEVICE type) + * @param[inout] reset_cause pointer to reset root cause string. + * user allocates memory and free input string + * @returns FPGA_OK on success. FPGA_NOT_FOUND if BMC sysfs not found. + * FPGA_INVALID_PARAM if invalid parameters were provided + * + */ +fpga_result read_bmc_reset_cause(fpga_token token, char *reset_causee); + +/** + * Prints BMC version, Power down cause and Reset cause + * + * @param[in] token fpga_token object for device (FPGA_DEVICE type) + * @returns FPGA_OK on success. FPGA_NOT_FOUND if BMC sysfs not found. + * FPGA_INVALID_PARAM if invalid parameters were provided + * + */ +fpga_result print_board_info(fpga_token token); + + +fpga_result read_sysfs(fpga_token token, + char *sysfs_path, + char *sysfs_name); + +fpga_result print_sec_info(fpga_token token); + +#ifdef __cplusplus +} +#endif /* __cplusplus */ + +#endif /* __FPGA_BOARD_RC_H__ */ diff --git a/tools/libboard/board_vc/CMakeLists.txt b/tools/libboard/board_vc/CMakeLists.txt new file mode 100644 index 0000000..0a7c6f8 --- /dev/null +++ b/tools/libboard/board_vc/CMakeLists.txt @@ -0,0 +1,33 @@ +## Copyright(c) 2019-2020, Intel Corporation +## +## Redistribution and use in source and binary forms, with or without +## modification, are permitted provided that the following conditions are met: +## +## * Redistributions of source code must retain the above copyright notice, +## this list of conditions and the following disclaimer. +## * Redistributions in binary form must reproduce the above copyright notice, +## this list of conditions and the following disclaimer in the documentation +## and/or other materials provided with the distribution. +## * Neither the name of Intel Corporation nor the names of its contributors +## may be used to endorse or promote products derived from this software +## without specific prior written permission. +## +## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +## POSSIBILITY OF SUCH DAMAGE. + +opae_add_module_library(TARGET board_vc + SOURCE board_vc.c + LIBS + ${CMAKE_THREAD_LIBS_INIT} + opae-c + COMPONENT opaeclib +) diff --git a/tools/libboard/board_vc/board_vc.c b/tools/libboard/board_vc/board_vc.c new file mode 100644 index 0000000..8426343 --- /dev/null +++ b/tools/libboard/board_vc/board_vc.c @@ -0,0 +1,815 @@ +// Copyright(c) 2019-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#include "board_vc.h" + +// sysfs paths +#define SYSFS_BMCFW_VER "spi-altera.*.auto/spi_master/spi*/spi*.*/bmcfw_flash_ctrl/bmcfw_version" +#define SYSFS_MAX10_VER "spi-altera.*.auto/spi_master/spi*/spi*.*/max10_version" +#define SYSFS_PCB_INFO "spi-altera.*.auto/spi_master/spi*/spi*.*/pcb_info" +#define SYSFS_PKVL_POLL_MODE "spi-altera.*.auto/spi_master/spi*/spi*.*/pkvl/polling_mode" +#define SYSFS_PKVL_STATUS "spi-altera.*.auto/spi_master/spi*/spi*.*/pkvl/status" +#define SYSFS_BS_ID "bitstream_id" +#define SYSFS_PHY_GROUP_INFO "pac_n3000_net*/misc/eth_group*.*" +#define SYSFS_PHY_GROUP_INFO_DEV "pac_n3000_net*/misc/eth_group*/dev" +#define SYSFS_EEPROM "*i2c*/i2c*/*/eeprom" +#define SYSFS_NVMEM "*i2c*/i2c*/*/nvmem" +#define SYSFS_PKVL_A_VER "spi-altera.*.auto/spi_master/spi*/spi*.*/pkvl/pkvl_a_version" +#define SYSFS_PKVL_B_VER "spi-altera.*.auto/spi_master/spi*/spi*.*/pkvl/pkvl_b_version" + +// driver ioctl id +#define FPGA_PHY_GROUP_GET_INFO 0xB702 + +#define FPGA_BSID_SIZE 32 + +// fpga phy group mode +#define FPGA_PHYGROUP_MODE_4_25G 1 +#define FPGA_PHYGROUP_MODE_6_25G 3 +#define FPGA_PHYGROUP_MODE_2_2_25G 4 + +// Read BMC firmware version +fpga_result read_bmcfw_version(fpga_token token, char *bmcfw_ver, size_t len) +{ + fpga_result res = FPGA_OK; + fpga_result resval = FPGA_OK; + uint32_t size = 0; + char buf[FPGA_VAR_BUF_LEN] = { 0 }; + fpga_object bmcfw_object; + + if (bmcfw_ver == NULL) { + FPGA_ERR("Invalid Input parameters"); + return FPGA_INVALID_PARAM; + } + + res = fpgaTokenGetObject(token, SYSFS_BMCFW_VER, &bmcfw_object, FPGA_OBJECT_GLOB); + if (res != FPGA_OK) { + OPAE_MSG("Failed to get token object"); + return res; + } + + res = fpgaObjectGetSize(bmcfw_object, &size, 0); + if (res != FPGA_OK) { + OPAE_ERR("Failed to read object size "); + resval = res; + goto out_destroy; + } + + // Return error if object size bigger then buffer size + if (size > FPGA_VAR_BUF_LEN) { + FPGA_ERR("object size bigger then buffer size"); + resval = FPGA_EXCEPTION; + goto out_destroy; + } + + res = fpgaObjectRead(bmcfw_object, (uint8_t *)buf, 0, size, 0); + if (res != FPGA_OK) { + OPAE_ERR("Failed to read object "); + resval = res; + goto out_destroy; + } + + res = parse_fw_ver(buf, bmcfw_ver, len); + if (res != FPGA_OK) { + OPAE_ERR("Failed to parse version "); + resval = res; + goto out_destroy; + } + + +out_destroy: + res = fpgaDestroyObject(&bmcfw_object); + if (res != FPGA_OK) { + OPAE_ERR("Failed to destroy object"); + } + + return resval; +} + +fpga_result parse_fw_ver(char *buf, char *fw_ver, size_t len) +{ + uint8_t rev = 0; + uint32_t var = 0; + fpga_result res = FPGA_OK; + int retval = 0; + + if (buf == NULL || + fw_ver == NULL) { + FPGA_ERR("Invalid Input parameters"); + return FPGA_INVALID_PARAM; + } + + + /* BMC FW version format reading + NIOS II Firmware Build 0x0 32 RW[23:0] 24 hFFFFFF Build version of NIOS II Firmware + NIOS FW is up e.g. 1.0.1 for first release + [31:24] 8hFF Firmware Support Revision - ASCII code + 0xFF is the default value without NIOS FW, will be changed after NIOS FW is up + 0x41(A)-For RevA + 0x42(B)-For RevB + 0x43(C)-For RevC + 0x44(D)-For RevD + */ + + errno = 0; + var = strtoul(buf, NULL, 16); + if (var == 0 && + errno != 0) { + OPAE_ERR("Failed to covert buffer to integer: %s", strerror(errno)); + return FPGA_EXCEPTION; + } + + rev = (var >> 24) & 0xff; + if ((rev >= 'A') && (rev <= 'Z')) {// range from 'A' to 'Z' + retval = snprintf(fw_ver, len, "%c.%u.%u.%u", (char)rev, (var >> 16) & 0xff, (var >> 8) & 0xff, var & 0xff); + if (retval < 0) { + FPGA_ERR("error in formatting version"); + return FPGA_EXCEPTION; + } + } else { + OPAE_ERR("Invalid firmware version"); + res = FPGA_EXCEPTION; + } + + return res; +} + +// Read MAX10 firmware version +fpga_result read_max10fw_version(fpga_token token, char *max10fw_ver, size_t len) +{ + fpga_result res = FPGA_OK; + fpga_result resval = FPGA_OK; + uint32_t size = 0; + char buf[FPGA_VAR_BUF_LEN] = { 0 }; + fpga_object max10fw_object; + + if (max10fw_ver == NULL) { + FPGA_ERR("Invalid input parameters"); + return FPGA_INVALID_PARAM; + } + + res = fpgaTokenGetObject(token, SYSFS_MAX10_VER, &max10fw_object, FPGA_OBJECT_GLOB); + if (res != FPGA_OK) { + OPAE_MSG("Failed to get token object"); + return res; + } + + res = fpgaObjectGetSize(max10fw_object, &size, 0); + if (res != FPGA_OK) { + OPAE_ERR("Failed to get object size "); + resval = res; + goto out_destroy; + } + + // Return error if object size bigger then buffer size + if (size > FPGA_VAR_BUF_LEN) { + FPGA_ERR("object size bigger then buffer size"); + resval = FPGA_EXCEPTION; + goto out_destroy; + } + + res = fpgaObjectRead(max10fw_object, (uint8_t *)buf, 0, size, 0); + if (res != FPGA_OK) { + OPAE_ERR("Failed to read object "); + resval = res; + goto out_destroy; + } + + res = parse_fw_ver(buf, max10fw_ver, len); + if (res != FPGA_OK) { + OPAE_ERR("Failed to parse version "); + resval = res; + goto out_destroy; + } + +out_destroy: + res = fpgaDestroyObject(&max10fw_object); + if (res != FPGA_OK) { + OPAE_ERR("Failed to destroy object"); + } + + return resval; +} + +// Read PCB information +fpga_result read_pcb_info(fpga_token token, char *pcb_info, size_t len) +{ + fpga_result res = FPGA_OK; + fpga_result resval = FPGA_OK; + uint32_t size = 0; + fpga_object pcb_object; + + if (pcb_info == NULL) { + FPGA_ERR("Invalid input parameters"); + return FPGA_INVALID_PARAM; + } + + res = fpgaTokenGetObject(token, SYSFS_PCB_INFO, &pcb_object, FPGA_OBJECT_GLOB); + if (res != FPGA_OK) { + OPAE_MSG("Failed to get token Object"); + return res; + } + + res = fpgaObjectGetSize(pcb_object, &size, 0); + if (res != FPGA_OK) { + OPAE_ERR("Failed to read object size"); + resval = res; + goto out_destroy; + } + + // Return error if object size bigger then pcb info length + if (size > len) { + FPGA_ERR("object size bigger then pcb info size"); + resval = FPGA_EXCEPTION; + goto out_destroy; + } + + res = fpgaObjectRead(pcb_object, (uint8_t *)pcb_info, 0, size, 0); + if (res != FPGA_OK) { + OPAE_ERR("Failed to read object "); + resval = res; + } + +out_destroy: + res = fpgaDestroyObject(&pcb_object); + if (res != FPGA_OK) { + OPAE_ERR("Failed to destroy object"); + } + + return resval; +} + + +// Read PKVL information +fpga_result read_pkvl_info(fpga_token token, + fpga_pkvl_info *pkvl_info, + int *fpga_mode) +{ + fpga_result res = FPGA_OK; + fpga_result resval = FPGA_OK; + uint64_t bs_id = 0; + uint64_t poll_mode = 0; + uint64_t status = 0; + fpga_object poll_mode_object; + fpga_object status_object; + fpga_object bsid_object; + + if (pkvl_info == NULL || + fpga_mode == NULL) { + FPGA_ERR("Invalid Input parameters"); + return FPGA_INVALID_PARAM; + } + + res = fpgaTokenGetObject(token, SYSFS_BS_ID, &bsid_object, FPGA_OBJECT_GLOB); + if (res != FPGA_OK) { + OPAE_ERR("Failed to get token object"); + return res; + } + + res = fpgaTokenGetObject(token, SYSFS_PKVL_POLL_MODE, &poll_mode_object, FPGA_OBJECT_GLOB); + if (res != FPGA_OK) { + OPAE_ERR("Failed to get token object"); + resval = res; + goto out_destroy_bsid; + } + + res = fpgaTokenGetObject(token, SYSFS_PKVL_STATUS, &status_object, FPGA_OBJECT_GLOB); + if (res != FPGA_OK) { + OPAE_ERR("Failed to get token object"); + resval = res; + goto out_destroy_poll; + } + + res = fpgaObjectRead64(bsid_object, &bs_id, 0); + if (res != FPGA_OK) { + OPAE_ERR("Failed to read object "); + resval = res; + goto out_destroy_status; + } + + *fpga_mode = (bs_id >> FPGA_BSID_SIZE) & 0xf; + + res = fpgaObjectRead64(poll_mode_object, &poll_mode, 0); + if (res != FPGA_OK) { + OPAE_ERR("Failed to read object "); + resval = res; + goto out_destroy_status; + } + + res = fpgaObjectRead64(status_object, &status, 0); + if (res != FPGA_OK) { + OPAE_ERR("Failed to read object "); + resval = res; + goto out_destroy_status; + } + + pkvl_info->polling_mode = (uint32_t)poll_mode; + pkvl_info->status = (uint32_t)status; + +out_destroy_status: + res = fpgaDestroyObject(&status_object); + if (res != FPGA_OK) { + OPAE_ERR("Failed to destroy object"); + } + +out_destroy_poll: + res = fpgaDestroyObject(&poll_mode_object); + if (res != FPGA_OK) { + OPAE_ERR("Failed to destroy object"); + } + +out_destroy_bsid: + res = fpgaDestroyObject(&bsid_object); + if (res != FPGA_OK) { + OPAE_ERR("Failed to destroy object"); + } + + return resval; +} + +// Read PHY group information +fpga_result read_phy_group_info(fpga_token token, + fpga_phy_group_info *group_info, + uint32_t *group_num) +{ + fpga_result res = FPGA_OK; + fpga_result resval = FPGA_OK; + char path[SYSFS_MAX_SIZE] = { 0, }; + char cdevid[CDEV_ID_SIZE] = { 0, }; + size_t i = 0; + uint32_t group_dev_count = 0; + uint32_t obj_size = 0; + fpga_object dev_obj; + fpga_object group_object; + fpga_object group_dev_object; + + + if (group_num == NULL) { + FPGA_ERR("Invalid Input parameters"); + return FPGA_INVALID_PARAM; + } + + res = fpgaTokenGetObject(token, SYSFS_PHY_GROUP_INFO, + &group_object, FPGA_OBJECT_GLOB); + if (res != FPGA_OK) { + OPAE_ERR("Failed to get token object"); + return FPGA_NOT_FOUND; + } + + res = fpgaTokenGetObject(token, SYSFS_PHY_GROUP_INFO_DEV, + &group_dev_object, FPGA_OBJECT_GLOB); + if (res != FPGA_OK) { + OPAE_ERR("Failed to get token object"); + resval = res; + goto out_destroy_group; + } + + res = fpgaObjectGetSize(group_dev_object, &group_dev_count, FPGA_OBJECT_GLOB); + if (res != FPGA_OK) { + OPAE_ERR("Failed to get object size"); + resval = res; + goto out_destroy_group_dev; + } + + // Return number of group. + if (group_info == NULL) { + *group_num = group_dev_count; + resval = FPGA_OK; + goto out_destroy_group_dev; + } + + // Return error if group device count bigger then group info array size + if (group_dev_count > *group_num) { + FPGA_ERR("group device count bigger then group info array size"); + resval = FPGA_EXCEPTION; + goto out_destroy_group_dev; + } + + for (i = 0; i < group_dev_count; i++) { + + res = fpgaObjectGetObjectAt(group_dev_object, i, &dev_obj); + if (res != FPGA_OK) { + OPAE_ERR("Failed to get device node object from group device object"); + resval = res; + continue; + } + + res = fpgaObjectGetSize(dev_obj, &obj_size, 0); + if (res != FPGA_OK) { + OPAE_ERR("Failed to get object size"); + resval = res; + res = fpgaDestroyObject(&dev_obj); + if (res != FPGA_OK) { + OPAE_ERR("Failed to destroy object"); + } + continue; + } + + + if (obj_size > CDEV_ID_SIZE) { + OPAE_ERR("Device node obj size size bigger then buffer "); + resval = FPGA_EXCEPTION; + res = fpgaDestroyObject(&dev_obj); + if (res != FPGA_OK) { + OPAE_ERR("Failed to destroy object"); + } + continue; + } + + res = fpgaObjectRead(dev_obj, (uint8_t *)cdevid, 0, obj_size, 0); + if (res != FPGA_OK) { + OPAE_ERR("Failed to read device node"); + resval = res; + res = fpgaDestroyObject(&dev_obj); + if (res != FPGA_OK) { + OPAE_ERR("Failed to destroy object"); + } + continue; + } + + + res = fpgaDestroyObject(&dev_obj); + if (res != FPGA_OK) { + resval = res; + OPAE_ERR("Failed to destroy object"); + } + + // append null char + cdevid[obj_size - 1] = '\0'; + strncpy(path, "/dev/char/", 11); + strncat(path, cdevid, sizeof(path) - obj_size - 1); + + res = get_phy_info(path, &group_info[i]); + if (res != FPGA_OK) { + OPAE_ERR("Failed to get phy group info"); + resval = res; + } + + } // end for loop + +out_destroy_group_dev: + res = fpgaDestroyObject(&group_dev_object); + if (res != FPGA_OK) { + OPAE_ERR("Failed to destroy object"); + } + +out_destroy_group: + res = fpgaDestroyObject(&group_object); + if (res != FPGA_OK) { + OPAE_ERR("Failed to destroy object"); + } + + return resval; + +} + +// get pyh group information +fpga_result get_phy_info(char *dev_path, fpga_phy_group_info *info) +{ + fpga_result res = FPGA_OK; + int fd = 0; + + if (dev_path == NULL || + info == NULL) { + FPGA_ERR("Invalid Input parameters"); + return FPGA_INVALID_PARAM; + } + + fd = open(dev_path, O_RDWR); + if (fd < 0) { + OPAE_ERR("Open %s failed\n", dev_path); + return FPGA_INVALID_PARAM; + } + + memset(info, 0, sizeof(fpga_phy_group_info)); + info->argsz = sizeof(fpga_phy_group_info); + + if (0 != ioctl(fd, FPGA_PHY_GROUP_GET_INFO, info)) { + OPAE_ERR("ioctl FPGA_PHY_GROUP_GET_INFO error\n"); + } + + close(fd); + + return res; +} + +// Read mac information +fpga_result read_mac_info(fpga_token token, unsigned char *mac_info, size_t len) +{ + fpga_result res = FPGA_OK; + fpga_result resval = FPGA_OK; + unsigned char buf[8] = {0}; + fpga_object mac_object; + + if (!token || !mac_info || !len) { + FPGA_ERR("Invalid Input parameters"); + return FPGA_INVALID_PARAM; + } + + res = fpgaTokenGetObject(token, SYSFS_EEPROM, &mac_object, FPGA_OBJECT_GLOB); + if (res != FPGA_OK) { + OPAE_ERR("Failed to get token object"); + return res; + } + + res = fpgaObjectRead(mac_object, (uint8_t *)buf, 0, sizeof(buf), 0); + if (res != FPGA_OK) { + OPAE_ERR("Failed to read object "); + resval = res; + goto out_destroy_mac; + } + + memcpy(mac_info, buf, len); + +out_destroy_mac: + res = fpgaDestroyObject(&mac_object); + if (res != FPGA_OK) { + OPAE_ERR("Failed to destroy object"); + } + + return resval; +} + +// Read pkvl versoin +fpga_result print_pkvl_version(fpga_token token) +{ + fpga_result res = FPGA_OK; + fpga_result resval = FPGA_OK; + char ver_a_buf[FPGA_VAR_BUF_LEN] = { 0 }; + char ver_b_buf[FPGA_VAR_BUF_LEN] = { 0 }; + uint32_t size = 0; + fpga_object pkvl_a_object; + fpga_object pkvl_b_object; + + + res = fpgaTokenGetObject(token, SYSFS_PKVL_A_VER, &pkvl_a_object, FPGA_OBJECT_GLOB); + if (res != FPGA_OK) { + OPAE_MSG("Failed to get token object"); + return res; + } + + res = fpgaTokenGetObject(token, SYSFS_PKVL_B_VER, &pkvl_b_object, FPGA_OBJECT_GLOB); + if (res != FPGA_OK) { + OPAE_MSG("Failed to get token object"); + resval = res; + goto out_destroy_obj_a; + } + + res = fpgaObjectGetSize(pkvl_a_object, &size, FPGA_OBJECT_GLOB); + if (res != FPGA_OK) { + OPAE_ERR("Failed to get object size"); + resval = res; + goto out_destroy_obj_b; + } + + if (size > FPGA_VAR_BUF_LEN) { + OPAE_ERR("pkvl A version buffer bigger then version buffer"); + resval = FPGA_EXCEPTION; + goto out_destroy_obj_b; + } + + res = fpgaObjectRead(pkvl_a_object, (uint8_t *)ver_a_buf, 0, size, 0); + if (res != FPGA_OK) { + OPAE_ERR("Failed to read object "); + resval = res; + goto out_destroy_obj_b; + } + + res = fpgaObjectGetSize(pkvl_b_object, &size, FPGA_OBJECT_GLOB); + if (res != FPGA_OK) { + OPAE_ERR("Failed to get object size"); + resval = res; + goto out_destroy_obj_b; + } + + if (size > FPGA_VAR_BUF_LEN) { + OPAE_ERR("pkvl B version buffer bigger then version buffer"); + resval = FPGA_EXCEPTION; + goto out_destroy_obj_b; + } + + + res = fpgaObjectRead(pkvl_b_object, (uint8_t *)ver_b_buf, 0, size, 0); + if (res != FPGA_OK) { + OPAE_ERR("Failed to read object "); + resval = res; + goto out_destroy_obj_b; + } + + printf("%-32s : %s", "Retimer A Version", ver_a_buf); + printf("%-32s : %s", "Retimer B Version", ver_b_buf); + +out_destroy_obj_b: + res = fpgaDestroyObject(&pkvl_b_object); + if (res != FPGA_OK) { + OPAE_ERR("Failed to destroy object"); + } + +out_destroy_obj_a: + res = fpgaDestroyObject(&pkvl_a_object); + if (res != FPGA_OK) { + OPAE_ERR("Failed to destroy object"); + } + + return resval; +} + +// print mac information +fpga_result print_mac_info(fpga_token token) +{ + fpga_result res = FPGA_OK; + unsigned char buf[MAC_BUF_SIZE] = { 0 }; + int i = 0; + int n = 0; + pkvl_mac mac; + + res = read_mac_info(token, buf, MAC_BUF_SIZE); + if (res != FPGA_OK) { + OPAE_ERR("Failed to read mac information"); + return res; + } + + n = (int)buf[6]; + printf("%-32s : %d\n", "Number of MACs", n); + mac.byte[0] = buf[5]; + mac.byte[1] = buf[4]; + mac.byte[2] = buf[3]; + mac.byte[3] = 0; + for (i = 0; i < n; ++i) { + printf("%s %-20d : %02X:%02X:%02X:%02X:%02X:%02X\n", + "MAC address", i, buf[0], buf[1], buf[2], + mac.byte[2], mac.byte[1], mac.byte[0]); + mac.dword += 1; + } + + return res; +} + +// print board information +fpga_result print_board_info(fpga_token token) +{ + fpga_result res = FPGA_OK; + char bmc_ver[FPGA_VAR_BUF_LEN] = { 0 }; + char max10_ver[FPGA_VAR_BUF_LEN] = { 0 }; + char pcb_ver[FPGA_VAR_BUF_LEN] = { 0 }; + + res = read_bmcfw_version(token, bmc_ver, FPGA_VAR_BUF_LEN); + if (res != FPGA_OK) { + OPAE_MSG("Failed to read bmc version"); + } + + res = read_max10fw_version(token, max10_ver, FPGA_VAR_BUF_LEN); + if (res != FPGA_OK) { + OPAE_MSG("Failed to read max10 version"); + } + + res = read_pcb_info(token, pcb_ver, FPGA_VAR_BUF_LEN); + if (res != FPGA_OK) { + OPAE_MSG("Failed to read pcb version"); + } + + printf("Board Management Controller, MAX10 NIOS FW version: %s \n", bmc_ver); + printf("Board Management Controller, MAX10 Build version: %s \n", max10_ver); + printf("PCB version: %s \n", pcb_ver);; + + return res; +} + +// print phy group information +fpga_result print_phy_info(fpga_token token) +{ + fpga_result res = FPGA_OK; + fpga_phy_group_info *phy_info_array = NULL; + uint32_t group_num = 0; + int fpga_mode = 0; + uint32_t i = 0; + int j = 0; + char mode[VER_BUF_SIZE] = { 0 }; + fpga_pkvl_info pkvl_info; + + + res = read_phy_group_info(token, NULL, &group_num); + if (res != FPGA_OK) { + OPAE_ERR("Failed to read phy group count"); + return res; + } + + phy_info_array = calloc(sizeof(fpga_phy_group_info), group_num); + if (phy_info_array == NULL) { + OPAE_ERR(" Failed to allocate memory"); + return FPGA_NO_MEMORY; + } + + + res = read_phy_group_info(token, phy_info_array, &group_num); + if (res != FPGA_OK) { + OPAE_ERR("Failed to read phy group array"); + goto out_free; + } + + res = read_pkvl_info(token, &pkvl_info, &fpga_mode); + if (res != FPGA_OK) { + OPAE_ERR("Failed to read pkvl info"); + goto out_free; + } + + + for (i = 0; i < group_num; i++) { + + printf("//****** PHY GROUP %d ******//\n", i); + printf("%-32s : %s\n", "Direction", + phy_info_array[i].group_id == 0 ? "Line side" : "Fortville side"); + printf("%-32s : %d Gbps\n", "Speed", phy_info_array[i].speed); + printf("%-32s : %d\n", "Number of PHYs", phy_info_array[i].phy_num); + } + + + int mask = 0; + if (phy_info_array[0].speed == 10) { + mask = 0xff; + + } else if (phy_info_array[0].speed == 25) { + + + if (phy_info_array[0].phy_num == 4) { + switch (fpga_mode) { + case FPGA_PHYGROUP_MODE_4_25G: /* 4x25g */ + /* FALLTHROUGH */ + case FPGA_PHYGROUP_MODE_6_25G: /* 6x25g */ + mask = 0xf; + break; + + case FPGA_PHYGROUP_MODE_2_2_25G: /* 2x2x25g */ + mask = 0x33; + break; + + default: + mask = 0xff; + break; + } + } else { + /* 2*1*25g */ + mask = 0x11; + } + + } + + printf("//****** Intel C827 Retimer ******//\n"); + + strncpy(mode, phy_info_array[0].speed == 25 ? "25G" : "10G", 4); + for (i = 0, j = 0; i < MAX_PORTS; i++) { + if (mask&(1 << i)) { + printf("Port%-2d%-26s : %s\n", j, mode, pkvl_info.status&(1 << i) ? "Up" : "Down"); + j++; + } + } + + res = print_pkvl_version(token); + if (res != FPGA_OK) { + OPAE_MSG("Failed to read pkvl version"); + goto out_free; + } + +out_free: + if (phy_info_array) + free(phy_info_array); + + return res; + +} diff --git a/tools/libboard/board_vc/board_vc.h b/tools/libboard/board_vc/board_vc.h new file mode 100644 index 0000000..83d3a1f --- /dev/null +++ b/tools/libboard/board_vc/board_vc.h @@ -0,0 +1,213 @@ +// Copyright(c) 2019-2020, Intel Corporation +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, +// this list of conditions and the following disclaimer. +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// * Neither the name of Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#ifndef __FPGA_BOARD_VC_H__ +#define __FPGA_BOARD_VC_H__ + +#include + +#ifdef __cplusplus +extern "C" { +#endif /* __cplusplus */ + +#define CDEV_ID_SIZE 8 +#define MAX_PORTS 8 +#define SYSFS_MAX_SIZE 256 +#define MAC_BYTE_SIZE 4 +#define VER_BUF_SIZE 16 +#define FPGA_VAR_BUF_LEN 256 +#define FPGA_PHYGROUP_SIZE 256 +#define MAC_BUF_SIZE 8 + +typedef struct _fpga_pkvl_info { + uint32_t polling_mode; + uint32_t status; +} fpga_pkvl_info; + +typedef struct _fpga_phy_group_info { + unsigned int argsz; + unsigned int flags; + unsigned char speed; + unsigned char phy_num; + unsigned char mac_num; + unsigned char group_id; +} fpga_phy_group_info; + +typedef union _pkvl_mac { + unsigned int dword; + unsigned char byte[MAC_BYTE_SIZE]; +} pkvl_mac; + +/** +* Prints BMC, MAX10 and NIOS version. +* +* @param[in] token fpga_token object for device (FPGA_DEVICE type) +* @returns FPGA_OK on success. FPGA_NOT_FOUND if MAX10 or NIOS sysfs not found. +* FPGA_INVALID_PARAM if invalid parameters were provide +* +*/ +fpga_result print_board_info(fpga_token token); + +/** +* Prints phy group informantion. +* +* @param[in] token fpga_token object for device (FPGA_DEVICE type) +* @returns FPGA_OK on success. FPGA_NOT_FOUND if phy group sysfs not found. +* FPGA_INVALID_PARAM if invalid parameters were provide +* +*/ +fpga_result print_phy_info(fpga_token token); + +/** +* Prints mac informantion. +* +* @param[in] token fpga_token object for device (FPGA_DEVICE type) +* @returns FPGA_OK on success. FPGA_NOT_FOUND if mac sysfs not found. +* FPGA_INVALID_PARAM if invalid parameters were provide +* +*/ +fpga_result print_mac_info(fpga_token token); + + +/** +* Get MAC information. +* +* @param[in] token fpga_token object for device (FPGA_DEVICE type) +* @param[inout] mac_info pointer to mac info struct or char string +* user allocates memory and free mac info +* @param[in] len length of char mac_info string +* @returns FPGA_OK on success. FPGA_NOT_FOUND if mac sysfs not found. +* FPGA_INVALID_PARAM if invalid parameters were provide +* +*/ +fpga_result read_mac_info(fpga_token token, unsigned char *mac_info, size_t len); + +/** +* Get PHY group information. +* +* @param[in] token fpga_token object for device (FPGA_DEVICE type) +* @param[inout] group_info pointer to struct fpga_phy_group_info +* user allocates memory and free phy group info +* @param[inout] group_num pointer to group num +* @returns FPGA_OK on success. FPGA_NOT_FOUND if phy group sysfs not found. +* FPGA_INVALID_PARAM if invalid parameters were provide +* +*/ +fpga_result read_phy_group_info(fpga_token token, + fpga_phy_group_info *group_info, + uint32_t *group_num); + +/** +* Get PKVL information. +* +* @param[in] token fpga_token object for device (FPGA_DEVICE type) +* @param[inout] pkvl_info pointer to struct fpga_pkvl_info +* user allocates memory and free pkvl info +* @param[inout] fpga_mode pointer to fpga mode +* @returns FPGA_OK on success. FPGA_NOT_FOUND if pkvl sysfs not found. +* FPGA_INVALID_PARAM if invalid parameters were provide +* +*/ +fpga_result read_pkvl_info(fpga_token token, + fpga_pkvl_info *pkvl_info, + int *fpga_mode); + +/** +* Get PCB information. +* +* @param[in] token fpga_token object for device (FPGA_DEVICE type) +* @param[inout] pcb_info pointer to char pcb_info string +* user allocates memory and free input string +* @param[in] len length of char pcb_info string +* @returns FPGA_OK on success. FPGA_NOT_FOUND if pcb sysfs not found. +* FPGA_INVALID_PARAM if invalid parameters were provide +* +*/ +fpga_result read_pcb_info(fpga_token token, char *pcb_info, size_t len); + +/** +* Get Max10 firmware version. +* +* @param[in] token fpga_token object for device (FPGA_DEVICE type) +* @param[inout] max10fw_var pointer to char pcb_info string +* user allocates memory and free input string +* @param[in] len length of char max10fw_var string +* @returns FPGA_OK on success. FPGA_NOT_FOUND if MAX10 sysfs not found. +* FPGA_INVALID_PARAM if invalid parameters were provide +* +*/ +fpga_result read_max10fw_version(fpga_token token, char *max10fw_var, size_t len); + +/** +* Get BMC/NIOS firmware version. +* +* @param[in] token fpga_token object for device (FPGA_DEVICE type) +* @param[inout] bmcfw_var pointer to char bmcfw_var string +* user allocates memory and free input string +* @param[in] len length of char bmcfw_var string +* @returns FPGA_OK on success. FPGA_NOT_FOUND if NIOS sysfs not found. +* FPGA_INVALID_PARAM if invalid parameters were provide +* +*/ +fpga_result read_bmcfw_version(fpga_token token, char *bmcfw_var, size_t len); + +/** +* Parse bmc/max10 version. +* +* @param[in] buf pointer to firmware version +* @param[inout] fw_ver pointer to char firmware string +* @param[in] len length of char fw var string +* @returns FPGA_OK on success. FPGA_EXCEPTION if FW version is invlaid. +* +*/ +fpga_result parse_fw_ver(char *buf, char *fw_ver, size_t len); + +/** +* get phy group info from driver. +* +* @param[in] dev_path pointer to device path +* @param[inout] fw_ver pointer to fpga_phy_group_info +* @returns FPGA_OK on success. FPGA_EXCEPTION if FW version is invlaid. +* +*/ +fpga_result get_phy_info(char *dev_path, fpga_phy_group_info *info); + + +/** +* Prints pkvl information +* +* @param[in] token fpga_token object for device (FPGA_DEVICE type) +* @returns FPGA_OK on success. FPGA_EXCEPTION if token is invalid. +* +*/ +fpga_result print_pkvl_version(fpga_token token); + + +#ifdef __cplusplus +} +#endif /* __cplusplus */ + +#endif /* __FPGA_BOARD_VC_H__ */