Blame sysdeps/arm/e_sqrt.c

Packit 6c4009
/* Compute square root for double.  ARM version.
Packit 6c4009
   Copyright (C) 2016-2018 Free Software Foundation, Inc.
Packit 6c4009
   This file is part of the GNU C Library.
Packit 6c4009
Packit 6c4009
   The GNU C Library is free software; you can redistribute it and/or
Packit 6c4009
   modify it under the terms of the GNU Lesser General Public
Packit 6c4009
   License as published by the Free Software Foundation; either
Packit 6c4009
   version 2.1 of the License, or (at your option) any later version.
Packit 6c4009
Packit 6c4009
   The GNU C Library is distributed in the hope that it will be useful,
Packit 6c4009
   but WITHOUT ANY WARRANTY; without even the implied warranty of
Packit 6c4009
   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
Packit 6c4009
   Lesser General Public License for more details.
Packit 6c4009
Packit 6c4009
   You should have received a copy of the GNU Lesser General Public
Packit 6c4009
   License along with the GNU C Library; if not, see
Packit 6c4009
   <http://www.gnu.org/licenses/>.  */
Packit 6c4009
Packit 6c4009
#ifdef __SOFTFP__
Packit 6c4009
Packit 6c4009
/* Use architecture-indendent sqrt implementation.  */
Packit 6c4009
# include <sysdeps/ieee754/dbl-64/e_sqrt.c>
Packit 6c4009
Packit 6c4009
#else
Packit 6c4009
Packit 6c4009
/* Use VFP square root instruction.  */
Packit 6c4009
# include <math.h>
Packit 6c4009
# include <sysdep.h>
Packit 6c4009
Packit 6c4009
double
Packit 6c4009
__ieee754_sqrt (double x)
Packit 6c4009
{
Packit 6c4009
  double ret;
Packit 6c4009
# if __ARM_ARCH >= 6
Packit 6c4009
  asm ("vsqrt.f64 %P0, %P1" : "=w" (ret) : "w" (x));
Packit 6c4009
# else
Packit 6c4009
  /* As in GCC, for VFP9 Erratum 760019 avoid overwriting the
Packit 6c4009
     input.  */
Packit 6c4009
  asm ("vsqrt.f64 %P0, %P1" : "=&w" (ret) : "w" (x));
Packit 6c4009
# endif
Packit 6c4009
  return ret;
Packit 6c4009
}
Packit 6c4009
strong_alias (__ieee754_sqrt, __sqrt_finite)
Packit 6c4009
Packit 6c4009
#endif