Blame math/s_cacosh_template.c

Packit 6c4009
/* Return arc hyperbolic cosine for a complex type.
Packit 6c4009
   Copyright (C) 1997-2018 Free Software Foundation, Inc.
Packit 6c4009
   This file is part of the GNU C Library.
Packit 6c4009
   Contributed by Ulrich Drepper <drepper@cygnus.com>, 1997.
Packit 6c4009
Packit 6c4009
   The GNU C Library is free software; you can redistribute it and/or
Packit 6c4009
   modify it under the terms of the GNU Lesser General Public
Packit 6c4009
   License as published by the Free Software Foundation; either
Packit 6c4009
   version 2.1 of the License, or (at your option) any later version.
Packit 6c4009
Packit 6c4009
   The GNU C Library is distributed in the hope that it will be useful,
Packit 6c4009
   but WITHOUT ANY WARRANTY; without even the implied warranty of
Packit 6c4009
   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
Packit 6c4009
   Lesser General Public License for more details.
Packit 6c4009
Packit 6c4009
   You should have received a copy of the GNU Lesser General Public
Packit 6c4009
   License along with the GNU C Library; if not, see
Packit 6c4009
   <http://www.gnu.org/licenses/>.  */
Packit 6c4009
Packit 6c4009
#include <complex.h>
Packit 6c4009
#include <math.h>
Packit 6c4009
#include <math_private.h>
Packit 6c4009
Packit 6c4009
Packit 6c4009
CFLOAT
Packit 6c4009
M_DECL_FUNC (__cacosh) (CFLOAT x)
Packit 6c4009
{
Packit 6c4009
  CFLOAT res;
Packit 6c4009
  int rcls = fpclassify (__real__ x);
Packit 6c4009
  int icls = fpclassify (__imag__ x);
Packit 6c4009
Packit 6c4009
  if (rcls <= FP_INFINITE || icls <= FP_INFINITE)
Packit 6c4009
    {
Packit 6c4009
      if (icls == FP_INFINITE)
Packit 6c4009
	{
Packit 6c4009
	  __real__ res = M_HUGE_VAL;
Packit 6c4009
Packit 6c4009
	  if (rcls == FP_NAN)
Packit 6c4009
	    __imag__ res = M_NAN;
Packit 6c4009
	  else
Packit 6c4009
	    __imag__ res = M_COPYSIGN ((rcls == FP_INFINITE
Packit 6c4009
					? (__real__ x < 0
Packit 6c4009
					   ? M_MLIT (M_PI) - M_MLIT (M_PI_4)
Packit 6c4009
					   : M_MLIT (M_PI_4))
Packit 6c4009
					: M_MLIT (M_PI_2)), __imag__ x);
Packit 6c4009
	}
Packit 6c4009
      else if (rcls == FP_INFINITE)
Packit 6c4009
	{
Packit 6c4009
	  __real__ res = M_HUGE_VAL;
Packit 6c4009
Packit 6c4009
	  if (icls >= FP_ZERO)
Packit 6c4009
	    __imag__ res = M_COPYSIGN (signbit (__real__ x)
Packit 6c4009
				       ? M_MLIT (M_PI) : 0, __imag__ x);
Packit 6c4009
	  else
Packit 6c4009
	    __imag__ res = M_NAN;
Packit 6c4009
	}
Packit 6c4009
      else
Packit 6c4009
	{
Packit 6c4009
	  __real__ res = M_NAN;
Packit 6c4009
	  if (rcls == FP_ZERO)
Packit 6c4009
	    __imag__ res = M_MLIT (M_PI_2);
Packit 6c4009
	  else
Packit 6c4009
	    __imag__ res = M_NAN;
Packit 6c4009
	}
Packit 6c4009
    }
Packit 6c4009
  else if (rcls == FP_ZERO && icls == FP_ZERO)
Packit 6c4009
    {
Packit 6c4009
      __real__ res = 0;
Packit 6c4009
      __imag__ res = M_COPYSIGN (M_MLIT (M_PI_2), __imag__ x);
Packit 6c4009
    }
Packit 6c4009
  else
Packit 6c4009
    {
Packit 6c4009
      CFLOAT y;
Packit 6c4009
Packit 6c4009
      __real__ y = -__imag__ x;
Packit 6c4009
      __imag__ y = __real__ x;
Packit 6c4009
Packit 6c4009
      y = M_SUF (__kernel_casinh) (y, 1);
Packit 6c4009
Packit 6c4009
      if (signbit (__imag__ x))
Packit 6c4009
	{
Packit 6c4009
	  __real__ res = __real__ y;
Packit 6c4009
	  __imag__ res = -__imag__ y;
Packit 6c4009
	}
Packit 6c4009
      else
Packit 6c4009
	{
Packit 6c4009
	  __real__ res = -__real__ y;
Packit 6c4009
	  __imag__ res = __imag__ y;
Packit 6c4009
	}
Packit 6c4009
    }
Packit 6c4009
Packit 6c4009
  return res;
Packit 6c4009
}
Packit 6c4009
Packit 6c4009
declare_mgen_alias (__cacosh, cacosh)