Blame testing/068_typed_enum.cpp

Packit 1c1d7e
// objective: test underlying type and strongness for an enum
Packit 1c1d7e
// check: 068__typed__enum_8cpp.xml
Packit 1c1d7e
Packit 1c1d7e
/** \file */
Packit 1c1d7e
Packit 1c1d7e
/** @brief A strongly-typed enum */
Packit 1c1d7e
enum class E: unsigned short {};