Blame testing/024_if.dox

Packit 1c1d7e
// objective: test the \if, \ifnot, \elsif, \else, and \endif commands
Packit 1c1d7e
// check: indexpage.xml
Packit 1c1d7e
// config: ENABLED_SECTIONS = GUARD_ENABLED
Packit 1c1d7e
/** \mainpage
Packit 1c1d7e
 *  Unconditional (start)
Packit 1c1d7e
 *  \if GUARD_ENABLED
Packit 1c1d7e
 *  Enabled (if).
Packit 1c1d7e
 *    \if (!GUARD_ENABLED)  Disabled (if).\else Enabled (else). \endif
Packit 1c1d7e
 *  \else
Packit 1c1d7e
 *  Disabled.
Packit 1c1d7e
 *  \endif
Packit 1c1d7e
 *  Unconditional (middle)
Packit 1c1d7e
 *  \ifnot GUARD_ENABLED
Packit 1c1d7e
 *  Disabled (ifnot).
Packit 1c1d7e
 *  \elseif GUARD_DISABLED
Packit 1c1d7e
 *  Disabled (elseif).
Packit 1c1d7e
 *  \else
Packit 1c1d7e
 *  Enabled (else).
Packit 1c1d7e
 *  \endif
Packit 1c1d7e
 *  Unconditional (end)
Packit 1c1d7e
 */