|
Packit |
1c1d7e |
-------------------------------------------------------
|
|
Packit |
1c1d7e |
--! @file
|
|
Packit |
1c1d7e |
--! @brief 2:1 Mux using with-select
|
|
Packit |
1c1d7e |
-------------------------------------------------------
|
|
Packit |
1c1d7e |
|
|
Packit |
1c1d7e |
--! Use standard library
|
|
Packit |
1c1d7e |
library ieee;
|
|
Packit |
1c1d7e |
--! Use logic elements
|
|
Packit |
1c1d7e |
use ieee.std_logic_1164.all;
|
|
Packit |
1c1d7e |
|
|
Packit |
1c1d7e |
--! Mux entity brief description
|
|
Packit |
1c1d7e |
|
|
Packit |
1c1d7e |
--! Detailed description of this
|
|
Packit |
1c1d7e |
--! mux design element.
|
|
Packit |
1c1d7e |
entity mux_using_with is
|
|
Packit |
1c1d7e |
port (
|
|
Packit |
1c1d7e |
din_0 : in std_logic; --! Mux first input
|
|
Packit |
1c1d7e |
din_1 : in std_logic; --! Mux Second input
|
|
Packit |
1c1d7e |
sel : in std_logic; --! Select input
|
|
Packit |
1c1d7e |
mux_out : out std_logic --! Mux output
|
|
Packit |
1c1d7e |
);
|
|
Packit |
1c1d7e |
end entity;
|
|
Packit |
1c1d7e |
|
|
Packit |
1c1d7e |
--! @brief Architecture definition of the MUX
|
|
Packit |
1c1d7e |
--! @details More details about this mux element.
|
|
Packit |
1c1d7e |
architecture behavior of mux_using_with is
|
|
Packit |
1c1d7e |
begin
|
|
Packit |
1c1d7e |
with (sel) select
|
|
Packit |
1c1d7e |
mux_out <= din_0 when '0',
|
|
Packit |
1c1d7e |
din_1 when others;
|
|
Packit |
1c1d7e |
end architecture;
|
|
Packit |
1c1d7e |
|